repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
ludwigschreier/xiljenkins0 | viv20161_vhdlcounter1.srcs/sources_1/new/counter.vhd | 1 | 473 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity counter is
Port ( CLK : in STD_LOGIC;
OUTPUT : out STD_LOGIC_VECTOR (7 downto 0));
end counter;
architecture Behavioral of counter is
signal counter : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
begin
OUTPUT <= counter;
count_process: process(CLK)
begin
if rising_edge(CLK) then
counter <= counter + 1;
end if;
end process;
end Behavioral; | gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/dc_ss_fwft.vhd | 9 | 8986 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd
kTPstFYRtw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N
7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL
yUj0a0R29dLDmyjahqo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5
AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf
W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG
qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv
5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C
kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S
tDhtnEAxP1cy7yGAxms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x
vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp
t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK
7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0
TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_ecc_encoder.vhd | 9 | 20723 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bXOf+NyPOFKyAbR7M9ZG95bTMwfg0MjwviSGuv0e1XYvP1cKLa1o/YioaJ7nbdN6Cqn8/6k83/yX
GKAkr0jkLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SnvXusHaXqdOSb/amn6FWfZ+yZZJp1Z9lvUO8MUXtsiORIX8i3qHTsucd8Mw0mWna8zNKopCr1D6
EpoUCyZP35d2y02+/MNEu/20zvEcHvTi49n6y9ilWtGQmAskTUapgzuFUg1Oh2Mrf1U4WLSmATcg
BoIl8+xN0Y0hV5y7dpQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o7w5ZRvhoe3QaU99/6ZnHuQ6ef/3WhWNxuN5zKJGz/Udt1EPoRIdjcuAt3l/WCen7yvSKp3Kd+99
HgnnX3vLBcbx8XtejxYGIpyJ9Tnm0nynVKz/VDgUFKllGx9137VVT/IJctw3dYRJNAgW6Vt6+x6R
ExcMo+6V307aGNmZ4Zd/i8kOzeXkO+Br9gPji5IXmG5GmR4HddhUL/Ns9ILw8+ehVAJyG2x8NQil
rEKKEO9h2AaJQJ4FRE1qWrRByYzJNetcjmX2Z0hxRlQW0hWhQjTtZ1UBi0WWyQlq10YvEqi5I7/y
V+etoXboYHCVZ86amqt+P4F5hHkjecHFb2Y+kw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j1XMHdGWcxH8JTR49sTmT8wZAsXlPmQMlyjf5hD7XgFoitjM4F7KuJ2NlyEwcj9xett6LNd94NUx
sywk6EC1bq3xHatQk7bEITUs1BH3rg4jrsCO0ce8idj1q575W5/JbnRdSnI3q4TPnOk/mC15beC1
E1pRqwTQAFC15BR2bdg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ggePePlyhwfpik6K+GQihwnmoPqWXxXx6PSefdfXXLWWT/C1DzU1B2K9gI6UXtidLj7C3iBKqsa2
Yhb48FmIbwidfcckyIAsWLwCAyEydWQytihhsFChsGlLbc06nzPWNqS37kVAs7yKRf9lpcQLtWod
mynnRUHpErbbNrIhQlnEE48jq+fuBk8SmolAGXwEL3//k4U2hpLEhCWocdyIHN615duJe+zr+uzO
NNSqZImbQ2TIuH9GiaZ23Js0nBbrpH2X9500mHSbsIM46lcpXDqh/WMRbqKfOe2lQmaATHcjySuy
PEaBmwm1t7gANQtYLHsJV+yXwmIeXx9EkvBSdQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13600)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/input_blk.vhd | 9 | 28136 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RlfItrBP2dXV2n8Ld+8AcLKvHOqD6HaeHtcM1ZQvksYtjq1Fs5oVI2SBjV8AmO9IiyJSpMdfy0uh
Su8ntPzG7g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpDp4Y0GkdshfA8BWf95HAAEdqfO3hEOHloNvcNt0LAVXuTaHC2Y2Kd7U+G172t+jQqqpZoY8zn5
d2TLAMcs1n0/kUB5mIdWLbzKbP2wxQCQsBypKDXsXIVT9pC7YXZVywzQv/yoqztIlsUnnV4K8kZ/
988seSyskp0Zq/n2reY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tZNydnyeLYdVQs0bj8l6U7q20KMO/Wxt6mUC5MVZeoPp+GMb+R0We34z9dZmKaphxEVFA2tx6hAt
hFheNyU/FLCU2dnjhx7aco4wUxhFkq6zS5/fN8Bx9YteBhDg2CPxmhZADfOKA6bGMHggG5czBrZC
sAa8BlqHUS6ni5BRkq0KRiyuNKgv2Bs9cjQ8Bmiby2mPqhuEcFCOn51f8Mtj1VrpO2bmA/tNWO+M
jqObEmiLHNdW3dnj7TCllBV2aQLxpuDYupA2+cOociKLVf5HJWJoyby4W3vz2sH7X/hdY0tW1hqz
WLNhT202sv7yuwfesAiiGfksaHY8UwFM1K9hAA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4ABns/uCsb5/6KK7PwZTtRkykKVwbLhqo58xiIO46mNP3jN2/yCw+egRwO5MZtPdjugLgl4sIfjS
ntQ0OJooKKjTjPcVpeo9hTEELOGZsaTuRHThmlHsw+YcH0uiqUneG5wgi7zMYSZEoeC6KZhock4m
CAhbJYYW7NydsuHSkNU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cRraZTE3WlbZKmgtC8RzLH6Hv9U9rVkLkXiZMrpJOUr4w4jkAXuQACYsPE+MmYGcFeel4bdLbK4O
6oQ7JPR92DsCr09g9wOVQFRGk5MiXM1G/wpABkrZRCZa9jF+Z1nG1r65eKsLk+sQ7VZ40LLH0+JL
CeJLkT+mqC4allFCOVeJ+kMPMmKdGhEojSu1IPRPh8GTGmV78Sq7RoGGbKttdgoh9OjoudCUkr6K
hCxuliwRFH0xTH3mQMf7/JpCFeQkdSyJjIDf2wBpK8jNBAExmarAptD12O/ZgBcBiwHs4fC8cH14
kI8/mpl/nFx39sYvuDJy8udgIApUPMpqykkCtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19088)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_write_wrapper.vhd | 9 | 65399 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ay7yUo344MX/HpMjaa/BfEnxojenX57nfZN9+kMuLNQKkNFQNMwwKXWYirwn8AeviwFh8Ye8bBwr
07jpMsc3Ww==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GtKHFr1bTEO3BDZPZ8B11z5mN8Sou0TY2F19SmGWFzX1kEzsWibDjneI2l/UloaSNmCpkBvA5It+
3v5N0cX3jTFQb6WArjXcGBdkY+96yJsuL/xjolKd2zK29DYDPjWDcqAAYun1mT7vy+P/RlE+qcEV
8d59OQmsYNVgHec5WPI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3KXS5u45KJIMa66YIYeVfuRMOmEnu1ipcmgx1Z755bjVdOjXL/J5GnxHf9FSjfwcZQ8htELRvv4i
2XHv1QAByuLtgPnkwtEJ1PedU6B3uydeNLww/S9ljbGjgnRt9IpKaHZ6X/x2HUFuHvvzAV0WKfTE
/bOML7wW1O8EW/ICxRTG+v7va9fvsexIbsK29IVJwWcW3Z9uKFI4U3/iPvC8wnsWlv+4Rr4jVD/3
5od3ICU4bvjH25G8nNGN+6CxBaq0/XWwbCx4McPjB8+Tk/2qEEm7urZB8sst2s5S0+NWcQR00HzA
6YEjymP2+zHjksKYsqnC+xLkWfiq8/S0phBwDg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
31NUwWmlZa0ZUDlGNhcQQDtFVp/7D0tJwNtxBTm8d6OIPjei5esQH7RNkG2/ZUp+07b/M1qopigq
5fuECFdNDxtfr9SROvlmrAHaMLT/LQES9BTq3mXTP1t3E3J+afPstJR1uI6kmd2t0DiOUmH8M+TM
7ZYaGVKSD4/OtwvVBl4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HMC1xZTYCW9uKI/iOVB+HbW1Fk8igaZ0GW4GX10ep8GryNiF3nTt2tXE6SAQBlcnwq8dGFEuZIEa
78S7xWlsTIkfalU5gV8iQUgF9QGTvFWvNcqZp4IFp1M92HcBKD6UyYOR4IE2Iet+H4n1TdbexufL
rd/lEOWR1yTOyh91DoLfuWiPbO2tz0PONLRCkt9aIqO2UrRCYB1XERLzis7XV8vWUUDMhnEBkOCn
8OZTCAyyhyiZ5qX3NuWAI2jizuNFR59tUtVbEvgPhtvazz+Yd8Dn3eTNVyTQLCl3UjkDec3TmPW6
8+jBfuHbkDVmjmD4n4EoAlCcxXCv1+w1Ny1A5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46672)
`protect data_block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==
`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_top.vhd | 9 | 40066 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
N69BdjVBL3zr447/IslHpcQt6uxnKlEGffBeT6O/HPhIhs63hO+yBTBpbZe83b9oQQkb3iO1iekX
AN7IS+Oj8A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Q2gSgSjShBThnpN7ocpVeIiupKozmKwVJ1Ka9owDuAS9y4GGTKN6eXAv6ND3rH3bK2m5rmiGc2dQ
GqvMSafR3R5aQyLhHV0vE9ItdvwRv/PiR6RGhNqN3zMe7lJ+6AH2FuJN2tV2YbHEWsMpvrS/ozM1
eW8vym4p2Nmkhc0/Q74=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rgf/hTKzUgzPmpRNjQkGUhm/PJt/6MCtp6g+tuGzA135di4HysJDD1buAgwquHID3E9k4d3QtgNV
jT7ynZQIoMsXOeKCy7IbT7749cprpDjO1OSVrXQIUUcP3F+gMYUpeL/mjQnfdCEN743AXdvxRnDc
gVeJsjU9N64MxSJjbMUJmaddW7RRGip+wgYF1dVschvt86zUuMsTTbRlWaGT8/PVkqEVuyGg73ia
FsMYBM8Oi9K0SgUyaUoQqHE7F5kjUaDy36Xg4c9dGuC4pkwoUfWUCMZPrgk/nygkA36gY8gDvz6U
20GmRwirRv5LPFdEu9omr/mfCV1tJE3cL2wf2Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
whJ+Mq4deBZDhR4gHJnHCL7JxuEJqNFrWBV6Ksnrye5rfDk+zUSuuj6k9MLoJRMZ9NpZeM9BbEn/
lx4N2zpT3HX4I7gsrzePK4hxagplucoM920UdfcilS8ZUjm0BM3SKRCGqgigpDbBNz1MyRAauRR2
TGMcoxB5Ne7BWv0iUBs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZsJUyEeEG26LW0tiqAAVmbPXGV42PcJw5qTIOROOgw6cXXLb+KKUvI0qo0WOH27D2IG2UjbZ7zpK
8frckgj+Ei6f1HtE74Q6zFaEuZsJ5XO7FGsTfepBz2OcwZ2tArvysAeDtRyFjKM04fsTIg4zCndt
4Vdzk9lAcb45wumS3BULfbRVGBM9rMHjGQgaLzK9mgTtjibUERm67lPM3rx4Sli2JlzzgXGzw4cK
3Pr3iYBz4/HwqPFPp/u4PgTZaWGmrGjaG+cGYqnmk1B2xC16prjJLhkisxGz7rsLaUay+Y9Qtah2
0cQFnUESovN+P8/1qwaKLu4FVsSMVNnVAlt63g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27920)
`protect data_block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=
`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_blk_mem_gen_0_0/synth/OpenSSD2_blk_mem_gen_0_0.vhd | 4 | 14031 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY OpenSSD2_blk_mem_gen_0_0 IS
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END OpenSSD2_blk_mem_gen_0_0;
ARCHITECTURE OpenSSD2_blk_mem_gen_0_0_arch OF OpenSSD2_blk_mem_gen_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF OpenSSD2_blk_mem_gen_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF OpenSSD2_blk_mem_gen_0_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF OpenSSD2_blk_mem_gen_0_0_arch : ARCHITECTURE IS "OpenSSD2_blk_mem_gen_0_0,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF OpenSSD2_blk_mem_gen_0_0_arch: ARCHITECTURE IS "OpenSSD2_blk_mem_gen_0_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=OpenSSD2_blk_mem_gen_0_0.mif,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=64,C_READ_WIDTH_A=64,C_WRITE_DEPTH_A=256,C_READ_DEPTH_A=256,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=64,C_READ_WIDTH_B=64,C_WRITE_DEPTH_B=256,C_READ_DEPTH_B=256,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.700549 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "OpenSSD2_blk_mem_gen_0_0.mif",
C_INIT_FILE => "NONE",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 1,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 64,
C_READ_WIDTH_A => 64,
C_WRITE_DEPTH_A => 256,
C_READ_DEPTH_A => 256,
C_ADDRA_WIDTH => 8,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 64,
C_READ_WIDTH_B => 64,
C_WRITE_DEPTH_B => 256,
C_READ_DEPTH_B => 256,
C_ADDRB_WIDTH => 8,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.700549 mW"
)
PORT MAP (
clka => clka,
rsta => rsta,
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END OpenSSD2_blk_mem_gen_0_0_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/SDPRAM_16A9024X32B4512/synth/SDPRAM_16A9024X32B4512.vhd | 6 | 14296 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY SDPRAM_16A9024X32B4512 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END SDPRAM_16A9024X32B4512;
ARCHITECTURE SDPRAM_16A9024X32B4512_arch OF SDPRAM_16A9024X32B4512 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_16A9024X32B4512_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF SDPRAM_16A9024X32B4512_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_16A9024X32B4512_arch : ARCHITECTURE IS "SDPRAM_16A9024X32B4512,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_16A9024X32B4512_arch: ARCHITECTURE IS "SDPRAM_16A9024X32B4512,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_16A9024X32B4512.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=9024,C_READ_DEPTH_A=9024,C_ADDRA_WIDTH=14,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=4512,C_READ_DEPTH_B=4512,C_ADDRB_WIDTH=13,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=4,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 8.9942449999999994 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "SDPRAM_16A9024X32B4512.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 9024,
C_READ_DEPTH_A => 9024,
C_ADDRA_WIDTH => 14,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "READ_FIRST",
C_WRITE_WIDTH_B => 32,
C_READ_WIDTH_B => 32,
C_WRITE_DEPTH_B => 4512,
C_READ_DEPTH_B => 4512,
C_ADDRB_WIDTH => 13,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 1,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "4",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 8.9942449999999994 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END SDPRAM_16A9024X32B4512_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Dispatcher_uCode_0_0/synth/OpenSSD2_Dispatcher_uCode_0_0.vhd | 4 | 14096 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY OpenSSD2_Dispatcher_uCode_0_0 IS
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END OpenSSD2_Dispatcher_uCode_0_0;
ARCHITECTURE OpenSSD2_Dispatcher_uCode_0_0_arch OF OpenSSD2_Dispatcher_uCode_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF OpenSSD2_Dispatcher_uCode_0_0_arch : ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF OpenSSD2_Dispatcher_uCode_0_0_arch: ARCHITECTURE IS "OpenSSD2_Dispatcher_uCode_0_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=OpenSSD2_Dispatcher_uCode_0_0.mif,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=64,C_READ_WIDTH_A=64,C_WRITE_DEPTH_A=256,C_READ_DEPTH_A=256,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=64,C_READ_WIDTH_B=64,C_WRITE_DEPTH_B=256,C_READ_DEPTH_B=256,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.700549 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "OpenSSD2_Dispatcher_uCode_0_0.mif",
C_INIT_FILE => "NONE",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 1,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 64,
C_READ_WIDTH_A => 64,
C_WRITE_DEPTH_A => 256,
C_READ_DEPTH_A => 256,
C_ADDRA_WIDTH => 8,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 64,
C_READ_WIDTH_B => 64,
C_WRITE_DEPTH_B => 256,
C_READ_DEPTH_B => 256,
C_ADDRB_WIDTH => 8,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.700549 mW"
)
PORT MAP (
clka => clka,
rsta => rsta,
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END OpenSSD2_Dispatcher_uCode_0_0_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DCDPRAM16x1280WC/synth/DCDPRAM16x1280WC.vhd | 8 | 14220 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY DCDPRAM16x1280WC IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END DCDPRAM16x1280WC;
ARCHITECTURE DCDPRAM16x1280WC_arch OF DCDPRAM16x1280WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DCDPRAM16x1280WC_arch : ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DCDPRAM16x1280WC_arch: ARCHITECTURE IS "DCDPRAM16x1280WC,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=DCDPRAM16x1280WC.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=1280,C_READ_DEPTH_A=1280,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=1280,C_READ_DEPTH_B=1280,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.7744999999999997 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "DCDPRAM16x1280WC.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 1280,
C_READ_DEPTH_A => 1280,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 1280,
C_READ_DEPTH_B => 1280,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 4.7744999999999997 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END DCDPRAM16x1280WC_arch;
| gpl-3.0 |
fpgasystems/caribou | hw/src/net/clock_control/kcpsm6.vhd | 1 | 108089 | --
-------------------------------------------------------------------------------------------
-- Copyright © 2010-2011, Xilinx, Inc.
-- This file contains confidential and proprietary information of Xilinx, Inc. and is
-- protected under U.S. and international copyright and other intellectual property laws.
-------------------------------------------------------------------------------------------
--
-- Disclaimer:
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to
-- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE
-- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY
-- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT,
-- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable
-- (whether in contract or tort, including negligence, or under any other theory
-- of liability) for any loss or damage of any kind or nature related to, arising
-- under or in connection with these materials, including for any direct, or any
-- indirect, special, incidental, or consequential loss or damage (including loss
-- of data, profits, goodwill, or any type of loss or damage suffered as a result
-- of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-safe, or for use in any
-- application requiring fail-safe performance, such as life-support or safety
-- devices or systems, Class III medical devices, nuclear facilities, applications
-- related to the deployment of airbags, or any other applications that could lead
-- to death, personal injury, or severe property or environmental damage
-- (individually and collectively, "Critical Applications"). Customer assumes the
-- sole risk and liability of any use of Xilinx products in Critical Applications,
-- subject only to applicable laws and regulations governing limitations on product
-- liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------------------
--
-- KCPSM6 - PicoBlaze for Spartan-6 and Virtex-6 devices.
--
-- Start of design entry - 14th May 2010.
-- Version 1.0 - 30th September 2010.
-- Version 1.1 - 9th February 2011.
-- Correction to parity computation logic.
--
-- Ken Chapman
-- Xilinx Ltd
-- Benchmark House
-- 203 Brooklands Road
-- Weybridge
-- Surrey KT13 ORH
-- United Kingdom
--
-- [email protected]
--
-------------------------------------------------------------------------------------------
--
-- Format of this file.
--
-- The module defines the implementation of the logic using Xilinx primitives.
-- These ensure predictable synthesis results and maximise the density of the implementation.
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
-------------------------------------------------------------------------------------------
--
-- Library declarations
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
--
-------------------------------------------------------------------------------------------
--
-- Main Entity for kcpsm6
--
entity kcpsm6 is
generic( hwbuild : std_logic_vector(7 downto 0) := X"00";
interrupt_vector : std_logic_vector(11 downto 0) := X"3FF";
scratch_pad_memory_size : integer := 64);
port ( address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
bram_enable : out std_logic;
in_port : in std_logic_vector(7 downto 0);
out_port : out std_logic_vector(7 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
k_write_strobe : out std_logic;
read_strobe : out std_logic;
interrupt : in std_logic;
interrupt_ack : out std_logic;
sleep : in std_logic;
reset : in std_logic;
clk : in std_logic);
end kcpsm6;
--
-------------------------------------------------------------------------------------------
--
-- Start of Main Architecture for kcpsm6
--
architecture low_level_definition of kcpsm6 is
--
-------------------------------------------------------------------------------------------
--
-- Signals used in kcpsm6
--
-------------------------------------------------------------------------------------------
--
-- State Machine and Interrupt
--
signal t_state_value : std_logic_vector(2 downto 1);
signal t_state : std_logic_vector(2 downto 1);
signal run_value : std_logic;
signal run : std_logic;
signal internal_reset_value : std_logic;
signal internal_reset : std_logic;
signal sync_sleep : std_logic;
signal int_enable_type : std_logic;
signal interrupt_enable_value : std_logic;
signal interrupt_enable : std_logic;
signal sync_interrupt : std_logic;
signal active_interrupt_value : std_logic;
signal active_interrupt : std_logic;
--
-- Arithmetic and Logical Functions
--
signal arith_logical_sel : std_logic_vector(2 downto 0);
signal arith_carry_in : std_logic;
signal arith_carry_value : std_logic;
signal arith_carry : std_logic;
signal half_arith_logical : std_logic_vector(7 downto 0);
signal logical_carry_mask : std_logic_vector(7 downto 0);
signal carry_arith_logical : std_logic_vector(7 downto 0);
signal arith_logical_value : std_logic_vector(7 downto 0);
signal arith_logical_result : std_logic_vector(7 downto 0);
--
-- Shift and Rotate Functions
--
signal shift_rotate_value : std_logic_vector(7 downto 0);
signal shift_rotate_result : std_logic_vector(7 downto 0);
signal shift_in_bit : std_logic;
--
-- ALU structure
--
signal alu_result : std_logic_vector(7 downto 0);
signal alu_mux_sel_value : std_logic_vector(1 downto 0);
signal alu_mux_sel : std_logic_vector(1 downto 0);
--
-- Strobes
--
signal strobe_type : std_logic;
signal write_strobe_value : std_logic;
signal k_write_strobe_value : std_logic;
signal read_strobe_value : std_logic;
--
-- Flags
--
signal flag_enable_type : std_logic;
signal flag_enable_value : std_logic;
signal flag_enable : std_logic;
signal lower_parity : std_logic;
signal lower_parity_sel : std_logic;
signal carry_lower_parity : std_logic;
signal upper_parity : std_logic;
signal parity : std_logic;
signal shift_carry_value : std_logic;
signal shift_carry : std_logic;
signal carry_flag_value : std_logic;
signal carry_flag : std_logic;
signal use_zero_flag_value : std_logic;
signal use_zero_flag : std_logic;
signal drive_carry_in_zero : std_logic;
signal carry_in_zero : std_logic;
signal lower_zero : std_logic;
signal lower_zero_sel : std_logic;
signal carry_lower_zero : std_logic;
signal middle_zero : std_logic;
signal middle_zero_sel : std_logic;
signal carry_middle_zero : std_logic;
signal upper_zero_sel : std_logic;
signal zero_flag_value : std_logic;
signal zero_flag : std_logic;
--
-- Scratch Pad Memory
--
signal spm_enable_value : std_logic;
signal spm_enable : std_logic;
signal spm_ram_data : std_logic_vector(7 downto 0);
signal spm_data : std_logic_vector(7 downto 0);
--
-- Registers
--
signal regbank_type : std_logic;
signal bank_value : std_logic;
signal bank : std_logic;
signal loadstar_type : std_logic;
signal sx_addr4_value : std_logic;
signal register_enable_type : std_logic;
signal register_enable_value : std_logic;
signal register_enable : std_logic;
signal sx_addr : std_logic_vector(4 downto 0);
signal sy_addr : std_logic_vector(4 downto 0);
signal sx : std_logic_vector(7 downto 0);
signal sy : std_logic_vector(7 downto 0);
--
-- Second Operand
--
signal sy_or_kk : std_logic_vector(7 downto 0);
--
-- Program Counter
--
signal pc_move_is_valid : std_logic;
signal move_type : std_logic;
signal returni_type : std_logic;
signal pc_mode : std_logic_vector(2 downto 0);
signal register_vector : std_logic_vector(11 downto 0);
signal half_pc : std_logic_vector(11 downto 0);
signal carry_pc : std_logic_vector(10 downto 0);
signal pc_value : std_logic_vector(11 downto 0);
signal pc : std_logic_vector(11 downto 0);
signal pc_vector : std_logic_vector(11 downto 0);
--
-- Program Counter Stack
--
signal push_stack : std_logic;
signal pop_stack : std_logic;
signal stack_memory : std_logic_vector(11 downto 0);
signal return_vector : std_logic_vector(11 downto 0);
signal stack_carry_flag : std_logic;
signal shadow_carry_flag : std_logic;
signal stack_zero_flag : std_logic;
signal shadow_zero_value : std_logic;
signal shadow_zero_flag : std_logic;
signal stack_bank : std_logic;
signal shadow_bank : std_logic;
signal stack_bit : std_logic;
signal special_bit : std_logic;
signal half_pointer_value : std_logic_vector(4 downto 0);
signal feed_pointer_value : std_logic_vector(4 downto 0);
signal stack_pointer_carry : std_logic_vector(4 downto 0);
signal stack_pointer_value : std_logic_vector(4 downto 0);
signal stack_pointer : std_logic_vector(4 downto 0);
--
--
--
--**********************************************************************************
--
-- Signals between these *** lines are only made visible during simulation
--
--synthesis translate off
--
signal kcpsm6_opcode : string(1 to 19):= "LOAD s0, s0 ";
signal kcpsm6_status : string(1 to 16):= "A,NZ,NC,ID,Reset";
signal sim_s0 : std_logic_vector(7 downto 0);
signal sim_s1 : std_logic_vector(7 downto 0);
signal sim_s2 : std_logic_vector(7 downto 0);
signal sim_s3 : std_logic_vector(7 downto 0);
signal sim_s4 : std_logic_vector(7 downto 0);
signal sim_s5 : std_logic_vector(7 downto 0);
signal sim_s6 : std_logic_vector(7 downto 0);
signal sim_s7 : std_logic_vector(7 downto 0);
signal sim_s8 : std_logic_vector(7 downto 0);
signal sim_s9 : std_logic_vector(7 downto 0);
signal sim_sA : std_logic_vector(7 downto 0);
signal sim_sB : std_logic_vector(7 downto 0);
signal sim_sC : std_logic_vector(7 downto 0);
signal sim_sD : std_logic_vector(7 downto 0);
signal sim_sE : std_logic_vector(7 downto 0);
signal sim_sF : std_logic_vector(7 downto 0);
signal sim_spm00 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm01 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm02 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm03 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm04 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm05 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm06 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm07 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm08 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm09 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm0A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm0B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm0C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm0D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm0E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm0F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm10 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm11 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm12 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm13 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm14 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm15 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm16 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm17 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm18 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm19 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm1A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm1B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm1C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm1D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm1E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm1F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm20 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm21 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm22 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm23 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm24 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm25 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm26 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm27 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm28 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm29 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm2A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm2B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm2C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm2D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm2E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm2F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm30 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm31 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm32 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm33 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm34 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm35 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm36 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm37 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm38 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm39 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm3A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm3B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm3C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm3D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm3E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm3F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm40 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm41 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm42 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm43 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm44 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm45 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm46 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm47 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm48 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm49 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm4A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm4B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm4C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm4D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm4E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm4F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm50 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm51 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm52 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm53 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm54 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm55 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm56 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm57 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm58 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm59 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm5A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm5B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm5C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm5D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm5E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm5F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm60 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm61 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm62 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm63 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm64 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm65 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm66 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm67 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm68 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm69 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm6A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm6B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm6C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm6D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm6E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm6F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm70 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm71 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm72 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm73 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm74 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm75 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm76 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm77 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm78 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm79 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm7A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm7B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm7C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm7D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm7E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm7F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm80 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm81 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm82 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm83 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm84 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm85 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm86 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm87 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm88 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm89 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm8A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm8B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm8C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm8D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm8E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm8F : std_logic_vector(7 downto 0) := X"00";
signal sim_spm90 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm91 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm92 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm93 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm94 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm95 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm96 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm97 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm98 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm99 : std_logic_vector(7 downto 0) := X"00";
signal sim_spm9A : std_logic_vector(7 downto 0) := X"00";
signal sim_spm9B : std_logic_vector(7 downto 0) := X"00";
signal sim_spm9C : std_logic_vector(7 downto 0) := X"00";
signal sim_spm9D : std_logic_vector(7 downto 0) := X"00";
signal sim_spm9E : std_logic_vector(7 downto 0) := X"00";
signal sim_spm9F : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA0 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA1 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA2 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA3 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA4 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA5 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA6 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA7 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA8 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmA9 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmAA : std_logic_vector(7 downto 0) := X"00";
signal sim_spmAB : std_logic_vector(7 downto 0) := X"00";
signal sim_spmAC : std_logic_vector(7 downto 0) := X"00";
signal sim_spmAD : std_logic_vector(7 downto 0) := X"00";
signal sim_spmAE : std_logic_vector(7 downto 0) := X"00";
signal sim_spmAF : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB0 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB1 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB2 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB3 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB4 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB5 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB6 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB7 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB8 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmB9 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmBA : std_logic_vector(7 downto 0) := X"00";
signal sim_spmBB : std_logic_vector(7 downto 0) := X"00";
signal sim_spmBC : std_logic_vector(7 downto 0) := X"00";
signal sim_spmBD : std_logic_vector(7 downto 0) := X"00";
signal sim_spmBE : std_logic_vector(7 downto 0) := X"00";
signal sim_spmBF : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC0 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC1 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC2 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC3 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC4 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC5 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC6 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC7 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC8 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmC9 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmCA : std_logic_vector(7 downto 0) := X"00";
signal sim_spmCB : std_logic_vector(7 downto 0) := X"00";
signal sim_spmCC : std_logic_vector(7 downto 0) := X"00";
signal sim_spmCD : std_logic_vector(7 downto 0) := X"00";
signal sim_spmCE : std_logic_vector(7 downto 0) := X"00";
signal sim_spmCF : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD0 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD1 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD2 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD3 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD4 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD5 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD6 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD7 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD8 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmD9 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmDA : std_logic_vector(7 downto 0) := X"00";
signal sim_spmDB : std_logic_vector(7 downto 0) := X"00";
signal sim_spmDC : std_logic_vector(7 downto 0) := X"00";
signal sim_spmDD : std_logic_vector(7 downto 0) := X"00";
signal sim_spmDE : std_logic_vector(7 downto 0) := X"00";
signal sim_spmDF : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE0 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE1 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE2 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE3 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE4 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE5 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE6 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE7 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE8 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmE9 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmEA : std_logic_vector(7 downto 0) := X"00";
signal sim_spmEB : std_logic_vector(7 downto 0) := X"00";
signal sim_spmEC : std_logic_vector(7 downto 0) := X"00";
signal sim_spmED : std_logic_vector(7 downto 0) := X"00";
signal sim_spmEE : std_logic_vector(7 downto 0) := X"00";
signal sim_spmEF : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF0 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF1 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF2 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF3 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF4 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF5 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF6 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF7 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF8 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmF9 : std_logic_vector(7 downto 0) := X"00";
signal sim_spmFA : std_logic_vector(7 downto 0) := X"00";
signal sim_spmFB : std_logic_vector(7 downto 0) := X"00";
signal sim_spmFC : std_logic_vector(7 downto 0) := X"00";
signal sim_spmFD : std_logic_vector(7 downto 0) := X"00";
signal sim_spmFE : std_logic_vector(7 downto 0) := X"00";
signal sim_spmFF : std_logic_vector(7 downto 0) := X"00";
--
--synthesis translate on
--
--**********************************************************************************
--
--
-------------------------------------------------------------------------------------------
--
-- Attributes to guide mapping of logic into Slices.
--
attribute hblknm : string;
attribute hblknm of reset_lut : label is "kcpsm6_control";
attribute hblknm of run_flop : label is "kcpsm6_control";
attribute hblknm of internal_reset_flop : label is "kcpsm6_control";
attribute hblknm of t_state_lut : label is "kcpsm6_control";
attribute hblknm of t_state1_flop : label is "kcpsm6_control";
attribute hblknm of t_state2_flop : label is "kcpsm6_control";
attribute hblknm of active_interrupt_lut : label is "kcpsm6_control";
attribute hblknm of active_interrupt_flop : label is "kcpsm6_control";
attribute hblknm of sx_addr4_flop : label is "kcpsm6_control";
attribute hblknm of arith_carry_xorcy : label is "kcpsm6_control";
attribute hblknm of arith_carry_flop : label is "kcpsm6_control";
attribute hblknm of zero_flag_flop : label is "kcpsm6_flags";
attribute hblknm of carry_flag_flop : label is "kcpsm6_flags";
attribute hblknm of carry_flag_lut : label is "kcpsm6_flags";
attribute hblknm of lower_zero_lut : label is "kcpsm6_flags";
attribute hblknm of middle_zero_lut : label is "kcpsm6_flags";
attribute hblknm of upper_zero_lut : label is "kcpsm6_flags";
attribute hblknm of init_zero_muxcy : label is "kcpsm6_flags";
attribute hblknm of lower_zero_muxcy : label is "kcpsm6_flags";
attribute hblknm of middle_zero_muxcy : label is "kcpsm6_flags";
attribute hblknm of upper_zero_muxcy : label is "kcpsm6_flags";
attribute hblknm of int_enable_type_lut : label is "kcpsm6_decode0";
attribute hblknm of move_type_lut : label is "kcpsm6_decode0";
attribute hblknm of pc_move_is_valid_lut : label is "kcpsm6_decode0";
attribute hblknm of interrupt_enable_lut : label is "kcpsm6_decode0";
attribute hblknm of interrupt_enable_flop : label is "kcpsm6_decode0";
attribute hblknm of alu_decode1_lut : label is "kcpsm6_decode1";
attribute hblknm of alu_mux_sel1_flop : label is "kcpsm6_decode1";
attribute hblknm of shift_carry_lut : label is "kcpsm6_decode1";
attribute hblknm of shift_carry_flop : label is "kcpsm6_decode1";
attribute hblknm of use_zero_flag_lut : label is "kcpsm6_decode1";
attribute hblknm of use_zero_flag_flop : label is "kcpsm6_decode1";
attribute hblknm of interrupt_ack_flop : label is "kcpsm6_decode1";
attribute hblknm of shadow_zero_flag_flop : label is "kcpsm6_decode1";
attribute hblknm of alu_decode0_lut : label is "kcpsm6_decode2";
attribute hblknm of alu_mux_sel0_flop : label is "kcpsm6_decode2";
attribute hblknm of alu_decode2_lut : label is "kcpsm6_decode2";
attribute hblknm of lower_parity_lut : label is "kcpsm6_decode2";
attribute hblknm of parity_muxcy : label is "kcpsm6_decode2";
attribute hblknm of upper_parity_lut : label is "kcpsm6_decode2";
attribute hblknm of parity_xorcy : label is "kcpsm6_decode2";
attribute hblknm of sync_sleep_flop : label is "kcpsm6_decode2";
attribute hblknm of sync_interrupt_flop : label is "kcpsm6_decode2";
attribute hblknm of push_pop_lut : label is "kcpsm6_stack1";
attribute hblknm of regbank_type_lut : label is "kcpsm6_stack1";
attribute hblknm of bank_lut : label is "kcpsm6_stack1";
attribute hblknm of bank_flop : label is "kcpsm6_stack1";
attribute hblknm of register_enable_type_lut : label is "kcpsm6_strobes";
attribute hblknm of register_enable_lut : label is "kcpsm6_strobes";
attribute hblknm of flag_enable_flop : label is "kcpsm6_strobes";
attribute hblknm of register_enable_flop : label is "kcpsm6_strobes";
attribute hblknm of spm_enable_lut : label is "kcpsm6_strobes";
attribute hblknm of k_write_strobe_flop : label is "kcpsm6_strobes";
attribute hblknm of spm_enable_flop : label is "kcpsm6_strobes";
attribute hblknm of read_strobe_lut : label is "kcpsm6_strobes";
attribute hblknm of write_strobe_flop : label is "kcpsm6_strobes";
attribute hblknm of read_strobe_flop : label is "kcpsm6_strobes";
attribute hblknm of stack_ram_low : label is "kcpsm6_stack_ram0";
attribute hblknm of shadow_carry_flag_flop : label is "kcpsm6_stack_ram0";
attribute hblknm of stack_zero_flop : label is "kcpsm6_stack_ram0";
attribute hblknm of shadow_bank_flop : label is "kcpsm6_stack_ram0";
attribute hblknm of stack_bit_flop : label is "kcpsm6_stack_ram0";
attribute hblknm of stack_ram_high : label is "kcpsm6_stack_ram1";
attribute hblknm of lower_reg_banks : label is "kcpsm6_reg0";
attribute hblknm of upper_reg_banks : label is "kcpsm6_reg1";
attribute hblknm of pc_mode1_lut : label is "kcpsm6_vector1";
attribute hblknm of pc_mode2_lut : label is "kcpsm6_vector1";
--
-------------------------------------------------------------------------------------------
--
-- Start of kcpsm6 circuit description
--
-- Summary of all primitives defined.
--
-- 29 x LUT6 79 LUTs (plus 1 LUT will be required to form a GND signal)
-- 50 x LUT6_2
-- 48 x FD 82 flip-flops
-- 20 x FDR (Depending on the value of 'hwbuild' up)
-- 0 x FDS (to eight FDR will be replaced by FDS )
-- 14 x FDRE
-- 29 x MUXCY
-- 27 x XORCY
-- 4 x RAM32M (16 LUTs)
--
-- 2 x RAM64M or 8 x RAM128X1S or 8 x RAM256X1S
-- (8 LUTs) (16 LUTs) (32 LUTs)
--
-------------------------------------------------------------------------------------------
--
begin
--
-------------------------------------------------------------------------------------------
--
-- Perform check of generic to report error as soon as possible.
--
-------------------------------------------------------------------------------------------
--
assert ((scratch_pad_memory_size = 64)
or (scratch_pad_memory_size = 128)
or (scratch_pad_memory_size = 256))
report "Invalid 'scratch_pad_memory_size'. Please set to 64, 128 or 256."
severity FAILURE;
--
-------------------------------------------------------------------------------------------
--
-- State Machine and Control
--
--
-- 1 x LUT6
-- 4 x LUT6_2
-- 9 x FD
--
-------------------------------------------------------------------------------------------
--
reset_lut: LUT6_2
generic map (INIT => X"FFFFF55500000EEE")
port map( I0 => run,
I1 => internal_reset,
I2 => stack_pointer_carry(4),
I3 => t_state(2),
I4 => reset,
I5 => '1',
O5 => run_value,
O6 => internal_reset_value);
run_flop: FD
port map ( D => run_value,
Q => run,
C => clk);
internal_reset_flop: FD
port map ( D => internal_reset_value,
Q => internal_reset,
C => clk);
sync_sleep_flop: FD
port map ( D => sleep,
Q => sync_sleep,
C => clk);
t_state_lut: LUT6_2
generic map (INIT => X"0083000B00C4004C")
port map( I0 => t_state(1),
I1 => t_state(2),
I2 => sync_sleep,
I3 => internal_reset,
I4 => special_bit,
I5 => '1',
O5 => t_state_value(1),
O6 => t_state_value(2));
t_state1_flop: FD
port map ( D => t_state_value(1),
Q => t_state(1),
C => clk);
t_state2_flop: FD
port map ( D => t_state_value(2),
Q => t_state(2),
C => clk);
int_enable_type_lut: LUT6_2
generic map (INIT => X"0010000000000800")
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => instruction(15),
I3 => instruction(16),
I4 => instruction(17),
I5 => '1',
O5 => loadstar_type,
O6 => int_enable_type);
interrupt_enable_lut: LUT6
generic map (INIT => X"000000000000CAAA")
port map( I0 => interrupt_enable,
I1 => instruction(0),
I2 => int_enable_type,
I3 => t_state(1),
I4 => active_interrupt,
I5 => internal_reset,
O => interrupt_enable_value);
interrupt_enable_flop: FD
port map ( D => interrupt_enable_value,
Q => interrupt_enable,
C => clk);
sync_interrupt_flop: FD
port map ( D => interrupt,
Q => sync_interrupt,
C => clk);
active_interrupt_lut: LUT6_2
generic map (INIT => X"CC33FF0080808080")
port map( I0 => interrupt_enable,
I1 => t_state(2),
I2 => sync_interrupt,
I3 => bank,
I4 => loadstar_type,
I5 => '1',
O5 => active_interrupt_value,
O6 => sx_addr4_value);
active_interrupt_flop: FD
port map ( D => active_interrupt_value,
Q => active_interrupt,
C => clk);
interrupt_ack_flop: FD
port map ( D => active_interrupt,
Q => interrupt_ack,
C => clk);
--
-------------------------------------------------------------------------------------------
--
-- Decoders
--
--
-- 2 x LUT6
-- 10 x LUT6_2
-- 2 x FD
-- 6 x FDR
--
-------------------------------------------------------------------------------------------
--
--
-- Decoding for Program Counter and Stack
--
pc_move_is_valid_lut: LUT6
generic map (INIT => X"5A3CFFFF00000000")
port map( I0 => carry_flag,
I1 => zero_flag,
I2 => instruction(14),
I3 => instruction(15),
I4 => instruction(16),
I5 => instruction(17),
O => pc_move_is_valid);
move_type_lut: LUT6_2
generic map (INIT => X"7777027700000200")
port map( I0 => instruction(12),
I1 => instruction(13),
I2 => instruction(14),
I3 => instruction(15),
I4 => instruction(16),
I5 => '1',
O5 => returni_type,
O6 => move_type);
pc_mode1_lut: LUT6_2
generic map (INIT => X"0000F000000023FF")
port map( I0 => instruction(12),
I1 => returni_type,
I2 => move_type,
I3 => pc_move_is_valid,
I4 => active_interrupt,
I5 => '1',
O5 => pc_mode(0),
O6 => pc_mode(1));
pc_mode2_lut: LUT6
generic map (INIT => X"FFFFFFFF00040000")
port map( I0 => instruction(12),
I1 => instruction(14),
I2 => instruction(15),
I3 => instruction(16),
I4 => instruction(17),
I5 => active_interrupt,
O => pc_mode(2));
push_pop_lut: LUT6_2
generic map (INIT => X"FFFF100000002000")
port map( I0 => instruction(12),
I1 => instruction(13),
I2 => move_type,
I3 => pc_move_is_valid,
I4 => active_interrupt,
I5 => '1',
O5 => pop_stack,
O6 => push_stack);
--
-- Decoding for ALU
--
alu_decode0_lut: LUT6_2
generic map (INIT => X"03CA000004200000")
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => instruction(15),
I3 => instruction(16),
I4 => '1',
I5 => '1',
O5 => alu_mux_sel_value(0),
O6 => arith_logical_sel(0));
alu_mux_sel0_flop: FD
port map ( D => alu_mux_sel_value(0),
Q => alu_mux_sel(0),
C => clk);
alu_decode1_lut: LUT6_2
generic map (INIT => X"7708000000000F00")
port map( I0 => carry_flag,
I1 => instruction(13),
I2 => instruction(14),
I3 => instruction(15),
I4 => instruction(16),
I5 => '1',
O5 => alu_mux_sel_value(1),
O6 => arith_carry_in);
alu_mux_sel1_flop: FD
port map ( D => alu_mux_sel_value(1),
Q => alu_mux_sel(1),
C => clk);
alu_decode2_lut: LUT6_2
generic map (INIT => X"D000000002000000")
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => '1',
I4 => '1',
I5 => '1',
O5 => arith_logical_sel(1),
O6 => arith_logical_sel(2));
--
-- Decoding for strobes and enables
--
register_enable_type_lut: LUT6_2
generic map (INIT => X"00013F3F0010F7CE")
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => instruction(15),
I3 => instruction(16),
I4 => instruction(17),
I5 => '1',
O5 => flag_enable_type,
O6 => register_enable_type);
register_enable_lut: LUT6_2
generic map (INIT => X"C0CC0000A0AA0000")
port map( I0 => flag_enable_type,
I1 => register_enable_type,
I2 => instruction(12),
I3 => instruction(17),
I4 => t_state(1),
I5 => '1',
O5 => flag_enable_value,
O6 => register_enable_value);
flag_enable_flop: FDR
port map ( D => flag_enable_value,
Q => flag_enable,
R => active_interrupt,
C => clk);
register_enable_flop: FDR
port map ( D => register_enable_value,
Q => register_enable,
R => active_interrupt,
C => clk);
spm_enable_lut: LUT6_2
generic map (INIT => X"8000000020000000")
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => instruction(17),
I3 => strobe_type,
I4 => t_state(1),
I5 => '1',
O5 => k_write_strobe_value,
O6 => spm_enable_value);
k_write_strobe_flop: FDR
port map ( D => k_write_strobe_value,
Q => k_write_strobe,
R => active_interrupt,
C => clk);
spm_enable_flop: FDR
port map ( D => spm_enable_value,
Q => spm_enable,
R => active_interrupt,
C => clk);
read_strobe_lut: LUT6_2
generic map (INIT => X"4000000001000000")
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => instruction(17),
I3 => strobe_type,
I4 => t_state(1),
I5 => '1',
O5 => read_strobe_value,
O6 => write_strobe_value);
write_strobe_flop: FDR
port map ( D => write_strobe_value,
Q => write_strobe,
R => active_interrupt,
C => clk);
read_strobe_flop: FDR
port map ( D => read_strobe_value,
Q => read_strobe,
R => active_interrupt,
C => clk);
--
-------------------------------------------------------------------------------------------
--
-- Register bank control
--
--
-- 2 x LUT6
-- 1 x FDR
-- 1 x FD
--
-------------------------------------------------------------------------------------------
--
regbank_type_lut: LUT6
generic map (INIT => X"0080020000000000")
port map( I0 => instruction(12),
I1 => instruction(13),
I2 => instruction(14),
I3 => instruction(15),
I4 => instruction(16),
I5 => instruction(17),
O => regbank_type);
bank_lut: LUT6
generic map (INIT => X"ACACFF00FF00FF00")
port map( I0 => instruction(0),
I1 => shadow_bank,
I2 => instruction(16),
I3 => bank,
I4 => regbank_type,
I5 => t_state(1),
O => bank_value);
bank_flop: FDR
port map ( D => bank_value,
Q => bank,
R => internal_reset,
C => clk);
sx_addr4_flop: FD
port map ( D => sx_addr4_value,
Q => sx_addr(4),
C => clk);
sx_addr(3 downto 0) <= instruction(11 downto 8);
sy_addr <= bank & instruction(7 downto 4);
--
-------------------------------------------------------------------------------------------
--
-- Flags
--
--
-- 3 x LUT6
-- 5 x LUT6_2
-- 3 x FD
-- 2 x FDRE
-- 2 x XORCY
-- 5 x MUXCY
--
-------------------------------------------------------------------------------------------
--
arith_carry_xorcy: XORCY
port map( LI => '0',
CI => carry_arith_logical(7),
O => arith_carry_value);
arith_carry_flop: FD
port map ( D => arith_carry_value,
Q => arith_carry,
C => clk);
lower_parity_lut: LUT6_2
generic map (INIT => X"0000000087780000")
port map( I0 => instruction(13),
I1 => carry_flag,
I2 => arith_logical_result(0),
I3 => arith_logical_result(1),
I4 => '1',
I5 => '1',
O5 => lower_parity,
O6 => lower_parity_sel);
parity_muxcy: MUXCY
port map( DI => lower_parity,
CI => '0',
S => lower_parity_sel,
O => carry_lower_parity);
upper_parity_lut: LUT6
generic map (INIT => X"6996966996696996")
port map( I0 => arith_logical_result(2),
I1 => arith_logical_result(3),
I2 => arith_logical_result(4),
I3 => arith_logical_result(5),
I4 => arith_logical_result(6),
I5 => arith_logical_result(7),
O => upper_parity);
parity_xorcy: XORCY
port map( LI => upper_parity,
CI => carry_lower_parity,
O => parity);
shift_carry_lut: LUT6
generic map (INIT => X"FFFFAACCF0F0F0F0")
port map( I0 => sx(0),
I1 => sx(7),
I2 => shadow_carry_flag,
I3 => instruction(3),
I4 => instruction(7),
I5 => instruction(16),
O => shift_carry_value);
shift_carry_flop: FD
port map ( D => shift_carry_value,
Q => shift_carry,
C => clk);
carry_flag_lut: LUT6_2
generic map (INIT => X"3333AACCF0AA0000")
port map( I0 => shift_carry,
I1 => arith_carry,
I2 => parity,
I3 => instruction(14),
I4 => instruction(15),
I5 => instruction(16),
O5 => drive_carry_in_zero,
O6 => carry_flag_value);
carry_flag_flop: FDRE
port map ( D => carry_flag_value,
Q => carry_flag,
CE => flag_enable,
R => internal_reset,
C => clk);
init_zero_muxcy: MUXCY
port map( DI => drive_carry_in_zero,
CI => '0',
S => carry_flag_value,
O => carry_in_zero);
use_zero_flag_lut: LUT6_2
generic map (INIT => X"A280000000F000F0")
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => instruction(15),
I3 => instruction(16),
I4 => '1',
I5 => '1',
O5 => strobe_type,
O6 => use_zero_flag_value);
use_zero_flag_flop: FD
port map ( D => use_zero_flag_value,
Q => use_zero_flag,
C => clk);
lower_zero_lut: LUT6_2
generic map (INIT => X"0000000000000001")
port map( I0 => alu_result(0),
I1 => alu_result(1),
I2 => alu_result(2),
I3 => alu_result(3),
I4 => alu_result(4),
I5 => '1',
O5 => lower_zero,
O6 => lower_zero_sel);
lower_zero_muxcy: MUXCY
port map( DI => lower_zero,
CI => carry_in_zero,
S => lower_zero_sel,
O => carry_lower_zero);
middle_zero_lut: LUT6_2
generic map (INIT => X"0000000D00000000")
port map( I0 => use_zero_flag,
I1 => zero_flag,
I2 => alu_result(5),
I3 => alu_result(6),
I4 => alu_result(7),
I5 => '1',
O5 => middle_zero,
O6 => middle_zero_sel);
middle_zero_muxcy: MUXCY
port map( DI => middle_zero,
CI => carry_lower_zero,
S => middle_zero_sel,
O => carry_middle_zero);
upper_zero_lut: LUT6
generic map (INIT => X"FBFF000000000000")
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => '1',
I4 => '1',
I5 => '1',
O => upper_zero_sel);
upper_zero_muxcy: MUXCY
port map( DI => shadow_zero_flag,
CI => carry_middle_zero,
S => upper_zero_sel,
O => zero_flag_value);
zero_flag_flop: FDRE
port map ( D => zero_flag_value,
Q => zero_flag,
CE => flag_enable,
R => internal_reset,
C => clk);
--
-------------------------------------------------------------------------------------------
--
-- 12-bit Program Address Generation
--
-------------------------------------------------------------------------------------------
--
--
-- Prepare 12-bit vector from the sX and sY register outputs.
--
register_vector <= sx(3 downto 0) & sy;
address_loop: for i in 0 to 11 generate
attribute hblknm : string;
attribute hblknm of pc_flop : label is "kcpsm6_pc" & integer'image(i/4);
attribute hblknm of return_vector_flop : label is "kcpsm6_stack_ram" & integer'image((i+4)/8);
begin
--
-------------------------------------------------------------------------------------------
--
-- Selection of vector to load program counter
--
-- instruction(12)
-- 0 Constant aaa from instruction(11:0)
-- 1 Return vector from stack
--
-- 'aaa' is used during 'JUMP aaa', 'JUMP c, aaa', 'CALL aaa' and 'CALL c, aaa'.
-- Return vector is used during 'RETURN', 'RETURN c', 'RETURN&LOAD' and 'RETURNI'.
--
-- 6 x LUT6_2
-- 12 x FD
--
-------------------------------------------------------------------------------------------
--
--
-- Pipeline output of the stack memory
--
return_vector_flop: FD
port map ( D => stack_memory(i),
Q => return_vector(i),
C => clk);
--
-- Multiplex instruction constant address and output from stack.
-- 2 bits per LUT so only generate when 'i' is even.
--
output_data: if (i rem 2)=0 generate
attribute hblknm : string;
attribute hblknm of pc_vector_mux_lut : label is "kcpsm6_vector" & integer'image(i/8);
begin
pc_vector_mux_lut: LUT6_2
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => instruction(i),
I1 => return_vector(i),
I2 => instruction(i+1),
I3 => return_vector(i+1),
I4 => instruction(12),
I5 => '1',
O5 => pc_vector(i),
O6 => pc_vector(i+1));
end generate output_data;
--
-------------------------------------------------------------------------------------------
--
-- Program Counter
--
-- Reset by internal_reset has highest priority.
-- Enabled by t_state(1) has second priority.
--
-- The function performed is defined by pc_mode(2:0).
--
-- pc_mode (2) (1) (0)
-- 0 0 1 pc+1 for normal program flow.
-- 1 0 0 Forces interrupt vector value (+0) during active interrupt.
-- The vector is defined by a generic with default value FF0 hex.
-- 1 1 0 register_vector (+0) for 'JUMP (sX, sY)' and 'CALL (sX, sY)'.
-- 0 1 0 pc_vector (+0) for 'JUMP/CALL aaa' and 'RETURNI'.
-- 0 1 1 pc_vector+1 for 'RETURN'.
--
-- Note that pc_mode(0) is High during operations that require an increment to occur.
-- The LUT6 associated with the LSB must invert pc or pc_vector in these cases and
-- pc_mode(0) also has to be connected to the start of the carry chain.
--
-- 3 Slices
-- 12 x LUT6
-- 11 x MUXCY
-- 12 x XORCY
-- 12 x FDRE
--
-------------------------------------------------------------------------------------------
--
pc_flop: FDRE
port map ( D => pc_value(i),
Q => pc(i),
R => internal_reset,
CE => t_state(1),
C => clk);
lsb_pc: if i=0 generate
attribute hblknm : string;
attribute hblknm of pc_xorcy : label is "kcpsm6_pc" & integer'image(i/4);
attribute hblknm of pc_muxcy : label is "kcpsm6_pc" & integer'image(i/4);
begin
--
-- Logic of LSB must invert selected value when pc_mode(0) is High.
-- The interrupt vector is defined by a generic.
--
low_int_vector: if interrupt_vector(i)='0' generate
attribute hblknm : string;
attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4);
begin
pc_lut: LUT6
generic map (INIT => X"00AA000033CC0F00")
port map( I0 => register_vector(i),
I1 => pc_vector(i),
I2 => pc(i),
I3 => pc_mode(0),
I4 => pc_mode(1),
I5 => pc_mode(2),
O => half_pc(i));
end generate low_int_vector;
high_int_vector: if interrupt_vector(i)='1' generate
attribute hblknm : string;
attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4);
begin
pc_lut: LUT6
generic map (INIT => X"00AA00FF33CC0F00")
port map( I0 => register_vector(i),
I1 => pc_vector(i),
I2 => pc(i),
I3 => pc_mode(0),
I4 => pc_mode(1),
I5 => pc_mode(2),
O => half_pc(i));
end generate high_int_vector;
--
-- pc_mode(0) connected to first MUXCY and carry input is '0'
--
pc_xorcy: XORCY
port map( LI => half_pc(i),
CI => '0',
O => pc_value(i));
pc_muxcy: MUXCY
port map( DI => pc_mode(0),
CI => '0',
S => half_pc(i),
O => carry_pc(i));
end generate lsb_pc;
upper_pc: if i>0 generate
attribute hblknm : string;
attribute hblknm of pc_xorcy : label is "kcpsm6_pc" & integer'image(i/4);
begin
--
-- Logic of upper section selects required value.
-- The interrupt vector is defined by a generic.
--
low_int_vector: if interrupt_vector(i)='0' generate
attribute hblknm : string;
attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4);
begin
pc_lut: LUT6
generic map (INIT => X"00AA0000CCCCF000")
port map( I0 => register_vector(i),
I1 => pc_vector(i),
I2 => pc(i),
I3 => pc_mode(0),
I4 => pc_mode(1),
I5 => pc_mode(2),
O => half_pc(i));
end generate low_int_vector;
high_int_vector: if interrupt_vector(i)='1' generate
attribute hblknm : string;
attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4);
begin
pc_lut: LUT6
generic map (INIT => X"00AA00FFCCCCF000")
port map( I0 => register_vector(i),
I1 => pc_vector(i),
I2 => pc(i),
I3 => pc_mode(0),
I4 => pc_mode(1),
I5 => pc_mode(2),
O => half_pc(i));
end generate high_int_vector;
--
-- Carry chain implementing remainder of increment function
--
pc_xorcy: XORCY
port map( LI => half_pc(i),
CI => carry_pc(i-1),
O => pc_value(i));
--
-- No MUXCY required at the top of the chain
--
mid_pc: if i<11 generate
attribute hblknm : string;
attribute hblknm of pc_muxcy : label is "kcpsm6_pc" & integer'image(i/4);
begin
pc_muxcy: MUXCY
port map( DI => '0',
CI => carry_pc(i-1),
S => half_pc(i),
O => carry_pc(i));
end generate mid_pc;
end generate upper_pc;
--
-------------------------------------------------------------------------------------------
--
end generate address_loop;
--
-------------------------------------------------------------------------------------------
--
-- Stack
-- Preserves upto 31 nested values of the Program Counter during CALL and RETURN.
-- Also preserves flags and bank selection during interrupt.
--
-- 2 x RAM32M
-- 4 x FD
-- 5 x FDR
-- 1 x LUT6
-- 4 x LUT6_2
-- 5 x XORCY
-- 5 x MUXCY
--
-------------------------------------------------------------------------------------------
--
shadow_carry_flag_flop: FD
port map ( D => stack_carry_flag,
Q => shadow_carry_flag,
C => clk);
stack_zero_flop: FD
port map ( D => stack_zero_flag,
Q => shadow_zero_value,
C => clk);
shadow_zero_flag_flop: FD
port map ( D => shadow_zero_value,
Q => shadow_zero_flag,
C => clk);
shadow_bank_flop: FD
port map ( D => stack_bank,
Q => shadow_bank,
C => clk);
stack_bit_flop: FD
port map ( D => stack_bit,
Q => special_bit,
C => clk);
stack_ram_low : RAM32M
generic map (INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000")
port map ( DOA(0) => stack_carry_flag,
DOA(1) => stack_zero_flag,
DOB(0) => stack_bank,
DOB(1) => stack_bit,
DOC => stack_memory(1 downto 0),
DOD => stack_memory(3 downto 2),
ADDRA => stack_pointer(4 downto 0),
ADDRB => stack_pointer(4 downto 0),
ADDRC => stack_pointer(4 downto 0),
ADDRD => stack_pointer(4 downto 0),
DIA(0) => carry_flag,
DIA(1) => zero_flag,
DIB(0) => bank,
DIB(1) => run,
DIC => pc(1 downto 0),
DID => pc(3 downto 2),
WE => t_state(1),
WCLK => clk );
stack_ram_high : RAM32M
generic map (INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000")
port map ( DOA => stack_memory(5 downto 4),
DOB => stack_memory(7 downto 6),
DOC => stack_memory(9 downto 8),
DOD => stack_memory(11 downto 10),
ADDRA => stack_pointer(4 downto 0),
ADDRB => stack_pointer(4 downto 0),
ADDRC => stack_pointer(4 downto 0),
ADDRD => stack_pointer(4 downto 0),
DIA => pc(5 downto 4),
DIB => pc(7 downto 6),
DIC => pc(9 downto 8),
DID => pc(11 downto 10),
WE => t_state(1),
WCLK => clk );
stack_loop: for i in 0 to 4 generate
begin
lsb_stack: if i=0 generate
attribute hblknm : string;
attribute hblknm of pointer_flop : label is "kcpsm6_stack" & integer'image(i/4);
attribute hblknm of stack_pointer_lut : label is "kcpsm6_stack" & integer'image(i/4);
attribute hblknm of stack_xorcy : label is "kcpsm6_stack" & integer'image(i/4);
attribute hblknm of stack_muxcy : label is "kcpsm6_stack" & integer'image(i/4);
begin
pointer_flop: FDR
port map ( D => stack_pointer_value(i),
Q => stack_pointer(i),
R => internal_reset,
C => clk);
stack_pointer_lut: LUT6_2
generic map (INIT => X"001529AAAAAAAAAA")
port map( I0 => stack_pointer(i),
I1 => pop_stack,
I2 => push_stack,
I3 => t_state(1),
I4 => t_state(2),
I5 => '1',
O5 => feed_pointer_value(i),
O6 => half_pointer_value(i));
stack_xorcy: XORCY
port map( LI => half_pointer_value(i),
CI => '0',
O => stack_pointer_value(i));
stack_muxcy: MUXCY
port map( DI => feed_pointer_value(i),
CI => '0',
S => half_pointer_value(i),
O => stack_pointer_carry(i));
end generate lsb_stack;
upper_stack: if i>0 generate
attribute hblknm : string;
attribute hblknm of pointer_flop : label is "kcpsm6_stack" & integer'image(i/4);
attribute hblknm of stack_pointer_lut : label is "kcpsm6_stack" & integer'image(i/4);
attribute hblknm of stack_xorcy : label is "kcpsm6_stack" & integer'image(i/4);
attribute hblknm of stack_muxcy : label is "kcpsm6_stack" & integer'image(i/4);
begin
pointer_flop: FDR
port map ( D => stack_pointer_value(i),
Q => stack_pointer(i),
R => internal_reset,
C => clk);
stack_pointer_lut: LUT6_2
generic map (INIT => X"002A252AAAAAAAAA")
port map( I0 => stack_pointer(i),
I1 => pop_stack,
I2 => push_stack,
I3 => t_state(1),
I4 => t_state(2),
I5 => '1',
O5 => feed_pointer_value(i),
O6 => half_pointer_value(i));
stack_xorcy: XORCY
port map( LI => half_pointer_value(i),
CI => stack_pointer_carry(i-1),
O => stack_pointer_value(i));
stack_muxcy: MUXCY
port map( DI => feed_pointer_value(i),
CI => stack_pointer_carry(i-1),
S => half_pointer_value(i),
O => stack_pointer_carry(i));
end generate upper_stack;
end generate stack_loop;
--
-------------------------------------------------------------------------------------------
--
-- 8-bit Data Path
--
-------------------------------------------------------------------------------------------
--
data_path_loop: for i in 0 to 7 generate
attribute hblknm : string;
attribute hblknm of arith_logical_lut : label is "kcpsm6_add" & integer'image(i/4);
attribute hblknm of arith_logical_flop : label is "kcpsm6_add" & integer'image(i/4);
attribute hblknm of alu_mux_lut : label is "kcpsm6_alu" & integer'image(i/4);
begin
--
-------------------------------------------------------------------------------------------
--
-- Selection of second operand to ALU and port_id
--
-- instruction(12)
-- 0 Register sY
-- 1 Constant kk
--
-- 4 x LUT6_2
--
-------------------------------------------------------------------------------------------
--
--
-- 2 bits per LUT so only generate when 'i' is even
--
output_data: if (i rem 2)=0 generate
attribute hblknm : string;
attribute hblknm of sy_kk_mux_lut : label is "kcpsm6_port_id";
begin
sy_kk_mux_lut: LUT6_2
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => sy(i),
I1 => instruction(i),
I2 => sy(i+1),
I3 => instruction(i+1),
I4 => instruction(12),
I5 => '1',
O5 => sy_or_kk(i),
O6 => sy_or_kk(i+1));
end generate output_data;
--
-------------------------------------------------------------------------------------------
--
-- Selection of out_port value
--
-- instruction(13)
-- 0 Register sX
-- 1 Constant kk from instruction(11:4)
--
-- 4 x LUT6_2
--
-------------------------------------------------------------------------------------------
--
--
-- 2 bits per LUT so only generate when 'i' is even
--
second_operand: if (i rem 2)=0 generate
attribute hblknm : string;
attribute hblknm of out_port_lut : label is "kcpsm6_out_port";
begin
out_port_lut: LUT6_2
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => sx(i),
I1 => instruction(i+4),
I2 => sx(i+1),
I3 => instruction(i+5),
I4 => instruction(13),
I5 => '1',
O5 => out_port(i),
O6 => out_port(i+1));
end generate second_operand;
--
-------------------------------------------------------------------------------------------
--
-- Arithmetic and Logical operations
--
-- Definition of....
-- ADD and SUB also used for ADDCY, SUBCY, COMPARE and COMPARECY.
-- LOAD, AND, OR and XOR also used for LOAD*, RETURN&LOAD, TEST and TESTCY.
--
-- arith_logical_sel (2) (1) (0)
-- 0 0 0 - LOAD
-- 0 0 1 - AND
-- 0 1 0 - OR
-- 0 1 1 - XOR
-- 1 X 0 - SUB
-- 1 X 1 - ADD
--
-- Includes pipeline stage.
--
-- 2 Slices
-- 8 x LUT6_2
-- 8 x MUXCY
-- 8 x XORCY
-- 8 x FD
--
-------------------------------------------------------------------------------------------
--
arith_logical_lut: LUT6_2
generic map (INIT => X"69696E8ACCCC0000")
port map( I0 => sy_or_kk(i),
I1 => sx(i),
I2 => arith_logical_sel(0),
I3 => arith_logical_sel(1),
I4 => arith_logical_sel(2),
I5 => '1',
O5 => logical_carry_mask(i),
O6 => half_arith_logical(i));
arith_logical_flop: FD
port map ( D => arith_logical_value(i),
Q => arith_logical_result(i),
C => clk);
lsb_arith_logical: if i=0 generate
attribute hblknm : string;
attribute hblknm of arith_logical_muxcy : label is "kcpsm6_add" & integer'image(i/4);
attribute hblknm of arith_logical_xorcy : label is "kcpsm6_add" & integer'image(i/4);
begin
--
-- Carry input to first MUXCY and XORCY
--
arith_logical_muxcy: MUXCY
port map( DI => logical_carry_mask(i),
CI => arith_carry_in,
S => half_arith_logical(i),
O => carry_arith_logical(i));
arith_logical_xorcy: XORCY
port map( LI => half_arith_logical(i),
CI => arith_carry_in,
O => arith_logical_value(i));
end generate lsb_arith_logical;
upper_arith_logical: if i>0 generate
attribute hblknm : string;
attribute hblknm of arith_logical_muxcy : label is "kcpsm6_add" & integer'image(i/4);
attribute hblknm of arith_logical_xorcy : label is "kcpsm6_add" & integer'image(i/4);
begin
--
-- Main carry chain
--
arith_logical_muxcy: MUXCY
port map( DI => logical_carry_mask(i),
CI => carry_arith_logical(i-1),
S => half_arith_logical(i),
O => carry_arith_logical(i));
arith_logical_xorcy: XORCY
port map( LI => half_arith_logical(i),
CI => carry_arith_logical(i-1),
O => arith_logical_value(i));
end generate upper_arith_logical;
--
-------------------------------------------------------------------------------------------
--
-- Shift and Rotate operations
--
-- Definition of SL0, SL1, SLX, SLA, RL, SR0, SR1, SRX, SRA, and RR
--
-- instruction (3) (2) (1) (0)
-- 0 1 1 0 - SL0
-- 0 1 1 1 - SL1
-- 0 1 0 0 - SLX
-- 0 0 0 0 - SLA
-- 0 0 1 0 - RL
-- 1 1 1 0 - SR0
-- 1 1 1 1 - SR1
-- 1 0 1 0 - SRX
-- 1 0 0 0 - SRA
-- 1 1 0 0 - RR
--
-- instruction(3)
-- 0 - Left
-- 1 - Right
--
-- instruction (2) (1) Bit shifted in
-- 0 0 Carry_flag
-- 0 1 sX(7)
-- 1 0 sX(0)
-- 1 1 instruction(0)
--
-- Includes pipeline stage.
--
-- 4 x LUT6_2
-- 1 x LUT6
-- 8 x FD
--
-------------------------------------------------------------------------------------------
--
low_hwbuild: if hwbuild(i)='0' generate
attribute hblknm : string;
attribute hblknm of shift_rotate_flop : label is "kcpsm6_sandr";
begin
--
-- Reset Flip-flop to form '0' for this bit of HWBUILD
--
shift_rotate_flop: FDR
port map ( D => shift_rotate_value(i),
Q => shift_rotate_result(i),
R => instruction(7),
C => clk);
end generate low_hwbuild;
high_hwbuild: if hwbuild(i)='1' generate
attribute hblknm : string;
attribute hblknm of shift_rotate_flop : label is "kcpsm6_sandr";
begin
--
-- Set Flip-flop to form '1' for this bit of HWBUILD
--
shift_rotate_flop: FDS
port map ( D => shift_rotate_value(i),
Q => shift_rotate_result(i),
S => instruction(7),
C => clk);
end generate high_hwbuild;
lsb_shift_rotate: if i=0 generate
attribute hblknm : string;
attribute hblknm of shift_rotate_lut : label is "kcpsm6_sandr";
attribute hblknm of shift_bit_lut : label is "kcpsm6_decode1";
begin
--
-- Select bit to be shifted or rotated into result
--
shift_bit_lut: LUT6
generic map (INIT => X"BFBC8F8CB3B08380")
port map( I0 => instruction(0),
I1 => instruction(1),
I2 => instruction(2),
I3 => carry_flag,
I4 => sx(0),
I5 => sx(7),
O => shift_in_bit);
--
-- Define lower bits of result
--
shift_rotate_lut: LUT6_2
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => shift_in_bit,
I1 => sx(i+1),
I2 => sx(i),
I3 => sx(i+2),
I4 => instruction(3),
I5 => '1',
O5 => shift_rotate_value(i),
O6 => shift_rotate_value(i+1));
end generate lsb_shift_rotate;
mid_shift_rotate: if i=2 or i=4 generate
attribute hblknm : string;
attribute hblknm of shift_rotate_lut : label is "kcpsm6_sandr";
begin
--
-- Define middle bits of result
--
shift_rotate_lut: LUT6_2
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => sx(i-1),
I1 => sx(i+1),
I2 => sx(i),
I3 => sx(i+2),
I4 => instruction(3),
I5 => '1',
O5 => shift_rotate_value(i),
O6 => shift_rotate_value(i+1));
end generate mid_shift_rotate;
msb_shift_rotate: if i=6 generate
attribute hblknm : string;
attribute hblknm of shift_rotate_lut : label is "kcpsm6_sandr";
begin
--
-- Define upper bits of result
--
shift_rotate_lut: LUT6_2
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => sx(i-1),
I1 => sx(i+1),
I2 => sx(i),
I3 => shift_in_bit,
I4 => instruction(3),
I5 => '1',
O5 => shift_rotate_value(i),
O6 => shift_rotate_value(i+1));
end generate msb_shift_rotate;
--
-------------------------------------------------------------------------------------------
--
-- Multiplex outputs from ALU functions, scratch pad memory and input port.
--
-- alu_mux_sel (1) (0)
-- 0 0 Arithmetic and Logical Instructions
-- 0 1 Shift and Rotate Instructions
-- 1 0 Input Port
-- 1 1 Scratch Pad Memory
--
-- 8 x LUT6
--
-------------------------------------------------------------------------------------------
--
alu_mux_lut: LUT6
generic map (INIT => X"FF00F0F0CCCCAAAA")
port map( I0 => arith_logical_result(i),
I1 => shift_rotate_result(i),
I2 => in_port(i),
I3 => spm_data(i),
I4 => alu_mux_sel(0),
I5 => alu_mux_sel(1),
O => alu_result(i));
--
-------------------------------------------------------------------------------------------
--
-- Scratchpad Memory with output register.
--
-- The size of the scratch pad memory is defined by the 'scratch_pad_memory_size' generic.
-- The default size is 64 bytes the same as KCPSM3 but this can be increased to 128 or 256
-- bytes at an additional cost of 2 and 6 Slices.
--
--
-- 8 x RAM256X1S (256 bytes).
-- 8 x RAM128X1S (128 bytes).
-- 2 x RAM64M (64 bytes).
--
-- 8 x FD.
--
-------------------------------------------------------------------------------------------
--
small_spm: if scratch_pad_memory_size = 64 generate
attribute hblknm : string;
attribute hblknm of spm_flop : label is "kcpsm6_spm" & integer'image(i/4);
begin
spm_flop: FD
port map ( D => spm_ram_data(i),
Q => spm_data(i),
C => clk);
small_spm_ram: if (i=0 or i=4) generate
attribute hblknm of spm_ram : label is "kcpsm6_spm" & integer'image(i/4);
begin
spm_ram: RAM64M
generic map ( INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000")
port map ( DOA => spm_ram_data(i),
DOB => spm_ram_data(i+1),
DOC => spm_ram_data(i+2),
DOD => spm_ram_data(i+3),
ADDRA => sy_or_kk(5 downto 0),
ADDRB => sy_or_kk(5 downto 0),
ADDRC => sy_or_kk(5 downto 0),
ADDRD => sy_or_kk(5 downto 0),
DIA => sx(i),
DIB => sx(i+1),
DIC => sx(i+2),
DID => sx(i+3),
WE => spm_enable,
WCLK => clk );
end generate small_spm_ram;
end generate small_spm;
medium_spm: if scratch_pad_memory_size = 128 generate
attribute hblknm : string;
attribute hblknm of spm_ram : label is "kcpsm6_spm" & integer'image(i/2);
attribute hblknm of spm_flop : label is "kcpsm6_spm" & integer'image(i/2);
begin
spm_ram: RAM128X1S
generic map(INIT => X"00000000000000000000000000000000")
port map ( D => sx(i),
WE => spm_enable,
WCLK => clk,
A0 => sy_or_kk(0),
A1 => sy_or_kk(1),
A2 => sy_or_kk(2),
A3 => sy_or_kk(3),
A4 => sy_or_kk(4),
A5 => sy_or_kk(5),
A6 => sy_or_kk(6),
O => spm_ram_data(i));
spm_flop: FD
port map ( D => spm_ram_data(i),
Q => spm_data(i),
C => clk);
end generate medium_spm;
large_spm: if scratch_pad_memory_size = 256 generate
attribute hblknm : string;
attribute hblknm of spm_ram : label is "kcpsm6_spm" & integer'image(i);
attribute hblknm of spm_flop : label is "kcpsm6_spm" & integer'image(i);
begin
spm_ram: RAM256X1S
generic map(INIT => X"0000000000000000000000000000000000000000000000000000000000000000")
port map ( D => sx(i),
WE => spm_enable,
WCLK => clk,
A => sy_or_kk,
O => spm_ram_data(i));
spm_flop: FD
port map ( D => spm_ram_data(i),
Q => spm_data(i),
C => clk);
end generate large_spm;
--
-------------------------------------------------------------------------------------------
--
end generate data_path_loop;
--
-------------------------------------------------------------------------------------------
--
-- Two Banks of 16 General Purpose Registers.
--
-- sx_addr - Address for sX is formed by bank select and instruction[11:8]
-- sy_addr - Address for sY is formed by bank select and instruction[7:4]
--
-- 2 Slices
-- 2 x RAM32M
--
-------------------------------------------------------------------------------------------
--
lower_reg_banks : RAM32M
generic map (INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000")
port map ( DOA => sy(1 downto 0),
DOB => sx(1 downto 0),
DOC => sy(3 downto 2),
DOD => sx(3 downto 2),
ADDRA => sy_addr,
ADDRB => sx_addr,
ADDRC => sy_addr,
ADDRD => sx_addr,
DIA => alu_result(1 downto 0),
DIB => alu_result(1 downto 0),
DIC => alu_result(3 downto 2),
DID => alu_result(3 downto 2),
WE => register_enable,
WCLK => clk );
upper_reg_banks : RAM32M
generic map (INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000")
port map ( DOA => sy(5 downto 4),
DOB => sx(5 downto 4),
DOC => sy(7 downto 6),
DOD => sx(7 downto 6),
ADDRA => sy_addr,
ADDRB => sx_addr,
ADDRC => sy_addr,
ADDRD => sx_addr,
DIA => alu_result(5 downto 4),
DIB => alu_result(5 downto 4),
DIC => alu_result(7 downto 6),
DID => alu_result(7 downto 6),
WE => register_enable,
WCLK => clk );
--
-------------------------------------------------------------------------------------------
--
-- Connections to KCPSM6 outputs.
--
-------------------------------------------------------------------------------------------
--
address <= pc;
bram_enable <= t_state(2);
--
-------------------------------------------------------------------------------------------
--
-- Connections KCPSM6 Outputs.
--
-------------------------------------------------------------------------------------------
--
port_id <= sy_or_kk;
--
-------------------------------------------------------------------------------------------
--
-- End of description for kcpsm6 macro.
--
-------------------------------------------------------------------------------------------
--
-- *****************************************************
-- * Code for simulation purposes only after this line *
-- *****************************************************
--
--
-- Disassemble the instruction codes to form a text string for display.
-- Determine status of reset and flags and present in the form of a text string.
-- Provide signals to simulate the contents of each register and scratch pad memory
-- location.
--
-------------------------------------------------------------------------------------------
--
--All of this section is ignored during synthesis.
--synthesis translate off
simulation: process (clk, instruction, carry_flag, zero_flag, bank, interrupt_enable)
--
-- Variables for contents of each register in each bank
--
variable bank_a_s0 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s1 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s2 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s3 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s4 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s5 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s6 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s7 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s8 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_s9 : std_logic_vector(7 downto 0) := X"00";
variable bank_a_sa : std_logic_vector(7 downto 0) := X"00";
variable bank_a_sb : std_logic_vector(7 downto 0) := X"00";
variable bank_a_sc : std_logic_vector(7 downto 0) := X"00";
variable bank_a_sd : std_logic_vector(7 downto 0) := X"00";
variable bank_a_se : std_logic_vector(7 downto 0) := X"00";
variable bank_a_sf : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s0 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s1 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s2 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s3 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s4 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s5 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s6 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s7 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s8 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_s9 : std_logic_vector(7 downto 0) := X"00";
variable bank_b_sa : std_logic_vector(7 downto 0) := X"00";
variable bank_b_sb : std_logic_vector(7 downto 0) := X"00";
variable bank_b_sc : std_logic_vector(7 downto 0) := X"00";
variable bank_b_sd : std_logic_vector(7 downto 0) := X"00";
variable bank_b_se : std_logic_vector(7 downto 0) := X"00";
variable bank_b_sf : std_logic_vector(7 downto 0) := X"00";
--
-- Temporary variables for instruction decoding
--
variable sx_decode : string(1 to 2); -- sX register specification
variable sy_decode : string(1 to 2); -- sY register specification
variable kk_decode : string(1 to 2); -- constant value kk, pp or ss
variable aaa_decode : string(1 to 3); -- address value aaa
--
-----------------------------------------------------------------------------------------
--
-- Function to convert 4-bit binary nibble to hexadecimal character
--
-----------------------------------------------------------------------------------------
--
function hexcharacter (nibble: std_logic_vector(3 downto 0))
return character is
variable hex: character;
begin
case nibble is
when "0000" => hex := '0';
when "0001" => hex := '1';
when "0010" => hex := '2';
when "0011" => hex := '3';
when "0100" => hex := '4';
when "0101" => hex := '5';
when "0110" => hex := '6';
when "0111" => hex := '7';
when "1000" => hex := '8';
when "1001" => hex := '9';
when "1010" => hex := 'A';
when "1011" => hex := 'B';
when "1100" => hex := 'C';
when "1101" => hex := 'D';
when "1110" => hex := 'E';
when "1111" => hex := 'F';
when others => hex := 'x';
end case;
return hex;
end hexcharacter;
--
-----------------------------------------------------------------------------------------
--
begin
-- decode first register sX
sx_decode(1) := 's';
sx_decode(2) := hexcharacter(instruction(11 downto 8));
-- decode second register sY
sy_decode(1) := 's';
sy_decode(2) := hexcharacter(instruction(7 downto 4));
-- decode constant value
kk_decode(1) := hexcharacter(instruction(7 downto 4));
kk_decode(2) := hexcharacter(instruction(3 downto 0));
-- address value
aaa_decode(1) := hexcharacter(instruction(11 downto 8));
aaa_decode(2) := hexcharacter(instruction(7 downto 4));
aaa_decode(3) := hexcharacter(instruction(3 downto 0));
-- decode instruction
case instruction(17 downto 12) is
when "000000" => kcpsm6_opcode <= "LOAD " & sx_decode & ", " & sy_decode & " ";
when "000001" => kcpsm6_opcode <= "LOAD " & sx_decode & ", " & kk_decode & " ";
when "010110" => kcpsm6_opcode <= "STAR " & sx_decode & ", " & sy_decode & " ";
when "000010" => kcpsm6_opcode <= "AND " & sx_decode & ", " & sy_decode & " ";
when "000011" => kcpsm6_opcode <= "AND " & sx_decode & ", " & kk_decode & " ";
when "000100" => kcpsm6_opcode <= "OR " & sx_decode & ", " & sy_decode & " ";
when "000101" => kcpsm6_opcode <= "OR " & sx_decode & ", " & kk_decode & " ";
when "000110" => kcpsm6_opcode <= "XOR " & sx_decode & ", " & sy_decode & " ";
when "000111" => kcpsm6_opcode <= "XOR " & sx_decode & ", " & kk_decode & " ";
when "001100" => kcpsm6_opcode <= "TEST " & sx_decode & ", " & sy_decode & " ";
when "001101" => kcpsm6_opcode <= "TEST " & sx_decode & ", " & kk_decode & " ";
when "001110" => kcpsm6_opcode <= "TESTCY " & sx_decode & ", " & sy_decode & " ";
when "001111" => kcpsm6_opcode <= "TESTCY " & sx_decode & ", " & kk_decode & " ";
when "010000" => kcpsm6_opcode <= "ADD " & sx_decode & ", " & sy_decode & " ";
when "010001" => kcpsm6_opcode <= "ADD " & sx_decode & ", " & kk_decode & " ";
when "010010" => kcpsm6_opcode <= "ADDCY " & sx_decode & ", " & sy_decode & " ";
when "010011" => kcpsm6_opcode <= "ADDCY " & sx_decode & ", " & kk_decode & " ";
when "011000" => kcpsm6_opcode <= "SUB " & sx_decode & ", " & sy_decode & " ";
when "011001" => kcpsm6_opcode <= "SUB " & sx_decode & ", " & kk_decode & " ";
when "011010" => kcpsm6_opcode <= "SUBCY " & sx_decode & ", " & sy_decode & " ";
when "011011" => kcpsm6_opcode <= "SUBCY " & sx_decode & ", " & kk_decode & " ";
when "011100" => kcpsm6_opcode <= "COMPARE " & sx_decode & ", " & sy_decode & " ";
when "011101" => kcpsm6_opcode <= "COMPARE " & sx_decode & ", " & kk_decode & " ";
when "011110" => kcpsm6_opcode <= "COMPARECY " & sx_decode & ", " & sy_decode & " ";
when "011111" => kcpsm6_opcode <= "COMPARECY " & sx_decode & ", " & kk_decode & " ";
when "010100" =>
if instruction(7) = '1' then
kcpsm6_opcode <= "HWBUILD " & sx_decode & " ";
else
case instruction(3 downto 0) is
when "0110" => kcpsm6_opcode <= "SL0 " & sx_decode & " ";
when "0111" => kcpsm6_opcode <= "SL1 " & sx_decode & " ";
when "0100" => kcpsm6_opcode <= "SLX " & sx_decode & " ";
when "0000" => kcpsm6_opcode <= "SLA " & sx_decode & " ";
when "0010" => kcpsm6_opcode <= "RL " & sx_decode & " ";
when "1110" => kcpsm6_opcode <= "SR0 " & sx_decode & " ";
when "1111" => kcpsm6_opcode <= "SR1 " & sx_decode & " ";
when "1010" => kcpsm6_opcode <= "SRX " & sx_decode & " ";
when "1000" => kcpsm6_opcode <= "SRA " & sx_decode & " ";
when "1100" => kcpsm6_opcode <= "RR " & sx_decode & " ";
when others => kcpsm6_opcode <= "Invalid Instruction";
end case;
end if;
when "101100" => kcpsm6_opcode <= "OUTPUT " & sx_decode & ", (" & sy_decode & ") ";
when "101101" => kcpsm6_opcode <= "OUTPUT " & sx_decode & ", " & kk_decode & " ";
when "101011" => kcpsm6_opcode <= "OUTPUTK " & aaa_decode(1) & aaa_decode(2)
& ", " & aaa_decode(3) & " ";
when "001000" => kcpsm6_opcode <= "INPUT " & sx_decode & ", (" & sy_decode & ") ";
when "001001" => kcpsm6_opcode <= "INPUT " & sx_decode & ", " & kk_decode & " ";
when "101110" => kcpsm6_opcode <= "STORE " & sx_decode & ", (" & sy_decode & ") ";
when "101111" => kcpsm6_opcode <= "STORE " & sx_decode & ", " & kk_decode & " ";
when "001010" => kcpsm6_opcode <= "FETCH " & sx_decode & ", (" & sy_decode & ") ";
when "001011" => kcpsm6_opcode <= "FETCH " & sx_decode & ", " & kk_decode & " ";
when "100010" => kcpsm6_opcode <= "JUMP " & aaa_decode & " ";
when "110010" => kcpsm6_opcode <= "JUMP Z, " & aaa_decode & " ";
when "110110" => kcpsm6_opcode <= "JUMP NZ, " & aaa_decode & " ";
when "111010" => kcpsm6_opcode <= "JUMP C, " & aaa_decode & " ";
when "111110" => kcpsm6_opcode <= "JUMP NC, " & aaa_decode & " ";
when "100110" => kcpsm6_opcode <= "JUMP@ (" & sx_decode & ", " & sy_decode & ") ";
when "100000" => kcpsm6_opcode <= "CALL " & aaa_decode & " ";
when "110000" => kcpsm6_opcode <= "CALL Z, " & aaa_decode & " ";
when "110100" => kcpsm6_opcode <= "CALL NZ, " & aaa_decode & " ";
when "111000" => kcpsm6_opcode <= "CALL C, " & aaa_decode & " ";
when "111100" => kcpsm6_opcode <= "CALL NC, " & aaa_decode & " ";
when "100100" => kcpsm6_opcode <= "CALL@ (" & sx_decode & ", " & sy_decode & ") ";
when "100101" => kcpsm6_opcode <= "RETURN ";
when "110001" => kcpsm6_opcode <= "RETURN Z ";
when "110101" => kcpsm6_opcode <= "RETURN NZ ";
when "111001" => kcpsm6_opcode <= "RETURN C ";
when "111101" => kcpsm6_opcode <= "RETURN NC ";
when "100001" => kcpsm6_opcode <= "LOAD&RETURN " & sx_decode & ", " & kk_decode & " ";
when "101001" =>
case instruction(0) is
when '0' => kcpsm6_opcode <= "RETURNI DISABLE ";
when '1' => kcpsm6_opcode <= "RETURNI ENABLE ";
when others => kcpsm6_opcode <= "Invalid Instruction";
end case;
when "101000" =>
case instruction(0) is
when '0' => kcpsm6_opcode <= "DISABLE INTERRUPT ";
when '1' => kcpsm6_opcode <= "ENABLE INTERRUPT ";
when others => kcpsm6_opcode <= "Invalid Instruction";
end case;
when "110111" =>
case instruction(0) is
when '0' => kcpsm6_opcode <= "REGBANK A ";
when '1' => kcpsm6_opcode <= "REGBANK B ";
when others => kcpsm6_opcode <= "Invalid Instruction";
end case;
when others => kcpsm6_opcode <= "Invalid Instruction";
end case;
-- Flag status information
if zero_flag = '0' then
kcpsm6_status(3 to 5) <= "NZ,";
else
kcpsm6_status(3 to 5) <= " Z,";
end if;
if carry_flag = '0' then
kcpsm6_status(6 to 8) <= "NC,";
else
kcpsm6_status(6 to 8) <= " C,";
end if;
if interrupt_enable = '0' then
kcpsm6_status(9 to 10) <= "ID";
else
kcpsm6_status(9 to 10) <= "IE";
end if;
-- Operational status
if clk'event and clk = '1' then
if internal_reset = '1' then
kcpsm6_status(11 to 16) <= ",Reset";
else
if sync_sleep = '1' and t_state = "00" then
kcpsm6_status(11 to 16) <= ",Sleep";
else
kcpsm6_status(11 to 16) <= " ";
end if;
end if;
end if;
-- Simulation of register contents
if clk'event and clk = '1' then
if register_enable = '1' then
case sx_addr is
when "00000" => bank_a_s0 := alu_result;
when "00001" => bank_a_s1 := alu_result;
when "00010" => bank_a_s2 := alu_result;
when "00011" => bank_a_s3 := alu_result;
when "00100" => bank_a_s4 := alu_result;
when "00101" => bank_a_s5 := alu_result;
when "00110" => bank_a_s6 := alu_result;
when "00111" => bank_a_s7 := alu_result;
when "01000" => bank_a_s8 := alu_result;
when "01001" => bank_a_s9 := alu_result;
when "01010" => bank_a_sa := alu_result;
when "01011" => bank_a_sb := alu_result;
when "01100" => bank_a_sc := alu_result;
when "01101" => bank_a_sd := alu_result;
when "01110" => bank_a_se := alu_result;
when "01111" => bank_a_sf := alu_result;
when "10000" => bank_b_s0 := alu_result;
when "10001" => bank_b_s1 := alu_result;
when "10010" => bank_b_s2 := alu_result;
when "10011" => bank_b_s3 := alu_result;
when "10100" => bank_b_s4 := alu_result;
when "10101" => bank_b_s5 := alu_result;
when "10110" => bank_b_s6 := alu_result;
when "10111" => bank_b_s7 := alu_result;
when "11000" => bank_b_s8 := alu_result;
when "11001" => bank_b_s9 := alu_result;
when "11010" => bank_b_sa := alu_result;
when "11011" => bank_b_sb := alu_result;
when "11100" => bank_b_sc := alu_result;
when "11101" => bank_b_sd := alu_result;
when "11110" => bank_b_se := alu_result;
when "11111" => bank_b_sf := alu_result;
when others => null;
end case;
end if;
--simulation of scratch pad memory contents
if spm_enable = '1' then
case sy_or_kk is
when "00000000" => sim_spm00 <= sx;
when "00000001" => sim_spm01 <= sx;
when "00000010" => sim_spm02 <= sx;
when "00000011" => sim_spm03 <= sx;
when "00000100" => sim_spm04 <= sx;
when "00000101" => sim_spm05 <= sx;
when "00000110" => sim_spm06 <= sx;
when "00000111" => sim_spm07 <= sx;
when "00001000" => sim_spm08 <= sx;
when "00001001" => sim_spm09 <= sx;
when "00001010" => sim_spm0A <= sx;
when "00001011" => sim_spm0B <= sx;
when "00001100" => sim_spm0C <= sx;
when "00001101" => sim_spm0D <= sx;
when "00001110" => sim_spm0E <= sx;
when "00001111" => sim_spm0F <= sx;
when "00010000" => sim_spm10 <= sx;
when "00010001" => sim_spm11 <= sx;
when "00010010" => sim_spm12 <= sx;
when "00010011" => sim_spm13 <= sx;
when "00010100" => sim_spm14 <= sx;
when "00010101" => sim_spm15 <= sx;
when "00010110" => sim_spm16 <= sx;
when "00010111" => sim_spm17 <= sx;
when "00011000" => sim_spm18 <= sx;
when "00011001" => sim_spm19 <= sx;
when "00011010" => sim_spm1A <= sx;
when "00011011" => sim_spm1B <= sx;
when "00011100" => sim_spm1C <= sx;
when "00011101" => sim_spm1D <= sx;
when "00011110" => sim_spm1E <= sx;
when "00011111" => sim_spm1F <= sx;
when "00100000" => sim_spm20 <= sx;
when "00100001" => sim_spm21 <= sx;
when "00100010" => sim_spm22 <= sx;
when "00100011" => sim_spm23 <= sx;
when "00100100" => sim_spm24 <= sx;
when "00100101" => sim_spm25 <= sx;
when "00100110" => sim_spm26 <= sx;
when "00100111" => sim_spm27 <= sx;
when "00101000" => sim_spm28 <= sx;
when "00101001" => sim_spm29 <= sx;
when "00101010" => sim_spm2A <= sx;
when "00101011" => sim_spm2B <= sx;
when "00101100" => sim_spm2C <= sx;
when "00101101" => sim_spm2D <= sx;
when "00101110" => sim_spm2E <= sx;
when "00101111" => sim_spm2F <= sx;
when "00110000" => sim_spm30 <= sx;
when "00110001" => sim_spm31 <= sx;
when "00110010" => sim_spm32 <= sx;
when "00110011" => sim_spm33 <= sx;
when "00110100" => sim_spm34 <= sx;
when "00110101" => sim_spm35 <= sx;
when "00110110" => sim_spm36 <= sx;
when "00110111" => sim_spm37 <= sx;
when "00111000" => sim_spm38 <= sx;
when "00111001" => sim_spm39 <= sx;
when "00111010" => sim_spm3A <= sx;
when "00111011" => sim_spm3B <= sx;
when "00111100" => sim_spm3C <= sx;
when "00111101" => sim_spm3D <= sx;
when "00111110" => sim_spm3E <= sx;
when "00111111" => sim_spm3F <= sx;
when "01000000" => sim_spm40 <= sx;
when "01000001" => sim_spm41 <= sx;
when "01000010" => sim_spm42 <= sx;
when "01000011" => sim_spm43 <= sx;
when "01000100" => sim_spm44 <= sx;
when "01000101" => sim_spm45 <= sx;
when "01000110" => sim_spm46 <= sx;
when "01000111" => sim_spm47 <= sx;
when "01001000" => sim_spm48 <= sx;
when "01001001" => sim_spm49 <= sx;
when "01001010" => sim_spm4A <= sx;
when "01001011" => sim_spm4B <= sx;
when "01001100" => sim_spm4C <= sx;
when "01001101" => sim_spm4D <= sx;
when "01001110" => sim_spm4E <= sx;
when "01001111" => sim_spm4F <= sx;
when "01010000" => sim_spm50 <= sx;
when "01010001" => sim_spm51 <= sx;
when "01010010" => sim_spm52 <= sx;
when "01010011" => sim_spm53 <= sx;
when "01010100" => sim_spm54 <= sx;
when "01010101" => sim_spm55 <= sx;
when "01010110" => sim_spm56 <= sx;
when "01010111" => sim_spm57 <= sx;
when "01011000" => sim_spm58 <= sx;
when "01011001" => sim_spm59 <= sx;
when "01011010" => sim_spm5A <= sx;
when "01011011" => sim_spm5B <= sx;
when "01011100" => sim_spm5C <= sx;
when "01011101" => sim_spm5D <= sx;
when "01011110" => sim_spm5E <= sx;
when "01011111" => sim_spm5F <= sx;
when "01100000" => sim_spm60 <= sx;
when "01100001" => sim_spm61 <= sx;
when "01100010" => sim_spm62 <= sx;
when "01100011" => sim_spm63 <= sx;
when "01100100" => sim_spm64 <= sx;
when "01100101" => sim_spm65 <= sx;
when "01100110" => sim_spm66 <= sx;
when "01100111" => sim_spm67 <= sx;
when "01101000" => sim_spm68 <= sx;
when "01101001" => sim_spm69 <= sx;
when "01101010" => sim_spm6A <= sx;
when "01101011" => sim_spm6B <= sx;
when "01101100" => sim_spm6C <= sx;
when "01101101" => sim_spm6D <= sx;
when "01101110" => sim_spm6E <= sx;
when "01101111" => sim_spm6F <= sx;
when "01110000" => sim_spm70 <= sx;
when "01110001" => sim_spm71 <= sx;
when "01110010" => sim_spm72 <= sx;
when "01110011" => sim_spm73 <= sx;
when "01110100" => sim_spm74 <= sx;
when "01110101" => sim_spm75 <= sx;
when "01110110" => sim_spm76 <= sx;
when "01110111" => sim_spm77 <= sx;
when "01111000" => sim_spm78 <= sx;
when "01111001" => sim_spm79 <= sx;
when "01111010" => sim_spm7A <= sx;
when "01111011" => sim_spm7B <= sx;
when "01111100" => sim_spm7C <= sx;
when "01111101" => sim_spm7D <= sx;
when "01111110" => sim_spm7E <= sx;
when "01111111" => sim_spm7F <= sx;
when "10000000" => sim_spm80 <= sx;
when "10000001" => sim_spm81 <= sx;
when "10000010" => sim_spm82 <= sx;
when "10000011" => sim_spm83 <= sx;
when "10000100" => sim_spm84 <= sx;
when "10000101" => sim_spm85 <= sx;
when "10000110" => sim_spm86 <= sx;
when "10000111" => sim_spm87 <= sx;
when "10001000" => sim_spm88 <= sx;
when "10001001" => sim_spm89 <= sx;
when "10001010" => sim_spm8A <= sx;
when "10001011" => sim_spm8B <= sx;
when "10001100" => sim_spm8C <= sx;
when "10001101" => sim_spm8D <= sx;
when "10001110" => sim_spm8E <= sx;
when "10001111" => sim_spm8F <= sx;
when "10010000" => sim_spm90 <= sx;
when "10010001" => sim_spm91 <= sx;
when "10010010" => sim_spm92 <= sx;
when "10010011" => sim_spm93 <= sx;
when "10010100" => sim_spm94 <= sx;
when "10010101" => sim_spm95 <= sx;
when "10010110" => sim_spm96 <= sx;
when "10010111" => sim_spm97 <= sx;
when "10011000" => sim_spm98 <= sx;
when "10011001" => sim_spm99 <= sx;
when "10011010" => sim_spm9A <= sx;
when "10011011" => sim_spm9B <= sx;
when "10011100" => sim_spm9C <= sx;
when "10011101" => sim_spm9D <= sx;
when "10011110" => sim_spm9E <= sx;
when "10011111" => sim_spm9F <= sx;
when "10100000" => sim_spma0 <= sx;
when "10100001" => sim_spmA1 <= sx;
when "10100010" => sim_spmA2 <= sx;
when "10100011" => sim_spmA3 <= sx;
when "10100100" => sim_spmA4 <= sx;
when "10100101" => sim_spmA5 <= sx;
when "10100110" => sim_spmA6 <= sx;
when "10100111" => sim_spmA7 <= sx;
when "10101000" => sim_spmA8 <= sx;
when "10101001" => sim_spmA9 <= sx;
when "10101010" => sim_spmAA <= sx;
when "10101011" => sim_spmAB <= sx;
when "10101100" => sim_spmAC <= sx;
when "10101101" => sim_spmAD <= sx;
when "10101110" => sim_spmAE <= sx;
when "10101111" => sim_spmAF <= sx;
when "10110000" => sim_spmB0 <= sx;
when "10110001" => sim_spmB1 <= sx;
when "10110010" => sim_spmB2 <= sx;
when "10110011" => sim_spmB3 <= sx;
when "10110100" => sim_spmB4 <= sx;
when "10110101" => sim_spmB5 <= sx;
when "10110110" => sim_spmB6 <= sx;
when "10110111" => sim_spmB7 <= sx;
when "10111000" => sim_spmB8 <= sx;
when "10111001" => sim_spmB9 <= sx;
when "10111010" => sim_spmBA <= sx;
when "10111011" => sim_spmBB <= sx;
when "10111100" => sim_spmBC <= sx;
when "10111101" => sim_spmBD <= sx;
when "10111110" => sim_spmBE <= sx;
when "10111111" => sim_spmBF <= sx;
when "11000000" => sim_spmC0 <= sx;
when "11000001" => sim_spmC1 <= sx;
when "11000010" => sim_spmC2 <= sx;
when "11000011" => sim_spmC3 <= sx;
when "11000100" => sim_spmC4 <= sx;
when "11000101" => sim_spmC5 <= sx;
when "11000110" => sim_spmC6 <= sx;
when "11000111" => sim_spmC7 <= sx;
when "11001000" => sim_spmC8 <= sx;
when "11001001" => sim_spmC9 <= sx;
when "11001010" => sim_spmCA <= sx;
when "11001011" => sim_spmCB <= sx;
when "11001100" => sim_spmCC <= sx;
when "11001101" => sim_spmCD <= sx;
when "11001110" => sim_spmCE <= sx;
when "11001111" => sim_spmCF <= sx;
when "11010000" => sim_spmD0 <= sx;
when "11010001" => sim_spmD1 <= sx;
when "11010010" => sim_spmD2 <= sx;
when "11010011" => sim_spmD3 <= sx;
when "11010100" => sim_spmD4 <= sx;
when "11010101" => sim_spmD5 <= sx;
when "11010110" => sim_spmD6 <= sx;
when "11010111" => sim_spmD7 <= sx;
when "11011000" => sim_spmD8 <= sx;
when "11011001" => sim_spmD9 <= sx;
when "11011010" => sim_spmDA <= sx;
when "11011011" => sim_spmDB <= sx;
when "11011100" => sim_spmDC <= sx;
when "11011101" => sim_spmDD <= sx;
when "11011110" => sim_spmDE <= sx;
when "11011111" => sim_spmDF <= sx;
when "11100000" => sim_spmE0 <= sx;
when "11100001" => sim_spmE1 <= sx;
when "11100010" => sim_spmE2 <= sx;
when "11100011" => sim_spmE3 <= sx;
when "11100100" => sim_spmE4 <= sx;
when "11100101" => sim_spmE5 <= sx;
when "11100110" => sim_spmE6 <= sx;
when "11100111" => sim_spmE7 <= sx;
when "11101000" => sim_spmE8 <= sx;
when "11101001" => sim_spmE9 <= sx;
when "11101010" => sim_spmEA <= sx;
when "11101011" => sim_spmEB <= sx;
when "11101100" => sim_spmEC <= sx;
when "11101101" => sim_spmED <= sx;
when "11101110" => sim_spmEE <= sx;
when "11101111" => sim_spmEF <= sx;
when "11110000" => sim_spmF0 <= sx;
when "11110001" => sim_spmF1 <= sx;
when "11110010" => sim_spmF2 <= sx;
when "11110011" => sim_spmF3 <= sx;
when "11110100" => sim_spmF4 <= sx;
when "11110101" => sim_spmF5 <= sx;
when "11110110" => sim_spmF6 <= sx;
when "11110111" => sim_spmF7 <= sx;
when "11111000" => sim_spmF8 <= sx;
when "11111001" => sim_spmF9 <= sx;
when "11111010" => sim_spmFA <= sx;
when "11111011" => sim_spmFB <= sx;
when "11111100" => sim_spmFC <= sx;
when "11111101" => sim_spmFD <= sx;
when "11111110" => sim_spmFE <= sx;
when "11111111" => sim_spmFF <= sx;
when others => null;
end case;
end if;
end if;
--
-- Assignment of internal register variables to active registers
--
if bank = '0' then
kcpsm6_status(1 to 2) <= "A,";
sim_s0 <= bank_a_s0;
sim_s1 <= bank_a_s1;
sim_s2 <= bank_a_s2;
sim_s3 <= bank_a_s3;
sim_s4 <= bank_a_s4;
sim_s5 <= bank_a_s5;
sim_s6 <= bank_a_s6;
sim_s7 <= bank_a_s7;
sim_s8 <= bank_a_s8;
sim_s9 <= bank_a_s9;
sim_sA <= bank_a_sA;
sim_sB <= bank_a_sB;
sim_sC <= bank_a_sC;
sim_sD <= bank_a_sD;
sim_sE <= bank_a_sE;
sim_sF <= bank_a_sF;
else
kcpsm6_status(1 to 2) <= "B,";
sim_s0 <= bank_b_s0;
sim_s1 <= bank_b_s1;
sim_s2 <= bank_b_s2;
sim_s3 <= bank_b_s3;
sim_s4 <= bank_b_s4;
sim_s5 <= bank_b_s5;
sim_s6 <= bank_b_s6;
sim_s7 <= bank_b_s7;
sim_s8 <= bank_b_s8;
sim_s9 <= bank_b_s9;
sim_sA <= bank_b_sA;
sim_sB <= bank_b_sB;
sim_sC <= bank_b_sC;
sim_sD <= bank_b_sD;
sim_sE <= bank_b_sE;
sim_sF <= bank_b_sF;
end if;
--
end process simulation;
--synthesis translate on
--
-- **************************
-- * End of simulation code *
-- **************************
--
--
-------------------------------------------------------------------------------------------
--
end low_level_definition;
--
-------------------------------------------------------------------------------------------
--
-- END OF FILE kcpsm6.vhd
--
-------------------------------------------------------------------------------------------
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0.vhd | 8 | 8572 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kH5AvYXLJKtKt3lF95aLTslez0CbITS7FFXtek7WGNqCWSvRq5FJkO6/W0sCePwT8VKIhqE8hXeA
hXp6aQDi4w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DSSGvl3GM3AP6FmDEQdfa8zIOvV75TA7d2Hg4x/XjW2Jwbdvqwr8Wh0maHFhC1aloCk+90G7zmnL
Q14DcsNDiURctwXJwGz4pwjugsvhHRgntsBTpT3nQPXoVN2xnd3VksAKNTuetKaXgLl2slbZu/Wf
W3ql1RzL8Sih5vUkNMk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NsaH29x/5t3d6RdGCgaUR9uvoSiExxDRy6kInAh6eUH6362S2UBWVnhXJBV8yFBkmvImooQu54I+
WagBIeGVi5NFHlOHfYLebsdBTJXQqmbMx0dYBWPZYjA/Q8WvwA9JLDMAbGznZYgeRLkS3OtU/ib+
+KVOJTieWil5l8DdwH9BsNvj1Eoj3eguAyPSmAVgfzEa6dQmvZXIll9xJnBH5n9aQ3PMmbagk+o2
AjsnfT0dUSSgyihbBRfbyQ66ym3OnU6QCxTbh7AWDcwf+JMgfZYctilypbUDxySVBWRz2MguhEVe
BDlsAqcAwTI71iMGbX5tfsXWiSs0HhZYNpgQ3g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Kd2NHmLImLVB7fkhZHQlU0GNe8JmmZIEzR0vNRS3IQq0o8PLdYieWdVYr1pL/lIBFK8hzDorXTs6
ABhNxNzOIhAkbghObN0DMue82jS+rBgnTFyZYWc3iF9IlTJwMm6B7x8Kcvp+Rl3mdB0GjBVoQLcc
FMGP+J1NrlohnOi8EWA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X4z0jkLtsUY78UDfL3q/vheZgKXMp+1lVdLMgvTMFkokqGAt5gNFki7Yw73qtUt0IcyUhgNyudCu
sgZX5pWAHO5boy4U3n1VLw0raENVCYdgmFrZTSP6i/3oQIHvrzTBsyQ5AwbUffDdfKsDuEJvKXi8
XxVH5Cs5YOpTHnqDGxjO7g8GTsTcf56atjMA8txJ7BRetCah69Lqj7Y1gSWVhU/SjVpRVT54KL1F
kbNSfL9HwsEsku3K6AAoTWJaWWY8wkz8UcNbx+y3/p4E+QB1JntJCLaMeslc+yRh4kffj873O955
5VtA8buE1oey69YKaEL32nf1RvSJ0jysqIiMlg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4608)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO40x64WC/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd | 61 | 90319 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bNIbShH2EA0CHyFd3tcKzqAAHVrbIPwWhMG9NsC+dQUSMA6xt4c379IBpTIXbcWcRu47Z+xjBDyZ
pmPIKJwXiw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aHvYZyL6jZFeED4yBNrYXGt5D78L6XKvfv3d1wuLye6gycFxQz5GvWsSx0S6xMB9xfjAd58Otvbz
klFCQAqOIJ1v9j3fyjGrdYiRUTQuApDhC+FsIz/c7IXqHLMU7bYHwJKasO9SrDTWvXQ7ih9U0p2k
1AKMnh+qiHrYpQorG5A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RiEdSHs/Bt5umPP6K79selbyluJtARUHU0yj5nYfHoqZIAm8WpvDCQm54C/KO7nPLeyv8jHIHHlo
ALGpGGe0PjfMvHDpFSP2vV238cyunFX8V0T9k8bl6wjYh6At9VhihdwfU2o+IX5VBj8SP9UjNVm7
vVF4zMGwAkPIQLbID37yUDY79ZMmCkWbDezMLjj3KJUww291O1rtjgyC9U405d49Oz2JWy3P7QMn
8qdrMZbOorlxSjkf+hkEIpgWhS+pbRjZ8wYGv6o7pRDkDsG3+S5QG9lWf289rXA2RQvNu+gKmbHa
+29rBsgGnvv//KXcwxU1LPRwDeg4UvorpCIeXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1kXEElfRhCg/jAKI1qgX87/xWqRpS0e1DlrBHXO8aH5H5hRB8yNxfJpWnAEYapsnx3bdBnU1AAyT
aS1HwJVWR+nZKer5YXEg9XX/LwYQGdvNDMOsfvUNry+U7z6Kbe/UEvv6lt1y8KsQyYySOWeC/GkY
gvuKcUlrP9I2nyTJMAM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mYglWFHrB9KUMFOAglZNRnS7Lnvi5gZL2XwL13GIjD89oHQqVk29jGx2KCeeLHh/cuuqyyaX8cnu
wVXmf6095a3qNER/BkizDns2ON7gXlfqDwAiwRQlnbHJVhuv339KnW9GIEeggUZhg16lG/xuic29
kcyTsJU92tL+0bqVkxdCDfWly3o+vB011FmTnOJvdxGOerq/smn5f+CNTSqTx6aWySd4focWp3FP
1IRx8Cjqp34czZQNDbnzZ90IVxyJuFmmDpW8roK21NFNjW7dbg4hrFdS8qcX75ES6c0+1Ad9MDUf
dJImpXUL0bDTxErqK6kqA1RFpvNA/Wd7osKy7g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBSCFIFO64x64WC/sim/DPBSCFIFO64x64WC.vhd | 4 | 33461 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBSCFIFO64x64WC IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END DPBSCFIFO64x64WC;
ARCHITECTURE DPBSCFIFO64x64WC_arch OF DPBSCFIFO64x64WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO64x64WC_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 6,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 64,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 64,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 1,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 62,
C_PROG_FULL_THRESH_NEGATE_VAL => 61,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 6,
C_RD_DEPTH => 64,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 6,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 6,
C_WR_DEPTH => 64,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 6,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => '0',
srst => srst,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
data_count => data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBSCFIFO64x64WC_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/c_sub/sim/c_sub.vhd | 4 | 5274 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:c_addsub:12.0
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY c_addsub_v12_0;
USE c_addsub_v12_0.c_addsub_v12_0;
ENTITY c_sub IS
PORT (
A : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0)
);
END c_sub;
ARCHITECTURE c_sub_arch OF c_sub IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes";
COMPONENT c_addsub_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_XDEVICEFAMILY : STRING;
C_IMPLEMENTATION : INTEGER;
C_A_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_OUT_WIDTH : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_A_TYPE : INTEGER;
C_B_TYPE : INTEGER;
C_LATENCY : INTEGER;
C_ADD_MODE : INTEGER;
C_B_CONSTANT : INTEGER;
C_B_VALUE : STRING;
C_AINIT_VAL : STRING;
C_SINIT_VAL : STRING;
C_CE_OVERRIDES_BYPASS : INTEGER;
C_BYPASS_LOW : INTEGER;
C_SCLR_OVERRIDES_SSET : INTEGER;
C_HAS_C_IN : INTEGER;
C_HAS_C_OUT : INTEGER;
C_BORROW_LOW : INTEGER;
C_HAS_CE : INTEGER;
C_HAS_BYPASS : INTEGER;
C_HAS_SCLR : INTEGER;
C_HAS_SSET : INTEGER;
C_HAS_SINIT : INTEGER
);
PORT (
A : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
CLK : IN STD_LOGIC;
ADD : IN STD_LOGIC;
C_IN : IN STD_LOGIC;
CE : IN STD_LOGIC;
BYPASS : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
SSET : IN STD_LOGIC;
SINIT : IN STD_LOGIC;
C_OUT : OUT STD_LOGIC;
S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0)
);
END COMPONENT c_addsub_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA";
BEGIN
U0 : c_addsub_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_XDEVICEFAMILY => "zynq",
C_IMPLEMENTATION => 0,
C_A_WIDTH => 15,
C_B_WIDTH => 15,
C_OUT_WIDTH => 15,
C_CE_OVERRIDES_SCLR => 0,
C_A_TYPE => 0,
C_B_TYPE => 0,
C_LATENCY => 0,
C_ADD_MODE => 1,
C_B_CONSTANT => 0,
C_B_VALUE => "000000000000000",
C_AINIT_VAL => "0",
C_SINIT_VAL => "0",
C_CE_OVERRIDES_BYPASS => 1,
C_BYPASS_LOW => 0,
C_SCLR_OVERRIDES_SSET => 1,
C_HAS_C_IN => 0,
C_HAS_C_OUT => 0,
C_BORROW_LOW => 1,
C_HAS_CE => 0,
C_HAS_BYPASS => 0,
C_HAS_SCLR => 0,
C_HAS_SSET => 0,
C_HAS_SINIT => 0
)
PORT MAP (
A => A,
B => B,
CLK => '0',
ADD => '1',
C_IN => '0',
CE => '1',
BYPASS => '0',
SCLR => '0',
SSET => '0',
SINIT => '0',
S => S
);
END c_sub_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBDCFIFO36x16DR/synth/DPBDCFIFO36x16DR.vhd | 8 | 38573 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBDCFIFO36x16DR IS
PORT (
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(35 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END DPBDCFIFO36x16DR;
ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(35 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 36,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 36,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 13,
C_PROG_FULL_THRESH_NEGATE_VAL => 12,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 3,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => wr_clk,
wr_rst => wr_rst,
rd_clk => rd_clk,
rd_rst => rd_rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBDCFIFO36x16DR_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO80x64WC/sim/DPBSCFIFO80x64WC.vhd | 4 | 33461 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBSCFIFO80x64WC IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(79 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END DPBSCFIFO80x64WC;
ARCHITECTURE DPBSCFIFO80x64WC_arch OF DPBSCFIFO80x64WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO80x64WC_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(79 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(79 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 6,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 80,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 80,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 1,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 62,
C_PROG_FULL_THRESH_NEGATE_VAL => 61,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 6,
C_RD_DEPTH => 64,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 6,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 6,
C_WR_DEPTH => 64,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 6,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => '0',
srst => srst,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
data_count => data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBSCFIFO80x64WC_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/c_sub/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd | 8 | 24071 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
H4URPv9EpcRhkuPfg29H1ZzBQu6E58ra8nWLS6or9vqljE8eUuMYTRR5FXv4Rv79+dW0YBbHJDL9
wpk/pM++Vw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cOlknyyiOXEJxOMpTfJeuL4bafDNMyqfzYZiBOeMl7cq3UeXY2PjTmdTY1m6w8WHnxco+Yi4t94e
AMmf5NEZg+gFr5Kg1lIcYmZfsXpuySqqpZQ/7usdIdYO7Asm/L87Wm9S/tZGOL8k91UZ3ox2t81J
6Zg7UCBc9UmvCNd9skA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CFi7UEn4btMs4k2hHaZmd7O0JgvPUNWuDlcte7aj9n1LY3hbGUN8EI7tmQhcGTx7gGZE9Ru66q/i
zmUwUvSZEbkGNMYj0Q2kUoxzouaTB9bltzk75m28+bDTEKmB8w51zK76vaS8/myF1bduGFfiCOYk
i9uKNIbdHRYjFMGdx8sV+hwM61tgHw9BxrpktZks3lf/i/QE3egTxkdEqMw289Uv87CaDCUd+v59
ekXAL+WRXXGOrnvAYo30uourTBE/qhnJ9nNwvTBAsPFGhCKqdCJArJLi4ihrq5XQOs8nPgHPecGm
V1+NjHo9lrI9WwCk0qFSLnOvrt0IVkGTrGcUmQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n/YyDFXnYIXA2nmc/gCApXAaEmCKSk7Ak8FRhJaYZwC3lXv/vMjl2cfXXNSbqnKjXFpU+9X9HzrI
k6UJ3qXjrh/23XCVh2MSpiNkhFqSAupIN0V9Kci8bewlxphN3Qy6HSROEEpAKgCBGOSZ6yzBb77m
9uu4l/cnNBsEv+3eHe8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AcPVp5cxMJmuHEfR0EkrXaMFLSZ7RNFLeFs9y4Tv8S90iVa1S/jfvPaieL2ra+GKhX19rtymWE3a
mL49cynXQLEx41Eed+51K1IgMjRgjAnVu6lCpRlYcQp95MFd+tyJeQe3oy0vIWZqtTPvXEQLJkA8
afwkfRrCqUlt3gHPynr3Q7GCJDTrDNSQ2siIAjuTu0W56QKpt3Wzadq+p0Y74YhizuNgvy28Gn60
69h1ytNqKplJZ8DzAQnccY6JK9w6zNTgxM8xaPyRV6/6mt90hN6kwdkXvusb6VHxuxaxTOO8fQXe
jIoVManYa5+XQU9V6sYnfkpJ4WekEXf++m8T5A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16080)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBSCFIFO40x64WC/sim/DPBSCFIFO40x64WC.vhd | 4 | 33461 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBSCFIFO40x64WC IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(39 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(39 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END DPBSCFIFO40x64WC;
ARCHITECTURE DPBSCFIFO40x64WC_arch OF DPBSCFIFO40x64WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO40x64WC_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(39 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(39 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 6,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 40,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 40,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 1,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 62,
C_PROG_FULL_THRESH_NEGATE_VAL => 61,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 6,
C_RD_DEPTH => 64,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 6,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 6,
C_WR_DEPTH => 64,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 6,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => '0',
srst => srst,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
data_count => data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBSCFIFO40x64WC_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DRSCFIFO288x16WC/synth/DRSCFIFO288x16WC.vhd | 8 | 38594 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DRSCFIFO288x16WC IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(287 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END DRSCFIFO288x16WC;
ARCHITECTURE DRSCFIFO288x16WC_arch OF DRSCFIFO288x16WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(287 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(287 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DRSCFIFO288x16WC_arch : ARCHITECTURE IS "DRSCFIFO288x16WC,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DRSCFIFO288x16WC_arch: ARCHITECTURE IS "DRSCFIFO288x16WC,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=288,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=288,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=1,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=14,C_PROG_FULL_THRESH_NEGATE_VAL=13,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 288,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 288,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 1,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 14,
C_PROG_FULL_THRESH_NEGATE_VAL => 13,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => '0',
srst => srst,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
data_count => data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DRSCFIFO288x16WC_arch;
| gpl-3.0 |
KiwiOnChip/Projet_VHDL_-_Paint | 02_TB/tb_generic_Counter.vhd | 1 | 4165 | --------------------------------------------------------------------------------
-- company:
-- engineer:
--
-- vhdl test bench created by ise for module: random_number
--
-- dependencies:
--
-- revision:
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb_generic_Counter is
end tb_generic_Counter;
architecture arch_tb_generic_Counter of tb_generic_Counter is
constant number_at_reset_g : natural := 1;
constant number_at_start_g : natural := 2;
constant number_at_end_g : natural := 14;
constant n_bits_g : natural := 4;
-- clock period definitions
constant clk_period : time := 10 ns;
-- component declaration for the unit under test (uut)
component generic_Counter is
generic (
number_at_reset_g : natural; -- Number at reset state
number_at_start_g : natural; -- Number at start state
number_at_end_g : natural; -- Last number before to come back at start number
n_bits_g : natural -- Width bits of output number
);
port (
---- Global Inputs
Clk : in std_logic; -- Main clock
Reset_n : in std_logic; -- Reset synchrone on LOW level
---- Inputs
Load : in std_logic; -- Load the counter : Number_to_be_loaded
Number_to_be_loaded : in std_logic_vector ( (n_bits_g-1) downto 0); -- Use by Load counter
Counting : in std_logic; -- Increments the counter
---- outputs
Out_number : out std_logic_vector ( (n_bits_g-1) downto 0); -- Number of counting
Last_number_before_reboot : out std_logic
);
end component generic_Counter;
--inputs
signal clk : std_logic ;
signal reset_n : std_logic ;
signal Load : std_logic ;
signal Counting : std_logic ;
signal Last_number_before_reboot : std_logic;
signal Number_to_be_loaded : std_logic_vector((n_bits_g-1) downto 0);
--outputs
signal Out_number : std_logic_vector((n_bits_g-1) downto 0);
--std_logic_vector(to_unsigned(0, n_bits_g))
begin
-- instantiate the unit under test (uut)
uut: generic_Counter
generic map (
number_at_reset_g => number_at_reset_g, -- Number at reset state
number_at_start_g => number_at_start_g, -- Number at start state
number_at_end_g => number_at_end_g, -- Last number before to come back at start number
n_bits_g => n_bits_g -- Width bits of output number
)
port map(
---- Global Inputs
Clk => clk, -- Main clock
Reset_n => reset_n, -- Reset synchrone on LOW level
---- Inputs
Load => Load, -- Load the counter : Number_to_be_loaded
Number_to_be_loaded => Number_to_be_loaded,-- Use by Load counter
Counting => Counting, -- Increments the counter
---- outputs
Out_number => Out_number, -- Number of counting
Last_number_before_reboot => Last_number_before_reboot
);
--
-- clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- reset process
reset_proc: process
begin
reset_n <= '0';
wait for clk_period;
reset_n<='1';
wait;
end process;
-- load process
load_proc: process
begin
Load <= '0';
wait for 16*clk_period;
Load <='1';
wait for 2*clk_period;
Load <= '0';
wait;
end process;
-- counting process
count_proc: process
begin
Counting <= '0';
wait for clk_period;
Counting<='1';
wait for clk_period;
end process;
end arch_tb_generic_Counter;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBDCFIFO64x16DR/synth/DPBDCFIFO64x16DR.vhd | 8 | 38573 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBDCFIFO64x16DR IS
PORT (
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END DPBDCFIFO64x16DR;
ARCHITECTURE DPBDCFIFO64x16DR_arch OF DPBDCFIFO64x16DR IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO64x16DR_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DPBDCFIFO64x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO64x16DR_arch : ARCHITECTURE IS "DPBDCFIFO64x16DR,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO64x16DR_arch: ARCHITECTURE IS "DPBDCFIFO64x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=64,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=64,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 64,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 64,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 13,
C_PROG_FULL_THRESH_NEGATE_VAL => 12,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 3,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => wr_clk,
wr_rst => wr_rst,
rd_clk => rd_clk,
rd_rst => rd_rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBDCFIFO64x16DR_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBDCFIFO64x16DR/synth/DPBDCFIFO64x16DR.vhd | 8 | 38573 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBDCFIFO64x16DR IS
PORT (
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END DPBDCFIFO64x16DR;
ARCHITECTURE DPBDCFIFO64x16DR_arch OF DPBDCFIFO64x16DR IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO64x16DR_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF DPBDCFIFO64x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO64x16DR_arch : ARCHITECTURE IS "DPBDCFIFO64x16DR,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO64x16DR_arch: ARCHITECTURE IS "DPBDCFIFO64x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=64,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=64,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 64,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 64,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 13,
C_PROG_FULL_THRESH_NEGATE_VAL => 12,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 3,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => wr_clk,
wr_rst => wr_rst,
rd_clk => rd_clk,
rd_rst => rd_rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBDCFIFO64x16DR_arch;
| gpl-3.0 |
KiwiOnChip/Projet_VHDL_-_Paint | 01_Sources/Common_Blocks/generic_Detect_Edge.vhd | 1 | 3849 | ----------------------------------------------------------------------------------
-- Thibault Bailly
--
-- create date: 07-03-2017
-- design name:
-- module name: generic_Detect_Rising_Edge
-- description: Generic Detect Rising Edge
--
-- dependencies:
--
-- revision: Initial release
--
-- additional comments:
--
--
--
-- parameters :
--
--
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Libraries --
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
----------------------------------------------------------------------------------
-- Entity --
----------------------------------------------------------------------------------
entity generic_Detect_Edge is
generic(
detect_type : string := "Rising"; -- Rising or Falling
Input_width_g : natural := 1
);
port(
---- Global Inputs
Clk : in std_logic; -- main clock
Reset_n : in std_logic; -- reset synchrone, enable on LOW level
---- Inputs
Input_Data : in std_logic_vector((Input_width_g-1) downto 0); --Input
---- Outputs
Output_Data : out std_logic_vector((Input_width_g-1) downto 0) -- At 1 just one cycle of clock
);
end generic_Detect_Edge;
----------------------------------------------------------------------------------
-- Architecture --
----------------------------------------------------------------------------------
architecture arch_generic_Detect_Edge of generic_Detect_Edge is
component generic_One_Detect_Edge is
generic (
detect_type : string := "Rising" -- Rising or Falling
);
port(
---- Global Inputs
Clk : in std_logic; -- main clock
Reset_n : in std_logic; -- reset synchrone, enable on LOW level
---- Inputs
Input_Data : in std_logic; --Input
---- Outputs
Output_Data : out std_logic -- At 1 just one cycle of clock
);
end component generic_One_Detect_Edge;
begin ----------------------------------------------------------------------------
-- Display generic
assert false
report "**** Generic report for generic_Detect_Edge : input_width_g = " &natural'image(input_width_g)
severity note;
--check generic
assert (input_width_g > 0)
report "-- generic_Detect_Edge.vhd : input_width_g is negative or egal to 0 -- "
severity failure;
-- Generate N Detect_Edge
Generate_N_Detect_Edge : for I in 0 to (Input_width_g-1) generate
Inst_N_Detect_Edge : generic_One_Detect_Edge
generic map (
detect_type => detect_type
)
port map(
---- Global Inputs
Clk => Clk, -- main clock
Reset_n => Reset_n, -- reset synchrone, enable on LOW level
---- Inputs
Input_Data => Input_Data(I), --Input
---- Outputs
Output_Data => Output_Data(I) -- At 1 just one cycle of clock
);
end generate Generate_N_Detect_Edge;
end architecture arch_generic_Detect_Edge;
----------------------------------------------------------------------------------
-- End --
---------------------------------------------------------------------------------- | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0.vhd | 8 | 9026 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZrbubDlN4D7iuGXASr8bjj6AOz2q/Nb57f4SldOibP6bhd6UBs3Eb/4sj4ay55vW641jk4Ta/URs
Zug6y8FJEg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MNObpmwHm4iGZ9YNtCKbmOKCx0Tj5nMCmrTRycw7AdgguSBpM/HVk2rsYRt84IxwyDXnjVhMOJ0U
DoyfQkeS/MNTE1lPjToh6e2AMZMy/EF3PWN5jSbnEXLUUKSzoDvj/JoJoLc8tg38/m/oBbl7TaPV
oFITFYzqwpBbDVhCuRk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mmABCk2JD2TZOwnhghCPum49XNgGlVuSOYATXVavQ5dXh3mLpHesfQMUwIiSjrXAbSx7yOMd5pQe
GVXRayu25GF8jVy4MCSwTs0wQduu4FyxrNIGi5/wugpyIINGe0OrfkCDFLNiLXw8oYrfvKl6kTTN
17pkUT1mtfJZxORtX6UbyAYSuMJ80aqOwM86eM2i0c8bgpLgjLgZixs8vhnDrmUC33HqwIyGIGty
nN7r7C+pyniratw2IVzSped+eLslbwgqEKyjpZ+w5G/8hc/R8W3s8mVcKTt0FH4JqCoplFvB2TDr
oQxg0pBecl/po8DwI1Sp8LzsthokjIqZ1UBs/Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RNdVWR8FapCjA6A1Wvas6UxlPnswdC1Q0oMM85te8PL/bNhgtJGFavXygo4o80rZ6a7vy5SY2/U5
DPEUquK/4v2O0o1dPv46namWJtc3OTwD+p5JMrVAieKWYSFYuq6/pvNjZp2ip/YO0HBGkdH1uy5m
C7rziCHZEha+Q7MCAFw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
k5x7H6MYGgsgOJZYts6B8Jg+yrFC/kh256ksQBoescVtzNQXQsHnI1ecDTY/vc8XwqLjeulNHj5p
RCM4qfBYiuL9jj6OpibOGEvKRPli3U0be8i3WpUcjIXylE5fZPULb88LItQK+jo7sFRVH7MPx9LP
R5ZpXoiv06lnsI73v0H/rxRCCJ573C6YyNyKEaJdF/t9n1Nu/vgYJewuehPQ4vs6Z+2wqe89uKQE
SnO3T65W9yfG7smSQWsnrWGAVjbkn6cgLWY3ac+otPmrkq6A0BLP0ASl3anfFKgG70aZ0kHyAMG8
8fdN0xjLpA3l9EM8pG/395eeSWX0cgsP932o8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4944)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO80x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBSCFIFO40x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/SDPRAM_9A16x9B16/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO128x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO128x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/SDPRAM_16A9024X32B4512/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_0_0/src/DPBSCFIFO80x64WC/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 85 | 19921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pBqd8SbxnErWrX3lyBBDaDLKX8hSp/j5Yr+Qm9jRb/9JUTybH1McKspWz+db0YzfLoM8Rsbks3xZ
F0QO+ZZlvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H9nfu/oUf72/R62OBj/4D944lb73dgO7fFOuMJCE4aenI7iF3utXSTO4hpgrQ8McaV+063uC1I2p
SowtO/PmhlxRipVo5KEq7Hhzbtvt5amDIBC05YVti4pxjbEI/kmWeW6ApomatkIzigzghecNWi3O
dw5/lv3XsuSXKGnf8V0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LHYKr83oHemqUyK1Wezwr1z3qiqaCVVbCTepzFi2rZrXgOFTcCRhqXcHptrNPAEIVNUU983e0J/f
0KmoDwapS9jLRSEt/t44AcYzVSy/ai/iXQJgng7HtLlp+4d5yiOHFpGB54L6O3dBpou3h7caNhhL
jjFv+2NQ8/vJ/xJXwO5fh2Ph6YYguOVQ53PyR/4efc4uuMmB69VXQ320viKRtmBbQCmyLZzeWtFu
D4qzTizu+7+B9LccVDGFdS5MPG9ajzsWHD/tFElptKJXuLi1qfJlX0wCtTtXoY+3nkHdj+d8GtPf
YHFzKsVl3XUte66S5MbnjiWHmaZaMtS1k7u0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4MafVQvjuuBxvIjR+OYUlKEesGBWmyoKTpW4+3dmDKYdKobe8ekpI4KwM+KYTh1JKxG3Qgsr94sv
sXNAR2TjWeHLAvJhva65Oh3N+FSqhrH0zjkmu9XvgIV/UwkRDNwOx9c4++PMmrK6Sc3dNZpoycaC
lN9AukRoCBpCWkU/kGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oe9rX9bCQUY9pDwkxKbbIf2ys0cqImU27qEFK2Dw17YylEZy1DE0fAY/RnVb+EPxtWACfGlXlONl
m+j1F3QtQOlCfFGG+seFfsBQSPHUmFsJmINuxeAGpMhxfKpsTFjDqKjDpQa8VcnDwKWm4aO3goL8
ohfQk4XoUdGZKXOs6aDCwCjQ3NSG6AcZNW0ORDZyS9Kio2rZOPAl2Iatk0VLalSOSKS8f5tT86ig
hcckTERcoJMSnJHpKMG0Uf46p6lF1NxyM72QA47lZHQTdUAqzyv8wPWp/x+9NpDScFU+0BwCqNgR
Wwy5LWtdGsu9PzUszKuMs6YlHHcqBdvP6pV04w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
| gpl-3.0 |
KiwiOnChip/Projet_VHDL_-_Paint | 01_Sources/Core/FSM_Paint.vhd | 1 | 5612 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.numeric_std.ALL;
entity FSM_Paint is
Generic (
nb_bits_Zone : natural := 8;
nb_bits_Tool : natural := 4; -- outils
nb_bits_SaveParamEn : natural := 3 --
);
Port (
clk : in STD_LOGIC;
reset_n : in STD_LOGIC;
Zone : in STD_LOGIC_VECTOR ( ( nb_bits_Zone - 1 ) downto 0);
Click : in STD_LOGIC;
CurrentTool : in STD_LOGIC_VECTOR ( (nb_bits_Tool - 1) downto 0);
InitDone : in STD_LOGIC;
WriteInMemEn : out STD_LOGIC;
MuxDataToStoreEn : out STD_LOGIC_VECTOR ( 1 downto 0 );
SaveParamEn : out STD_LOGIC_VECTOR ( ( nb_bits_SaveParamEn - 1 ) downto 0 );
InitZoneDessinEn : out STD_LOGIC;
PickColor : out STD_LOGIC
);
end FSM_Paint;
architecture Behavioral of FSM_Paint is
type state is (Attente,Selection,Dessiner,InitZoneDessin);
signal current_state, next_state : state; -- signal to store current and next state
signal ZoneDec : integer;
signal temp : std_logic;
signal prevClick : std_logic;
begin
ZoneDec <= to_integer(unsigned(Zone)); -- conversion permanente du vecteur zone en nombre décimal pour une meilleur lisibilité de la description ci-après
-- Process Mousse Click storage
process(clk) is
begin
if clk'event and clk='1' then
if reset_n = '0' then -- initialization : on active synchronous reset
prevClick <= '0';
temp <= '0';
else
temp <= Click; -- memorisation effective each clk Rising edge
prevClick <= temp;
end if;
end if;
end process MousseClick;
-- Process fsm state register
process(clk) is
begin
if clk'event and clk='1' then
if reset_n = '0' then -- initialization : on active synchronous reset
current_state <= Attente;
else
current_state <= next_state; -- next state treatment effective each clk Rising edge
end if;
end if;
end process StateRegister;
-- Process next state logic (transitions)
process(current_state, InitDone, ZoneDec, Click, prevClick) is
begin
case current_state is
when Attente =>
if ZoneDec > 0 then -- zone de sélection des outils, couleurs, ...
if Click = '1' then
next_state <= Selection;
end if;
else --Zone = 0 : zone de dessin
if Click = '1' then
next_state <= Dessiner;
else
next_state <= Attente;
end if;
end if;
-------------------------------------
when Selection =>
if prevClick = '1' or Click = '0' or ZoneDec = 0 then
next_state <= Attente;
else
if ZoneDec = 6 then -- Effacement de la zone de dessin
next_state <= InitZoneDessin;
else
next_state <= Selection; -- zone de sélection
end if;
end if;
-------------------------------------
when Dessiner =>
if (ZoneDec > 0 and Click = '0') or Click = '0' then -- si je viens de la zone de dessin et que je maintiens le clic souris
next_state <= Attente; -- alors que je passe dans la zone de sélection,
else -- je reste dans l'etat Dessiner (mais hors zone valide : traité par Thibault)
next_state <= Dessiner; --
end if;
-------------------------------------
when InitZoneDessin =>
if InitDone = '1' then
next_state <= Attente;
else
next_state <= InitZoneDessin;
end if;
-------------------------------------
end case;
end process FSM;
-- Process outputs logic
process (current_state, ZoneDec, Click, CurrentTool) is -- MEALY State Machine (outputs aren't solely determined by current state, but also by inputs)
begin
case current_state is
-------------------------------------
when Attente =>
WriteInMemEn <= '0';
MuxDataToStoreEn <= "11"; -- park mode
SaveParamEn <= (others => '0');
InitZoneDessinEn <= '0';
PickColor <= '0';
-------------------------------------
when Selection =>
WriteInMemEn <= '0';
MuxDataToStoreEn <= "10"; -- Data et ADR from TOOLS AND COLOR MGT and TOOL SIZE computation
InitZoneDessinEn <= '0';
PickColor <= '0';
if Click = '1' then
case ZoneDec is
when 2|3|4|5|7|8|9|10 => SaveParamEn <= "001"; -- outils
--when 6 => SaveParamEn <= "xxx"; -- Effacement de la zone de dessin : cas traité dans les transitions.
when 11 to 13 => SaveParamEn <= "011"; -- tailles
when 14 to 46 =>
SaveParamEn <= "100"; -- Couleur
PickColor <= '1';
when others => SaveParamEn <= (others => '0'); -- pas d'action associée
end case;
else
SaveParamEn <= (others => '0'); -- pas d'action associée
end if;
-------------------------------------
when Dessiner =>
WriteInMemEn <= '1';
MuxDataToStoreEn <= "10"; -- Data et ADR from TOOLS AND COLOR MGT and TOOL SIZE computation
InitZoneDessinEn <= '0';
if CurrentTool = "0101" then
SaveParamEn <= "010"; -- outils (pipette)
PickColor <= '1';
else
SaveParamEn <= (others => '0');
end if;
-------------------------------------
when InitZoneDessin =>
WriteInMemEn <= '1';
MuxDataToStoreEn <= "01"; -- Data et ADR from Init Graph Interface bloc
SaveParamEn <= (others => '0');
InitZoneDessinEn <= '1';
PickColor <= '0';
-------------------------------------
end case;
end process logic;
end Behavioral; | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/c_sub/c_addsub_v12_0/hdl/c_addsub_v12_0_vh_rfs.vhd | 8 | 392808 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
h8K6vGIjBVbUQHzfXbUrl5/whf08Gqu+yw4ttmDR3YF8xx+MxaDV7O5td88kM38o6/JEYlFU3uZE
QXNnobMFkg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o9/LuKVt9o249gjS19hRjev/8Ota2gPLw1eQ5xtFqKvj9Gw2mjPOZ7jUjKlj+orSy2u+vfmb9vF7
zsl7zzyxe6crcY0cEUoe8E/BqGRBH+Imu2ZskZAU6kimi1SPNvV2yJz3S/pAX7U/eNGMX7hFCdBi
q+g4fAiM9rXQZ5jPRV0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SEa6Fl2ikHhuFBA7bggD/0f212wM/0aQqHN/rjFVcijKjI/GLuGKMXRhhwucswJC20efA+ey7XpL
9TGk1E1u1SITDWI7JWLh2YI/VPoenSmzuyVjxKPpdLzloum5rl7RRf4G396zDmvRUsxEcjj5EgBR
HWfMQPY0ls7Wo/LNCrJbiLypytkzq/Au8JbfnKJDN8OSCS867YNvtCgGz7+z73wG2+0fXmsEyCHr
YMVlXRm4p20zXKDgTBEwswSOgCRzfO1jLKI1fpJ08nkIcJTlMYeFveP/HaDXdt1tMsAgne4HgpIq
jHHOI9MQQcl1OwdkSEou4akJhdOTlUOqb0bGAg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uAvEPhq73XwNI2BQyRQ0PZQQgVV6a7M74r5tl3sMZ8rALYUw0rUNe6wD1AZN3RHLUeCi4juUwjO3
ng2ZBIgCx00fD73efJPEJ7JsPdo/h9eEw4r/yP892yv4A9cZIOKpY2i6GG4Sgyt7vfBguv2otRqf
DY0GyDKQRAqJj7Fb/Js=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qgbM4qddr2A6nyKPw6hWN/yOQ5B0Nc5mu6hWhbJvUDNUBt82sudnB4woVubGXzukw9tQJxfo/Alu
BdXtFMghSOhuKLMF69qdIEw++dVSomEbnl6MnqiAtA9Ic8ErYH/RfdU2thSRfMpKg0CULk7IKqvM
OKkM0R7Gx1MB4NTx+lUtbCDahtvTzN4xKRxMVegdWwBzto7xwTUj10QNsYliFbjei+61fGagE1dG
hEFuzE1zBdgIdeIQZR9XTek3i579UQJRCMObkIoq1VPyzt/lR3LiTpio3x1knaWGPwW23ak87sZV
rxUjtAnJ2GYhFryo+nm2pby3bpe8zcd/sbDJWw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 289040)
`protect data_block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=
`protect end_protected
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_1_0/src/SDPRAM_9A16x9B16/synth/SDPRAM_9A16x9B16.vhd | 6 | 14174 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY SDPRAM_9A16x9B16 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END SDPRAM_9A16x9B16;
ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "SDPRAM_9A16x9B16.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 9,
C_READ_WIDTH_A => 9,
C_WRITE_DEPTH_A => 16,
C_READ_DEPTH_A => 16,
C_ADDRA_WIDTH => 4,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "READ_FIRST",
C_WRITE_WIDTH_B => 9,
C_READ_WIDTH_B => 9,
C_WRITE_DEPTH_B => 16,
C_READ_DEPTH_B => 16,
C_ADDRB_WIDTH => 4,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 1,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "0",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END SDPRAM_9A16x9B16_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_V2NFC100DDR_0_0/src/SDPRAM_9A16x9B16/synth/SDPRAM_9A16x9B16.vhd | 6 | 14174 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY SDPRAM_9A16x9B16 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END SDPRAM_9A16x9B16;
ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "SDPRAM_9A16x9B16.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 9,
C_READ_WIDTH_A => 9,
C_WRITE_DEPTH_A => 16,
C_READ_DEPTH_A => 16,
C_ADDRA_WIDTH => 4,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "READ_FIRST",
C_WRITE_WIDTH_B => 9,
C_READ_WIDTH_B => 9,
C_WRITE_DEPTH_B => 16,
C_READ_DEPTH_B => 16,
C_ADDRB_WIDTH => 4,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 1,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "0",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END SDPRAM_9A16x9B16_arch;
| gpl-3.0 |
fpgasystems/caribou | hw/src/mock_dram/bram_gen.vhdl | 1 | 2055 | ---------------------------------------------------------------------------
-- Copyright 2015 - 2017 Systems Group, ETH Zurich
--
-- This hardware module is free software: you can redistribute it and/or
-- modify it under the terms of the GNU General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bram_gen is
generic (
DATA_WIDTH : integer := 16;
ADDRESS_WIDTH : integer := 8
);
port (clk : in std_logic;
we : in std_logic;
a : in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
dpra : in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
di : in std_logic_vector(DATA_WIDTH-1 downto 0);
spo : out std_logic_vector(DATA_WIDTH-1 downto 0);
dpo : out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end bram_gen;
architecture syn of bram_gen is
type ram_type is array (2**ADDRESS_WIDTH-1 downto 0)
of std_logic_vector (DATA_WIDTH-1 downto 0);
signal RAM : ram_type := (others => (others => '0'));
signal read_a : std_logic_vector(ADDRESS_WIDTH-1 downto 0);
signal read_dpra : std_logic_vector(ADDRESS_WIDTH-1 downto 0);
begin
process (clk)
begin
if (clk'event and clk = '1') then
if (we = '1') then
RAM(conv_integer(a)) <= di;
end if;
read_a <= a;
read_dpra <= dpra;
end if;
end process;
spo <= RAM(conv_integer(read_a));
dpo <= RAM(conv_integer(read_dpra));
end syn;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/src/DPBSCFIFO128x64WC/sim/DPBSCFIFO128x64WC.vhd | 4 | 33473 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY DPBSCFIFO128x64WC IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END DPBSCFIFO128x64WC;
ARCHITECTURE DPBSCFIFO128x64WC_arch OF DPBSCFIFO128x64WC IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBSCFIFO128x64WC_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 6,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 128,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 128,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 1,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 62,
C_PROG_FULL_THRESH_NEGATE_VAL => 61,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 6,
C_RD_DEPTH => 64,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 6,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 6,
C_WR_DEPTH => 64,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 6,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => '0',
srst => srst,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
data_count => data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END DPBSCFIFO128x64WC_arch;
| gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/lpf.vhd | 23 | 17838 | -------------------------------------------------------------------------------
-- lpf - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lpf.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/08/01 -- First Release
--
-- KC 02/25/2002 -- Added Dcm_locked as an input
-- -- Added Power on reset srl_time_out
--
-- KC 08/26/2003 -- Added attribute statements for power on
-- reset SRL
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library lib_cdc_v1_0;
--use lib_cdc_v1_0.all;
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
--
-- Definition of Ports:
-- Slowest_sync_clk -- Clock
-- External_System_Reset -- External Reset Input
-- Auxiliary_System_Reset -- Auxiliary Reset Input
-- Dcm_locked -- DCM Locked, hold system in reset until 1
-- Lpf_reset -- Low Pass Filtered Output
--
-------------------------------------------------------------------------------
entity lpf is
generic(
C_EXT_RST_WIDTH : Integer;
C_AUX_RST_WIDTH : Integer;
C_EXT_RESET_HIGH : std_logic;
C_AUX_RESET_HIGH : std_logic
);
port(
MB_Debug_Sys_Rst : in std_logic;
Dcm_locked : in std_logic;
External_System_Reset : in std_logic;
Auxiliary_System_Reset : in std_logic;
Slowest_Sync_Clk : in std_logic;
Lpf_reset : out std_logic
);
end lpf;
architecture imp of lpf is
component SRL16 is
-- synthesis translate_off
generic (
INIT : bit_vector );
-- synthesis translate_on
port (D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16;
constant CLEAR : std_logic := '0';
signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset
signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset
signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal exr_and : std_logic := '0'; -- varible input width "and" gate
signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal asr_and : std_logic := '0'; -- varible input width "and" gate
signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal lpf_int : std_logic := '0'; -- internal Lpf_reset
signal lpf_exr : std_logic := '0';
signal lpf_asr : std_logic := '0';
signal srl_time_out : std_logic;
attribute INIT : string;
attribute INIT of POR_SRL_I: label is "FFFF";
begin
Lpf_reset <= lpf_int;
-------------------------------------------------------------------------------
-- Power On Reset Generation
-------------------------------------------------------------------------------
-- This generates a reset for the first 16 clocks after a power up
-------------------------------------------------------------------------------
POR_SRL_I: SRL16
-- synthesis translate_off
generic map (
INIT => X"FFFF")
-- synthesis translate_on
port map (
D => '0',
CLK => Slowest_sync_clk,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
Q => srl_time_out);
-------------------------------------------------------------------------------
-- LPF_OUTPUT_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
--
--ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate
--begin
LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked;
end if;
end process LPF_OUTPUT_PROCESS;
--end generate ACTIVE_HIGH_LPF_EXT;
--ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate
--begin
--LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- lpf_int <= not (lpf_exr or
-- lpf_asr or
-- srl_time_out)or
-- not Dcm_locked;
-- end if;
-- end process;
--end generate ACTIVE_LOW_LPF_EXT;
EXR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if exr_and = '1' then
lpf_exr <= '1';
elsif (exr_and = '0' and exr_nand = '1') then
lpf_exr <= '0';
end if;
end if;
end process EXR_OUTPUT_PROCESS;
ASR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if asr_and = '1' then
lpf_asr <= '1';
elsif (asr_and = '0' and asr_nand = '1') then
lpf_asr <= '0';
end if;
end if;
end process ASR_OUTPUT_PROCESS;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate
begin
-----------------------------------
exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst;
ACT_HI_EXT: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-----------------------------------
end generate ACTIVE_HIGH_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate
begin
exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst;
-------------------------------------
ACT_LO_EXT: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate
begin
asr_d1 <= Auxiliary_System_Reset;
-------------------------------------
ACT_HI_AUX: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_HIGH_AUX;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate
begin
-------------------------------------
asr_d1 <= not Auxiliary_System_Reset;
ACT_LO_AUX: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_AUX;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate
begin
----------------------------------------
EXT_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_lpf(i) <= exr_lpf(i-1);
end if;
end process;
----------------------------------------
end generate EXT_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
EXT_LPF_AND : process (exr_lpf)
Variable loop_and : std_logic;
Variable loop_nand : std_logic;
Begin
loop_and := '1';
loop_nand := '1';
for j in 0 to C_EXT_RST_WIDTH - 1 loop
loop_and := loop_and and exr_lpf(j);
loop_nand := loop_nand and not exr_lpf(j);
End loop;
exr_and <= loop_and;
exr_nand <= loop_nand;
end process;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate
begin
----------------------------------------
AUX_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_lpf(k) <= asr_lpf(k-1);
end if;
end process;
----------------------------------------
end generate AUX_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
AUX_LPF_AND : process (asr_lpf)
Variable aux_loop_and : std_logic;
Variable aux_loop_nand : std_logic;
Begin
aux_loop_and := '1';
aux_loop_nand := '1';
for m in 0 to C_AUX_RST_WIDTH - 1 loop
aux_loop_and := aux_loop_and and asr_lpf(m);
aux_loop_nand := aux_loop_nand and not asr_lpf(m);
End loop;
asr_and <= aux_loop_and;
asr_nand <= aux_loop_nand;
end process;
end imp;
| gpl-3.0 |
mitchsm/nvc | test/lower/bounds1.vhd | 4 | 413 | entity bounds1 is
end entity;
architecture test of bounds1 is
type int_vec is array (natural range <>) of integer;
begin
process is
variable v : int_vec(0 to 9) := (others => 0);
variable k : integer range 0 to 9;
begin
assert v(k) = 1; -- Should elide
assert v(k + 1) = 1; -- Cannot elide
wait;
end process;
end architecture;
| gpl-3.0 |
mitchsm/nvc | test/regress/bounds4.vhd | 5 | 391 | entity bounds4 is
end entity;
architecture test of bounds4 is
type int_vec is array (natural range <>) of integer;
procedure check(x : in int_vec) is
begin
assert x(5 to 15) = (5 to 15 => 0);
end procedure;
begin
process is
variable v : int_vec(1 to 10) := (others => 0);
begin
check(v);
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/udc/mix/inst_bb_e-rtl-a.vhd | 1 | 1728 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_bb_e
--
-- Generated
-- by: wig
-- on: Sat Mar 3 11:02:57 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../../udc.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_bb_e-rtl-a.vhd,v 1.1 2007/03/03 11:17:34 wig Exp $
-- $Date: 2007/03/03 11:17:34 $
-- $Log: inst_bb_e-rtl-a.vhd,v $
-- Revision 1.1 2007/03/03 11:17:34 wig
-- Extended ::udc: language dependent %AINS% and %PINS%: e.g. <VHDL>...</VHDL>
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp
--
-- Generator: mix_0.pl Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
udc: HEAD HOOK inst_bb_i
--
--
-- Start of Generated Architecture rtl of inst_bb_e
--
architecture rtl of inst_bb_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
udc: DECL HOOK VHDL inst_bb_i
begin
udc: BODY BOOK VHDL inst_bb_i
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
udc: FOOT HOOK two lines inst_bb_i
second line inst_bb_i, config here inst_bb_e_rtl_conf and description bb instance
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/verilog/vhdl/ent_ac-rtl-a.vhd | 1 | 1515 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of ent_ac
--
-- Generated
-- by: wig
-- on: Mon Jul 18 16:07:02 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_VHDL -strip -nodelta ../../verilog.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ac-rtl-a.vhd,v 1.3 2005/07/19 07:13:12 wig Exp $
-- $Date: 2005/07/19 07:13:12 $
-- $Log: ent_ac-rtl-a.vhd,v $
-- Revision 1.3 2005/07/19 07:13:12 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of ent_ac
--
architecture rtl of ent_ac is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/regress/issue111.vhd | 5 | 1265 | entity t1 is
port(
A,B,C : in bit;
D : out bit
);
end t1;
architecture rtl of t1 is
begin
D<='1' when A='1' and B='1' and C='1' else '0';
end rtl;
entity test is
port(
A,B,C : in bit_vector(7 downto 0);
D : out bit_vector(7 downto 0)
);
end test;
architecture rtl of test is
begin
ADD_GEN: for I in 0 to 7 generate
L: if I=0 generate--failure is here
U0: entity work.t1
port map(A(I),B(I),'0',D(I));
end generate L;
U: if I>0 generate
UX: entity work.t1
port map(A(I),B(I),C(I-1),D(I));
end generate U;
end generate ADD_GEN;
end rtl;
entity issue111 is
end entity;
architecture test of issue111 is
signal A, B, C : bit_vector(7 downto 0);
signal D : bit_vector(7 downto 0);
begin
uut: entity work.test
port map (
A => A,
B => B,
C => C,
D => D );
process is
begin
wait for 1 ns;
assert D = X"00";
A <= X"ff";
wait for 1 ns;
assert D = X"00";
B <= X"0f";
C <= X"0c";
wait for 1 ns;
assert D = X"08";
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/generic/inst_ac_e-e.vhd | 1 | 1281 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_ac_e
--
-- Generated
-- by: wig
-- on: Wed Nov 30 06:48:17 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../generic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ac_e-e.vhd,v 1.3 2005/11/30 14:04:03 wig Exp $
-- $Date: 2005/11/30 14:04:03 $
-- $Log: inst_ac_e-e.vhd,v $
-- Revision 1.3 2005/11/30 14:04:03 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_ac_e
--
entity inst_ac_e is
-- Generics:
-- No Generated Generics for Entity inst_ac_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_ac_e
end inst_ac_e;
--
-- End of Generated Entity inst_ac_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/sigport/ent_t-rtl-a.vhd | 1 | 5623 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of ent_t
--
-- Generated
-- by: wig
-- on: Tue Nov 29 13:29:43 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../sigport.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_t-rtl-a.vhd,v 1.3 2005/11/30 14:04:00 wig Exp $
-- $Date: 2005/11/30 14:04:00 $
-- $Log: ent_t-rtl-a.vhd,v $
-- Revision 1.3 2005/11/30 14:04:00 wig
-- Updated testcase references
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of ent_t
--
architecture rtl of ent_t is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component ent_a
-- No Generated Generics
port (
-- Generated Port for Entity ent_a
p_mix_sig_01_go : out std_ulogic;
p_mix_sig_03_go : out std_ulogic;
p_mix_sig_04_gi : in std_ulogic;
p_mix_sig_05_2_1_go : out std_ulogic_vector(1 downto 0);
p_mix_sig_06_gi : in std_ulogic_vector(3 downto 0);
p_mix_sig_i_ae_gi : in std_ulogic_vector(6 downto 0);
p_mix_sig_o_ae_go : out std_ulogic_vector(7 downto 0);
port_i_a : in std_ulogic; -- Input Port
port_o_a : out std_ulogic; -- Output Port
sig_07 : in std_ulogic_vector(5 downto 0); -- Conflicting definition, IN false!
sig_08 : out std_ulogic_vector(8 downto 2); -- VHDL intermediate needed (port name)
sig_13 : out std_ulogic_vector(4 downto 0); -- Create internal signal name
sig_i_a2 : in std_ulogic; -- Input Port
sig_o_a2 : out std_ulogic -- Output Port
-- End of Generated Port for Entity ent_a
);
end component;
-- ---------
component ent_b
-- No Generated Generics
port (
-- Generated Port for Entity ent_b
port_b_1 : in std_ulogic; -- Will create p_mix_sig_1_go port
port_b_3 : in std_ulogic; -- Interhierachy link, will create p_mix_sig_3_go
port_b_4 : out std_ulogic; -- Interhierachy link, will create p_mix_sig_4_gi
port_b_5_1 : in std_ulogic; -- Bus, single bits go to outside, will create p_mix_sig_5_2_2_go __I_AUTO_REDUCED_BUS2SIGNAL
port_b_5_2 : in std_ulogic; -- Bus, single bits go to outside, will create P_MIX_sound_alarm_test5_1_1_GO __I_AUTO_REDUCED_BUS2SIGNAL
port_b_6i : in std_ulogic_vector(3 downto 0); -- Conflicting definition
port_b_6o : out std_ulogic_vector(3 downto 0); -- Conflicting definition
sig_07 : in std_ulogic_vector(5 downto 0); -- Conflicting definition, IN false!
sig_08 : in std_ulogic_vector(8 downto 2) -- VHDL intermediate needed (port name)
-- End of Generated Port for Entity ent_b
);
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
signal sig_01 : std_ulogic;
signal sig_03 : std_ulogic;
signal sig_04 : std_ulogic;
signal sig_05 : std_ulogic_vector(3 downto 0);
signal sig_06 : std_ulogic_vector(3 downto 0);
signal sig_07 : std_ulogic_vector(5 downto 0);
signal sig_08 : std_ulogic_vector(8 downto 2);
-- __I_OUT_OPEN signal sig_13 : std_ulogic_vector(4 downto 0);
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for inst_a
inst_a: ent_a
port map (
p_mix_sig_01_go => sig_01, -- Use internally test1Will create p_mix_sig_1_go port
p_mix_sig_03_go => sig_03, -- Interhierachy link, will create p_mix_sig_3_go
p_mix_sig_04_gi => sig_04, -- Interhierachy link, will create p_mix_sig_4_gi
p_mix_sig_05_2_1_go => sig_05(2 downto 1), -- Bus, single bits go to outsideBus, single bits go to outside, will create p_mix_sig_5_2_2_goBu...
p_mix_sig_06_gi => sig_06, -- Conflicting definition (X2)
p_mix_sig_i_ae_gi => sig_i_ae, -- Input Bus
p_mix_sig_o_ae_go => sig_o_ae, -- Output Bus
port_i_a => sig_i_a, -- Input Port
port_o_a => sig_o_a, -- Output Port
sig_07 => sig_07, -- Conflicting definition, IN false!
sig_08 => sig_08, -- VHDL intermediate needed (port name)
sig_13 => open, -- Create internal signal name -- __I_OUT_OPEN
sig_i_a2 => sig_i_a2, -- Input Port
sig_o_a2 => sig_o_a2 -- Output Port
);
-- End of Generated Instance Port Map for inst_a
-- Generated Instance Port Map for inst_b
inst_b: ent_b
port map (
port_b_1 => sig_01, -- Use internally test1Will create p_mix_sig_1_go port
port_b_3 => sig_03, -- Interhierachy link, will create p_mix_sig_3_go
port_b_4 => sig_04, -- Interhierachy link, will create p_mix_sig_4_gi
port_b_5_1 => sig_05(2), -- Bus, single bits go to outsideBus, single bits go to outside, will create p_mix_sig_5_2_2_goBu...
port_b_5_2 => sig_05(1), -- Bus, single bits go to outsideBus, single bits go to outside, will create p_mix_sig_5_2_2_goBu...
port_b_6i => sig_06, -- Conflicting definition (X2)
port_b_6o => sig_06, -- Conflicting definition (X2)
sig_07 => sig_07, -- Conflicting definition, IN false!
sig_08 => sig_08 -- VHDL intermediate needed (port name)
);
-- End of Generated Instance Port Map for inst_b
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/highlow/lownobus/ent_a-rtl-a.vhd | 1 | 7096 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of ent_a
--
-- Generated
-- by: wig
-- on: Fri Jun 9 19:08:01 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../../highlow.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_a-rtl-a.vhd,v 1.7 2006/06/22 07:19:59 wig Exp $
-- $Date: 2006/06/22 07:19:59 $
-- $Log: ent_a-rtl-a.vhd,v $
-- Revision 1.7 2006/06/22 07:19:59 wig
-- Updated testcases and extended MixTest.pl to also verify number of created files.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.89 2006/05/23 06:48:05 wig Exp
--
-- Generator: mix_0.pl Revision: 1.45 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of ent_a
--
architecture rtl of ent_a is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component ent_aa
-- No Generated Generics
port (
-- Generated Port for Entity ent_aa
partzero_2 : out std_ulogic_vector(7 downto 0); -- map partzero to inst_aa, 2
partzero_22 : out std_ulogic_vector(7 downto 0) -- map partzero to inst_aa, 2, 2
-- End of Generated Port for Entity ent_aa
);
end component;
-- ---------
component ent_ab
-- No Generated Generics
port (
-- Generated Port for Entity ent_ab
partzero : in std_ulogic_vector(15 downto 0); -- map parts to high and low
partzero2 : in std_ulogic_vector(15 downto 0); -- map parts to high and low, 2
port_low2bus : in std_ulogic_vector(5 downto 0); -- Map mix_logic0 to a bus, use std_ulogic_vectorMap mix_logic0 to a bus, use std_ulogic_vector
port_low3bus : in std_ulogic_vector(4 downto 0); -- Map mix_logic0 to a bus no vector
port_lowbus : in std_ulogic_vector(5 downto 0); -- Correct mix_logic0_bus
port_lowbus2 : in std_ulogic_vector(4 downto 0) -- Correct mix_logic0_bus, second try
-- End of Generated Port for Entity ent_ab
);
end component;
-- ---------
component ent_ac
-- No Generated Generics
port (
-- Generated Port for Entity ent_ac
partzero_1 : out std_ulogic -- map parts to high and low inst_aa, single bitmap parts to high and low, 2 __I_AUTO_REDUCED_BUS2SIGNAL
-- End of Generated Port for Entity ent_ac
);
end component;
-- ---------
component ent_ad
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component ent_ae
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
--
-- Generated Signal List
--
signal mix_logic0_bus_0 : std_ulogic_vector(5 downto 0);
signal mix_logic0_bus_1 : std_ulogic_vector(4 downto 0);
signal mix_logic0_bus_2 : std_ulogic_vector(5 downto 0);
signal mix_logic0_bus_3 : std_ulogic_vector(4 downto 0);
signal mix_logic0_bus_4 : std_ulogic_vector(5 downto 0);
constant partzero_c : std_ulogic_vector(1 downto 0) := ( others => '1' );
constant partzero_1c : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS
constant partzero_2c : std_ulogic_vector(3 downto 0) := ( others => '0' );
signal partzero : std_ulogic_vector(15 downto 0);
constant partzero2_c : std_ulogic_vector(1 downto 0) := ( others => '1' );
constant partzero2_1c : std_ulogic := '0'; -- __W_SINGLE_BIT_BUS
constant partzero2_2c : std_ulogic_vector(3 downto 0) := ( others => '0' );
signal partzero2 : std_ulogic_vector(15 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
mix_logic0_bus_0 <= ( others => '0' );
mix_logic0_bus_1 <= ( others => '0' );
mix_logic0_bus_2 <= ( others => '0' );
mix_logic0_bus_3 <= ( others => '0' );
mix_logic0_bus_4 <= ( others => '0' );
partzero(10 downto 9) <= partzero_c;
partzero(6) <= partzero_1c; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS
partzero(15 downto 12) <= partzero_2c;
partzero2(10 downto 9) <= partzero2_c;
partzero2(6) <= partzero2_1c; -- __W_SINGLE_BIT_BUS -- __W_SINGLE_BIT_BUS
partzero2(15 downto 12) <= partzero2_2c;
partzero2(10 downto 9) <= p_mix_partzero2_10_9_gi(1 downto 0); -- __I_I_SLICE_PORT
partzero2(6) <= p_mix_partzero2_6_6_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
partzero2(15 downto 12) <= p_mix_partzero2_15_12_gi(3 downto 0); -- __I_I_SLICE_PORT
p_mix_partzero2_5_0_go(5 downto 0) <= partzero2(5 downto 0); -- __I_O_SLICE_PORT
p_mix_partzero2_11_11_go <= partzero2(11); -- __I_O_SLICE_PORT -- __W_SINGLE_BIT_SLICE
p_mix_partzero2_8_7_go(1 downto 0) <= partzero2(8 downto 7); -- __I_O_SLICE_PORT
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for inst_aa
inst_aa: ent_aa
port map (
partzero_2(5 downto 0) => partzero(5 downto 0), -- map parts to high and lowmap parts to high and low inst_aa, single bitmap partzero to inst_aam...
partzero_2(7 downto 6) => partzero(8 downto 7), -- map parts to high and lowmap parts to high and low inst_aa, single bitmap partzero to inst_aam...
partzero_22(5 downto 0) => partzero2(5 downto 0), -- map parts to high and low, 2map partzero to inst_aa, 2map partzero to inst_aa, 2, 2
partzero_22(7 downto 6) => partzero2(8 downto 7) -- map parts to high and low, 2map partzero to inst_aa, 2map partzero to inst_aa, 2, 2
);
-- End of Generated Instance Port Map for inst_aa
-- Generated Instance Port Map for inst_ab
inst_ab: ent_ab
port map (
partzero => partzero, -- map parts to high and lowmap parts to high and low inst_aa, single bitmap partzero to inst_aam...
partzero2 => partzero2, -- map parts to high and low, 2map partzero to inst_aa, 2map partzero to inst_aa, 2, 2
port_low2bus => mix_logic0_bus_0, -- Map mix_logic0 to a bus, use std_ulogic_vector
port_low2bus => mix_logic0_bus_4, -- Map mix_logic0 to a bus, use std_ulogic_vector
port_low3bus => mix_logic0_bus_1, -- Map mix_logic0 to a bus no vector
port_lowbus => mix_logic0_bus_2, -- Correct mix_logic0_bus
port_lowbus2 => mix_logic0_bus_3 -- Correct mix_logic0_bus, second try
);
-- End of Generated Instance Port Map for inst_ab
-- Generated Instance Port Map for inst_ac
inst_ac: ent_ac
port map (
partzero_1 => partzero(11), -- map parts to high and lowmap parts to high and low inst_aa, single bitmap partzero to inst_aam...
partzero_1 => partzero2(11) -- map parts to high and low, 2map partzero to inst_aa, 2map partzero to inst_aa, 2, 2
);
-- End of Generated Instance Port Map for inst_ac
-- Generated Instance Port Map for inst_ad
inst_ad: ent_ad
;
-- End of Generated Instance Port Map for inst_ad
-- Generated Instance Port Map for inst_ae
inst_ae: ent_ae
;
-- End of Generated Instance Port Map for inst_ae
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/bounds/issue200.vhd | 5 | 255 | entity issue200 is
end entity;
architecture a of issue200 is
begin
main : process
-- Static error
variable bv : bit_vector(-1 downto 0) := (others => '0');
begin
report integer'image(bv'length);
wait;
end process;
end architecture;
| gpl-3.0 |
mitchsm/nvc | test/sem/issue151.vhd | 5 | 507 | package type_pkg is
type rec_t is record
field : integer;
end record;
end package;
use work.type_pkg.all;
package pkg1 is
function fun(rec : rec_t) return integer;
impure function ifun(rec : rec_t) return integer;
procedure proc(variable rec : inout rec_t);
end package;
use work.type_pkg.all;
use work.pkg1.all;
package pkg2 is
function fun(rec : rec_t) return integer; -- OK
impure function ifun(rec : rec_t) return integer;
procedure proc(variable rec : inout rec_t);
end package;
| gpl-3.0 |
blutsvente/MIX | test/results/verilog/mixed/ent_b-rtl-a.vhd | 1 | 2001 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of ent_b
--
-- Generated
-- by: wig
-- on: Tue Jun 27 05:23:07 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -sheet HIER=HIER_MIXED ../../verilog.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_b-rtl-a.vhd,v 1.6 2006/07/04 09:54:10 wig Exp $
-- $Date: 2006/07/04 09:54:10 $
-- $Log: ent_b-rtl-a.vhd,v $
-- Revision 1.6 2006/07/04 09:54:10 wig
-- Update more testcases, add configuration/cfgfile
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of ent_b
--
architecture rtl of ent_b is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component ent_ba
-- No Generated Generics
-- Generated Generics for Entity ent_ba
-- End of Generated Generics for Entity ent_ba
-- No Generated Port
end component;
-- ---------
component ent_bb
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for inst_ba
inst_ba: ent_ba
;
-- End of Generated Instance Port Map for inst_ba
-- Generated Instance Port Map for inst_bb
inst_bb: ent_bb
;
-- End of Generated Instance Port Map for inst_bb
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/generic/inst_1_e-e.vhd | 1 | 1395 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_1_e
--
-- Generated
-- by: wig
-- on: Wed Nov 30 06:48:17 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../generic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_1_e-e.vhd,v 1.3 2005/11/30 14:04:05 wig Exp $
-- $Date: 2005/11/30 14:04:05 $
-- $Log: inst_1_e-e.vhd,v $
-- Revision 1.3 2005/11/30 14:04:05 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_1_e
--
entity inst_1_e is
-- Generics:
generic(
-- Generated Generics for Entity inst_1_e
FOO : integer -- Generic generator, value __W_NODEFAULT
-- End of Generated Generics for Entity inst_1_e
);
-- Generated Port Declaration:
-- No Generated Port for Entity inst_1_e
end inst_1_e;
--
-- End of Generated Entity inst_1_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | Resources/Examples/pin_master_vhdl_di_tnr/vhdl/di_tnry-struct-conf-c.vhd | 1 | 1088 | -- -------------------------------------------------------------
--
-- Generated Configuration for di_tnry
--
-- Generated
-- by: lutscher
-- on: Tue Jun 23 14:19:39 2009
-- cmd: /home/lutscher/work/MIX/mix_1.pl di_tnr.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author$
-- $Id$
-- $Date$
-- $Log$
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.109 2008/04/01 12:48:34 wig Exp
--
-- Generator: mix_1.pl Version: Revision: 1.3 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration di_tnry_struct_conf / di_tnry
--
configuration di_tnry_struct_conf of di_tnry is
for struct
-- Generated Configuration
end for;
end di_tnry_struct_conf;
--
-- End of Generated Configuration di_tnry_struct_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/macro/ifelsif/inst_t_e-rtl-a.vhd | 1 | 20684 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_t_e
--
-- Generated
-- by: wig
-- on: Tue Mar 6 12:38:07 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -variant Ifelsif -nodelta -bak ../../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_t_e-rtl-a.vhd,v 1.1 2007/03/06 12:44:33 wig Exp $
-- $Date: 2007/03/06 12:44:33 $
-- $Log: inst_t_e-rtl-a.vhd,v $
-- Revision 1.1 2007/03/06 12:44:33 wig
-- Adding IF/ELSIF/ELSE for generators and testcase.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_t_e
--
architecture rtl of inst_t_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component inst_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_a_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_a_e
gensig_1 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_10 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_2 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_3 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_4 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_5 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_6 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_7 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_8 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_9 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
port_mac_b : in std_ulogic_vector(3 downto 0) -- Macro test 0 k1_k2
-- End of Generated Port for Entity inst_a_e
);
end component;
-- ---------
component inst_b_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_b_e
gensig_1 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_10 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_2 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_3 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_4 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_5 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_6 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_7 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_8 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_9 : out std_ulogic_vector(7 downto 0) -- Generated signals, connecting b to a
-- End of Generated Port for Entity inst_b_e
);
end component;
-- ---------
component inst_k1_k2_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k1_k2_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 0 k1_k2
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 0 k1_k2
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 0 k1_k2
port_mac : out std_ulogic; -- Macro test 0 k1_k2 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 0 k1_k2
-- End of Generated Port for Entity inst_k1_k2_e
);
end component;
-- ---------
component inst_k1_k4_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k1_k4_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 1 k1_k4
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 1 k1_k4
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 1 k1_k4
port_mac : out std_ulogic; -- Macro test 1 k1_k4 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 1 k1_k4
-- End of Generated Port for Entity inst_k1_k4_e
);
end component;
-- ---------
component inst_k3_k2_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k3_k2_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 2 k3_k2
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 2 k3_k2
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 2 k3_k2
port_mac : out std_ulogic; -- Macro test 2 k3_k2 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 2 k3_k2
-- End of Generated Port for Entity inst_k3_k2_e
);
end component;
-- ---------
component inst_k3_k4_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k3_k4_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 3 k3_k4
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 3 k3_k4
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 3 k3_k4
port_mac : out std_ulogic; -- Macro test 3 k3_k4 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 3 k3_k4
-- End of Generated Port for Entity inst_k3_k4_e
);
end component;
-- ---------
component inst_ok_1_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_3_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_5_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_1_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_3_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_5_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_a_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_b_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k1_k2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k1_k4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k3_k2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k3_k4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_1_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_3_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_5_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_t_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
--
-- Generated Signal List
--
signal gensig_1 : std_ulogic_vector(7 downto 0);
signal gensig_10 : std_ulogic_vector(7 downto 0);
signal gensig_2 : std_ulogic_vector(7 downto 0);
signal gensig_3 : std_ulogic_vector(7 downto 0);
signal gensig_4 : std_ulogic_vector(7 downto 0);
signal gensig_5 : std_ulogic_vector(7 downto 0);
signal gensig_6 : std_ulogic_vector(7 downto 0);
signal gensig_7 : std_ulogic_vector(7 downto 0);
signal gensig_8 : std_ulogic_vector(7 downto 0);
signal gensig_9 : std_ulogic_vector(7 downto 0);
signal macro_sigc : std_ulogic_vector(3 downto 0);
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for inst_10
inst_10: inst_10_e
;
-- End of Generated Instance Port Map for inst_10
-- Generated Instance Port Map for inst_6
inst_6: inst_6_e
;
-- End of Generated Instance Port Map for inst_6
-- Generated Instance Port Map for inst_7
inst_7: inst_7_e
;
-- End of Generated Instance Port Map for inst_7
-- Generated Instance Port Map for inst_8
inst_8: inst_8_e
;
-- End of Generated Instance Port Map for inst_8
-- Generated Instance Port Map for inst_9
inst_9: inst_9_e
;
-- End of Generated Instance Port Map for inst_9
-- Generated Instance Port Map for inst_a
inst_a: inst_a_e
port map (
gensig_1 => gensig_1, -- Generated signals, connecting a to b
gensig_10 => gensig_10, -- Generated signals, connecting b to a
gensig_2 => gensig_2, -- Generated signals, connecting a to b
gensig_3 => gensig_3, -- Generated signals, connecting a to b
gensig_4 => gensig_4, -- Generated signals, connecting a to b
gensig_5 => gensig_5, -- Generated signals, connecting a to b
gensig_6 => gensig_6, -- Generated signals, connecting b to a
gensig_7 => gensig_7, -- Generated signals, connecting b to a
gensig_8 => gensig_8, -- Generated signals, connecting b to a
gensig_9 => gensig_9, -- Generated signals, connecting b to a
port_mac_b => macro_sigc -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
);
-- End of Generated Instance Port Map for inst_a
-- Generated Instance Port Map for inst_b
inst_b: inst_b_e
port map (
gensig_1 => gensig_1, -- Generated signals, connecting a to b
gensig_10 => gensig_10, -- Generated signals, connecting b to a
gensig_2 => gensig_2, -- Generated signals, connecting a to b
gensig_3 => gensig_3, -- Generated signals, connecting a to b
gensig_4 => gensig_4, -- Generated signals, connecting a to b
gensig_5 => gensig_5, -- Generated signals, connecting a to b
gensig_6 => gensig_6, -- Generated signals, connecting b to a
gensig_7 => gensig_7, -- Generated signals, connecting b to a
gensig_8 => gensig_8, -- Generated signals, connecting b to a
gensig_9 => gensig_9 -- Generated signals, connecting b to a
);
-- End of Generated Instance Port Map for inst_b
-- Generated Instance Port Map for inst_k1_k2
inst_k1_k2: inst_k1_k2_e
port map (
port1 => macro_sig1_k1_k2, -- Macro test 0 k1_k2
port2 => macro_sig2_k1_k2, -- Macro test 0 k1_k2
port3 => macro_sign_0, -- Macro test 0 k1_k2
port_mac => macro_sigc(0), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k1_k2 -- Macro test 0 k1_k2
);
-- End of Generated Instance Port Map for inst_k1_k2
-- Generated Instance Port Map for inst_k1_k4
inst_k1_k4: inst_k1_k4_e
port map (
port1 => macro_sig1_k1_k4, -- Macro test 1 k1_k4
port2 => macro_sig2_k1_k4, -- Macro test 1 k1_k4
port3 => macro_sign_1, -- Macro test 1 k1_k4
port_mac => macro_sigc(1), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k1_k4 -- Macro test 1 k1_k4
);
-- End of Generated Instance Port Map for inst_k1_k4
-- Generated Instance Port Map for inst_k3_k2
inst_k3_k2: inst_k3_k2_e
port map (
port1 => macro_sig1_k3_k2, -- Macro test 2 k3_k2
port2 => macro_sig2_k3_k2, -- Macro test 2 k3_k2
port3 => macro_sign_2, -- Macro test 2 k3_k2
port_mac => macro_sigc(2), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k3_k2 -- Macro test 2 k3_k2
);
-- End of Generated Instance Port Map for inst_k3_k2
-- Generated Instance Port Map for inst_k3_k4
inst_k3_k4: inst_k3_k4_e
port map (
port1 => macro_sig1_k3_k4, -- Macro test 3 k3_k4
port2 => macro_sig2_k3_k4, -- Macro test 3 k3_k4
port3 => macro_sign_3, -- Macro test 3 k3_k4
port_mac => macro_sigc(3), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k3_k4 -- Macro test 3 k3_k4
);
-- End of Generated Instance Port Map for inst_k3_k4
-- Generated Instance Port Map for inst_ok_1
inst_ok_1: inst_ok_1_e
;
-- End of Generated Instance Port Map for inst_ok_1
-- Generated Instance Port Map for inst_ok_10
inst_ok_10: inst_ok_10_e
;
-- End of Generated Instance Port Map for inst_ok_10
-- Generated Instance Port Map for inst_ok_2
inst_ok_2: inst_ok_2_e
;
-- End of Generated Instance Port Map for inst_ok_2
-- Generated Instance Port Map for inst_ok_3
inst_ok_3: inst_ok_3_e
;
-- End of Generated Instance Port Map for inst_ok_3
-- Generated Instance Port Map for inst_ok_4
inst_ok_4: inst_ok_4_e
;
-- End of Generated Instance Port Map for inst_ok_4
-- Generated Instance Port Map for inst_ok_5
inst_ok_5: inst_ok_5_e
;
-- End of Generated Instance Port Map for inst_ok_5
-- Generated Instance Port Map for inst_ok_6
inst_ok_6: inst_ok_6_e
;
-- End of Generated Instance Port Map for inst_ok_6
-- Generated Instance Port Map for inst_ok_7
inst_ok_7: inst_ok_7_e
;
-- End of Generated Instance Port Map for inst_ok_7
-- Generated Instance Port Map for inst_ok_8
inst_ok_8: inst_ok_8_e
;
-- End of Generated Instance Port Map for inst_ok_8
-- Generated Instance Port Map for inst_ok_9
inst_ok_9: inst_ok_9_e
;
-- End of Generated Instance Port Map for inst_ok_9
-- Generated Instance Port Map for inst_shadow_1
inst_shadow_1: inst_shadow_1_e
;
-- End of Generated Instance Port Map for inst_shadow_1
-- Generated Instance Port Map for inst_shadow_10
inst_shadow_10: inst_shadow_10_e
;
-- End of Generated Instance Port Map for inst_shadow_10
-- Generated Instance Port Map for inst_shadow_2
inst_shadow_2: inst_shadow_2_e
;
-- End of Generated Instance Port Map for inst_shadow_2
-- Generated Instance Port Map for inst_shadow_3
inst_shadow_3: inst_shadow_3_e
;
-- End of Generated Instance Port Map for inst_shadow_3
-- Generated Instance Port Map for inst_shadow_4
inst_shadow_4: inst_shadow_4_e
;
-- End of Generated Instance Port Map for inst_shadow_4
-- Generated Instance Port Map for inst_shadow_5
inst_shadow_5: inst_shadow_5_e
;
-- End of Generated Instance Port Map for inst_shadow_5
-- Generated Instance Port Map for inst_shadow_6
inst_shadow_6: inst_shadow_6_e
;
-- End of Generated Instance Port Map for inst_shadow_6
-- Generated Instance Port Map for inst_shadow_7
inst_shadow_7: inst_shadow_7_e
;
-- End of Generated Instance Port Map for inst_shadow_7
-- Generated Instance Port Map for inst_shadow_8
inst_shadow_8: inst_shadow_8_e
;
-- End of Generated Instance Port Map for inst_shadow_8
-- Generated Instance Port Map for inst_shadow_9
inst_shadow_9: inst_shadow_9_e
;
-- End of Generated Instance Port Map for inst_shadow_9
-- Generated Instance Port Map for inst_shadow_a
inst_shadow_a: inst_shadow_a_e
;
-- End of Generated Instance Port Map for inst_shadow_a
-- Generated Instance Port Map for inst_shadow_b
inst_shadow_b: inst_shadow_b_e
;
-- End of Generated Instance Port Map for inst_shadow_b
-- Generated Instance Port Map for inst_shadow_k1_k2
inst_shadow_k1_k2: inst_shadow_k1_k2_e
;
-- End of Generated Instance Port Map for inst_shadow_k1_k2
-- Generated Instance Port Map for inst_shadow_k1_k4
inst_shadow_k1_k4: inst_shadow_k1_k4_e
;
-- End of Generated Instance Port Map for inst_shadow_k1_k4
-- Generated Instance Port Map for inst_shadow_k3_k2
inst_shadow_k3_k2: inst_shadow_k3_k2_e
;
-- End of Generated Instance Port Map for inst_shadow_k3_k2
-- Generated Instance Port Map for inst_shadow_k3_k4
inst_shadow_k3_k4: inst_shadow_k3_k4_e
;
-- End of Generated Instance Port Map for inst_shadow_k3_k4
-- Generated Instance Port Map for inst_shadow_ok_1
inst_shadow_ok_1: inst_shadow_ok_1_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_1
-- Generated Instance Port Map for inst_shadow_ok_10
inst_shadow_ok_10: inst_shadow_ok_10_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_10
-- Generated Instance Port Map for inst_shadow_ok_2
inst_shadow_ok_2: inst_shadow_ok_2_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_2
-- Generated Instance Port Map for inst_shadow_ok_3
inst_shadow_ok_3: inst_shadow_ok_3_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_3
-- Generated Instance Port Map for inst_shadow_ok_4
inst_shadow_ok_4: inst_shadow_ok_4_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_4
-- Generated Instance Port Map for inst_shadow_ok_5
inst_shadow_ok_5: inst_shadow_ok_5_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_5
-- Generated Instance Port Map for inst_shadow_ok_6
inst_shadow_ok_6: inst_shadow_ok_6_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_6
-- Generated Instance Port Map for inst_shadow_ok_7
inst_shadow_ok_7: inst_shadow_ok_7_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_7
-- Generated Instance Port Map for inst_shadow_ok_8
inst_shadow_ok_8: inst_shadow_ok_8_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_8
-- Generated Instance Port Map for inst_shadow_ok_9
inst_shadow_ok_9: inst_shadow_ok_9_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_9
-- Generated Instance Port Map for inst_shadow_t
inst_shadow_t: inst_shadow_t_e
;
-- End of Generated Instance Port Map for inst_shadow_t
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/parse/extended.vhd | 4 | 264 | -- Test extended identifiers
architecture foo of bar is
signal \foo bar\ : integer;
signal \a\\b\ : integer;
signal \Thing!!! \ : integer;
signal \name\ : integer;
signal name : integer;
begin
\foo.bar.baz\ <= \hello\;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/padio2/pads_eastsouth-e.vhd | 1 | 1848 | -- -------------------------------------------------------------
--
-- Entity Declaration for pads_eastsouth
--
-- Generated
-- by: wig
-- on: Thu Jan 19 07:44:48 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../padio2.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: pads_eastsouth-e.vhd,v 1.4 2006/01/19 08:50:40 wig Exp $
-- $Date: 2006/01/19 08:50:40 $
-- $Log: pads_eastsouth-e.vhd,v $
-- Revision 1.4 2006/01/19 08:50:40 wig
-- Updated testcases, left 6 failing now (constant, bitsplice/X, ...)
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.75 2006/01/18 16:59:29 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.43 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- Generated use statements
library work;
use work.vst_5lm_io_components.all;
--
--
-- Start of Generated Entity pads_eastsouth
--
entity pads_eastsouth is
-- Generics:
-- No Generated Generics for Entity pads_eastsouth
-- Generated Port Declaration:
port(
-- Generated Port for Entity pads_eastsouth
clkf81_gi : in std_ulogic;
clockdr_i_gi : in std_ulogic;
default_gi : in std_ulogic;
mode_1_i_gi : in std_ulogic;
mode_2_i_gi : in std_ulogic;
mode_3_i_gi : in std_ulogic;
pmux_sel_por_gi : in std_ulogic;
res_f81_n_gi : in std_ulogic;
scan_en_i_gi : in std_ulogic;
shiftdr_i_gi : in std_ulogic;
tck_i_gi : in std_ulogic;
updatedr_i_gi : in std_ulogic
-- End of Generated Port for Entity pads_eastsouth
);
end pads_eastsouth;
--
-- End of Generated Entity pads_eastsouth
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/padio2/pads_westsouth-struct-a.vhd | 1 | 35568 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for struct of pads_westsouth
--
-- Generated
-- by: wig
-- on: Mon Mar 5 15:01:50 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl ../padio2.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: pads_westsouth-struct-a.vhd,v 1.6 2007/03/05 15:29:26 wig Exp $
-- $Date: 2007/03/05 15:29:26 $
-- $Log: pads_westsouth-struct-a.vhd,v $
-- Revision 1.6 2007/03/05 15:29:26 wig
-- Updated testcase.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture struct of pads_westsouth
--
architecture struct of pads_westsouth is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component ioc
-- No Generated Generics
port (
-- Generated Port for Entity ioc
bypass : in std_ulogic_vector(1 downto 0);
clk : in std_ulogic_vector(1 downto 0);
clockdr_i : in std_ulogic;
di : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
do : in std_ulogic_vector(1 downto 0);
en : in std_ulogic_vector(1 downto 0);
enq : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
iddq : in std_ulogic_vector(1 downto 0);
mode_1_i : in std_ulogic;
mode_2_i : in std_ulogic;
mode_3_i : in std_ulogic;
mux_sel_p : in std_ulogic_vector(1 downto 0);
oe : in std_ulogic_vector(1 downto 0);
pad : inout std_ulogic;
pd : in std_ulogic_vector(1 downto 0);
res_n : in std_ulogic;
scan_en_i : in std_ulogic;
scan_i : in std_ulogic;
scan_o : out std_ulogic;
serial_input_i : in std_ulogic;
serial_output_o : out std_ulogic;
shiftdr_i : in std_ulogic;
tck_i : in std_ulogic;
tenq : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
updatedr_i : in std_ulogic
-- End of Generated Port for Entity ioc
);
end component;
-- ---------
--
-- Generated Signal List
--
signal mix_logic1_18 : std_ulogic;
signal mix_logic1_19 : std_ulogic;
signal mix_logic1_20 : std_ulogic;
signal mix_logic1_21 : std_ulogic;
signal mix_logic1_22 : std_ulogic;
signal mix_logic1_23 : std_ulogic;
signal mix_logic1_24 : std_ulogic;
signal mix_logic1_25 : std_ulogic;
signal mix_logic1_26 : std_ulogic;
signal mix_logic1_27 : std_ulogic;
signal mix_logic1_28 : std_ulogic;
signal mix_logic1_29 : std_ulogic;
signal mix_logic1_30 : std_ulogic;
signal mix_logic1_31 : std_ulogic;
signal mix_logic1_32 : std_ulogic;
signal mix_logic1_33 : std_ulogic;
signal mix_logic1_34 : std_ulogic;
signal mix_logic1_35 : std_ulogic;
signal mix_logic1_36 : std_ulogic;
signal mix_logic1_37 : std_ulogic;
signal mix_logic1_38 : std_ulogic;
signal mix_logic1_39 : std_ulogic;
signal mix_logic1_40 : std_ulogic;
signal mix_logic1_41 : std_ulogic;
signal mix_logic1_42 : std_ulogic;
signal mix_logic1_43 : std_ulogic;
signal mix_logic1_44 : std_ulogic;
signal mix_logic1_45 : std_ulogic;
signal mix_logic1_46 : std_ulogic;
signal mix_logic1_47 : std_ulogic;
signal mix_logic1_66 : std_ulogic;
signal mix_logic1_67 : std_ulogic;
signal mix_logic1_68 : std_ulogic;
signal mix_logic1_69 : std_ulogic;
signal mix_logic1_70 : std_ulogic;
signal mix_logic1_71 : std_ulogic;
signal mix_logic1_72 : std_ulogic;
signal mix_logic1_73 : std_ulogic;
signal mix_logic1_74 : std_ulogic;
signal mix_logic1_75 : std_ulogic;
signal mix_logic1_76 : std_ulogic;
signal mix_logic1_77 : std_ulogic;
signal mix_logic1_78 : std_ulogic;
signal mix_logic1_79 : std_ulogic;
signal mix_logic1_80 : std_ulogic;
signal mix_logic1_81 : std_ulogic;
signal mix_logic1_82 : std_ulogic;
signal mix_logic1_83 : std_ulogic;
signal mix_logic1_84 : std_ulogic;
signal mix_logic1_85 : std_ulogic;
signal mix_logic1_86 : std_ulogic;
signal mix_logic1_87 : std_ulogic;
signal mix_logic1_88 : std_ulogic;
signal mix_logic1_89 : std_ulogic;
signal mix_logic1_90 : std_ulogic;
signal mix_logic1_91 : std_ulogic;
signal mix_logic1_92 : std_ulogic;
signal mix_logic1_93 : std_ulogic;
signal mix_logic1_94 : std_ulogic;
signal mix_logic1_95 : std_ulogic;
signal mix_logic0_10 : std_ulogic;
signal mix_logic0_11 : std_ulogic;
signal mix_logic0_12 : std_ulogic;
signal mix_logic0_13 : std_ulogic;
signal mix_logic0_14 : std_ulogic;
signal mix_logic0_15 : std_ulogic;
signal mix_logic0_22 : std_ulogic;
signal mix_logic0_23 : std_ulogic;
signal mix_logic0_24 : std_ulogic;
signal mix_logic0_25 : std_ulogic;
signal mix_logic0_26 : std_ulogic;
signal mix_logic0_27 : std_ulogic;
signal mix_logic0_28 : std_ulogic;
signal mix_logic0_29 : std_ulogic;
signal mix_logic0_30 : std_ulogic;
signal mix_logic0_31 : std_ulogic;
signal mix_logic0_33 : std_ulogic;
signal mix_logic0_36 : std_ulogic;
signal mix_logic0_38 : std_ulogic;
signal mix_logic0_40 : std_ulogic;
signal mix_logic0_42 : std_ulogic;
signal mix_logic0_44 : std_ulogic;
signal mix_logic0_46 : std_ulogic;
signal mix_logic0_47 : std_ulogic;
signal mix_logic0_48 : std_ulogic;
signal mix_logic0_49 : std_ulogic;
signal mix_logic0_51 : std_ulogic;
signal mix_logic0_52 : std_ulogic;
signal mix_logic0_54 : std_ulogic;
signal mix_logic0_57 : std_ulogic;
signal mix_logic0_58 : std_ulogic;
signal mix_logic0_59 : std_ulogic;
signal mix_logic0_6 : std_ulogic;
signal mix_logic0_60 : std_ulogic;
signal mix_logic0_62 : std_ulogic;
signal mix_logic0_64 : std_ulogic;
signal mix_logic0_65 : std_ulogic;
signal mix_logic0_7 : std_ulogic;
signal mix_logic0_8 : std_ulogic;
signal mix_logic0_9 : std_ulogic;
signal clkf81 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal clockdr_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal dbo_o : std_ulogic_vector(15 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal default : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal mode_1_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal mode_2_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal mode_3_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal pmux_sel_por : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal res_f81_n : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal rgbout_byp_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal rgbout_iddq_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal rgbout_sio_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal s_in_db2o_0 : std_ulogic;
signal s_in_db2o_1 : std_ulogic;
signal s_in_db2o_2 : std_ulogic;
signal s_in_db2o_3 : std_ulogic;
signal s_in_db2o_4 : std_ulogic;
signal s_in_db2o_5 : std_ulogic;
signal s_in_db2o_6 : std_ulogic;
signal s_in_db2o_7 : std_ulogic;
signal s_in_db2o_8 : std_ulogic;
signal s_in_db2o_9 : std_ulogic;
signal s_in_dbo_0 : std_ulogic;
signal s_in_dbo_1 : std_ulogic;
signal s_in_dbo_2 : std_ulogic;
signal s_in_dbo_3 : std_ulogic;
signal s_in_dbo_4 : std_ulogic;
signal s_in_dbo_5 : std_ulogic;
signal s_in_dbo_6 : std_ulogic;
signal s_in_dbo_7 : std_ulogic;
signal s_in_dbo_8 : std_ulogic;
signal s_in_dbo_9 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_0 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_1 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_2 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_3 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_4 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_5 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_6 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_7 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_8 : std_ulogic;
-- __I_OUT_OPEN signal s_out_db2o_9 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_0 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_1 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_2 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_3 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_4 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_5 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_6 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_7 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_8 : std_ulogic;
-- __I_OUT_OPEN signal s_out_dbo_9 : std_ulogic;
signal scan_en_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal shiftdr_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal tck_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal updatedr_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal varclk_i : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
mix_logic1_18 <= '1';
mix_logic1_19 <= '1';
mix_logic1_20 <= '1';
mix_logic1_21 <= '1';
mix_logic1_22 <= '1';
mix_logic1_23 <= '1';
mix_logic1_24 <= '1';
mix_logic1_25 <= '1';
mix_logic1_26 <= '1';
mix_logic1_27 <= '1';
mix_logic1_28 <= '1';
mix_logic1_29 <= '1';
mix_logic1_30 <= '1';
mix_logic1_31 <= '1';
mix_logic1_32 <= '1';
mix_logic1_33 <= '1';
mix_logic1_34 <= '1';
mix_logic1_35 <= '1';
mix_logic1_36 <= '1';
mix_logic1_37 <= '1';
mix_logic1_38 <= '1';
mix_logic1_39 <= '1';
mix_logic1_40 <= '1';
mix_logic1_41 <= '1';
mix_logic1_42 <= '1';
mix_logic1_43 <= '1';
mix_logic1_44 <= '1';
mix_logic1_45 <= '1';
mix_logic1_46 <= '1';
mix_logic1_47 <= '1';
mix_logic1_66 <= '1';
mix_logic1_67 <= '1';
mix_logic1_68 <= '1';
mix_logic1_69 <= '1';
mix_logic1_70 <= '1';
mix_logic1_71 <= '1';
mix_logic1_72 <= '1';
mix_logic1_73 <= '1';
mix_logic1_74 <= '1';
mix_logic1_75 <= '1';
mix_logic1_76 <= '1';
mix_logic1_77 <= '1';
mix_logic1_78 <= '1';
mix_logic1_79 <= '1';
mix_logic1_80 <= '1';
mix_logic1_81 <= '1';
mix_logic1_82 <= '1';
mix_logic1_83 <= '1';
mix_logic1_84 <= '1';
mix_logic1_85 <= '1';
mix_logic1_86 <= '1';
mix_logic1_87 <= '1';
mix_logic1_88 <= '1';
mix_logic1_89 <= '1';
mix_logic1_90 <= '1';
mix_logic1_91 <= '1';
mix_logic1_92 <= '1';
mix_logic1_93 <= '1';
mix_logic1_94 <= '1';
mix_logic1_95 <= '1';
mix_logic0_10 <= '0';
mix_logic0_11 <= '0';
mix_logic0_12 <= '0';
mix_logic0_13 <= '0';
mix_logic0_14 <= '0';
mix_logic0_15 <= '0';
mix_logic0_22 <= '0';
mix_logic0_23 <= '0';
mix_logic0_24 <= '0';
mix_logic0_25 <= '0';
mix_logic0_26 <= '0';
mix_logic0_27 <= '0';
mix_logic0_28 <= '0';
mix_logic0_29 <= '0';
mix_logic0_30 <= '0';
mix_logic0_31 <= '0';
mix_logic0_33 <= '0';
mix_logic0_36 <= '0';
mix_logic0_38 <= '0';
mix_logic0_40 <= '0';
mix_logic0_42 <= '0';
mix_logic0_44 <= '0';
mix_logic0_46 <= '0';
mix_logic0_47 <= '0';
mix_logic0_48 <= '0';
mix_logic0_49 <= '0';
mix_logic0_51 <= '0';
mix_logic0_52 <= '0';
mix_logic0_54 <= '0';
mix_logic0_57 <= '0';
mix_logic0_58 <= '0';
mix_logic0_59 <= '0';
mix_logic0_6 <= '0';
mix_logic0_60 <= '0';
mix_logic0_62 <= '0';
mix_logic0_64 <= '0';
mix_logic0_65 <= '0';
mix_logic0_7 <= '0';
mix_logic0_8 <= '0';
mix_logic0_9 <= '0';
clkf81 <= clkf81_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
clockdr_i <= clockdr_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
dbo_o_9_0_go(9 downto 0) <= dbo_o(9 downto 0); -- __I_O_SLICE_PORT
default <= default_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
mode_1_i <= mode_1_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
mode_2_i <= mode_2_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
mode_3_i <= mode_3_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
pmux_sel_por <= pmux_sel_por_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
res_f81_n <= res_f81_n_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
rgbout_byp_i <= rgbout_byp_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
rgbout_iddq_i <= rgbout_iddq_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
rgbout_sio_i <= rgbout_sio_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
scan_en_i <= scan_en_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
shiftdr_i <= shiftdr_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
tck_i <= tck_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
updatedr_i <= updatedr_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
varclk_i <= varclk_i_gi; -- __I_I_SLICE_PORT -- __I_SINGLE_BIT (0)
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for ioc_db2o_0
ioc_db2o_0: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_68, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(0), -- padout (X2)
do(0) => db2o_i(0), -- padin (X2)
do(1) => mix_logic1_66, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_22, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_67, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_0, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_36,
scan_o => open,
serial_input_i => s_in_db2o_0,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_0
-- Generated Instance Port Map for ioc_db2o_1
ioc_db2o_1: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_71, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(1), -- padout (X2)
do(0) => db2o_i(1), -- padin (X2)
do(1) => mix_logic1_69, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_23, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_70, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_1, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_62,
scan_o => open,
serial_input_i => s_in_db2o_1,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_1
-- Generated Instance Port Map for ioc_db2o_2
ioc_db2o_2: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_74, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(2), -- padout (X2)
do(0) => db2o_i(2), -- padin (X2)
do(1) => mix_logic1_72, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_24, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_73, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_2, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_47,
scan_o => open,
serial_input_i => s_in_db2o_2,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_2
-- Generated Instance Port Map for ioc_db2o_3
ioc_db2o_3: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_77, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(3), -- padout (X2)
do(0) => db2o_i(3), -- padin (X2)
do(1) => mix_logic1_75, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_25, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_76, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_3, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_42,
scan_o => open,
serial_input_i => s_in_db2o_3,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_3
-- Generated Instance Port Map for ioc_db2o_4
ioc_db2o_4: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_80, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(4), -- padout (X2)
do(0) => db2o_i(4), -- padin (X2)
do(1) => mix_logic1_78, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_26, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_79, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_4, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_51,
scan_o => open,
serial_input_i => s_in_db2o_4,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_4
-- Generated Instance Port Map for ioc_db2o_5
ioc_db2o_5: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_83, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(5), -- padout (X2)
do(0) => db2o_i(5), -- padin (X2)
do(1) => mix_logic1_81, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_27, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_82, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_5, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_65,
scan_o => open,
serial_input_i => s_in_db2o_5,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_5
-- Generated Instance Port Map for ioc_db2o_6
ioc_db2o_6: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_86, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(6), -- padout (X2)
do(0) => db2o_i(6), -- padin (X2)
do(1) => mix_logic1_84, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_28, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_85, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_6, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_52,
scan_o => open,
serial_input_i => s_in_db2o_6,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_6
-- Generated Instance Port Map for ioc_db2o_7
ioc_db2o_7: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_89, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(7), -- padout (X2)
do(0) => db2o_i(7), -- padin (X2)
do(1) => mix_logic1_87, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_29, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_88, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_7, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_49,
scan_o => open,
serial_input_i => s_in_db2o_7,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_7
-- Generated Instance Port Map for ioc_db2o_8
ioc_db2o_8: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_92, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(8), -- padout (X2)
do(0) => db2o_i(8), -- padin (X2)
do(1) => mix_logic1_90, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_30, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_91, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_8, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_58,
scan_o => open,
serial_input_i => s_in_db2o_8,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_8
-- Generated Instance Port Map for ioc_db2o_9
ioc_db2o_9: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_95, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => db2o_o(9), -- padout (X2)
do(0) => db2o_i(9), -- padin (X2)
do(1) => mix_logic1_93, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_31, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_94, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => db2o_9, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_59,
scan_o => open,
serial_input_i => s_in_db2o_9,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_db2o_9
-- Generated Instance Port Map for ioc_dbo_0
ioc_dbo_0: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_20, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(0), -- padout
do(0) => dbo_i(0), -- padin (X2)
do(1) => mix_logic1_18, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_6, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_19, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_0, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_54,
scan_o => open,
serial_input_i => s_in_dbo_0,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_0
-- Generated Instance Port Map for ioc_dbo_1
ioc_dbo_1: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_23, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(1), -- padout
do(0) => dbo_i(1), -- padin (X2)
do(1) => mix_logic1_21, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_7, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_22, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_1, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_60,
scan_o => open,
serial_input_i => s_in_dbo_1,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_1
-- Generated Instance Port Map for ioc_dbo_2
ioc_dbo_2: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_26, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(2), -- padout
do(0) => dbo_i(2), -- padin (X2)
do(1) => mix_logic1_24, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_8, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_25, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_2, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_33,
scan_o => open,
serial_input_i => s_in_dbo_2,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_2
-- Generated Instance Port Map for ioc_dbo_3
ioc_dbo_3: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_29, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(3), -- padout
do(0) => dbo_i(3), -- padin (X2)
do(1) => mix_logic1_27, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_9, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_28, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_3, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_44,
scan_o => open,
serial_input_i => s_in_dbo_3,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_3
-- Generated Instance Port Map for ioc_dbo_4
ioc_dbo_4: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_32, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(4), -- padout
do(0) => dbo_i(4), -- padin (X2)
do(1) => mix_logic1_30, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_10, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_31, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_4, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_57,
scan_o => open,
serial_input_i => s_in_dbo_4,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_4
-- Generated Instance Port Map for ioc_dbo_5
ioc_dbo_5: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_35, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(5), -- padout
do(0) => dbo_i(5), -- padin (X2)
do(1) => mix_logic1_33, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_11, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_34, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_5, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_40,
scan_o => open,
serial_input_i => s_in_dbo_5,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_5
-- Generated Instance Port Map for ioc_dbo_6
ioc_dbo_6: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_38, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(6), -- padout
do(0) => dbo_i(6), -- padin (X2)
do(1) => mix_logic1_36, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_12, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_37, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_6, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_46,
scan_o => open,
serial_input_i => s_in_dbo_6,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_6
-- Generated Instance Port Map for ioc_dbo_7
ioc_dbo_7: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_41, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(7), -- padout
do(0) => dbo_i(7), -- padin (X2)
do(1) => mix_logic1_39, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_13, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_40, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_7, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_64,
scan_o => open,
serial_input_i => s_in_dbo_7,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_7
-- Generated Instance Port Map for ioc_dbo_8
ioc_dbo_8: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_44, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(8), -- padout
do(0) => dbo_i(8), -- padin (X2)
do(1) => mix_logic1_42, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_14, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_43, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_8, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_48,
scan_o => open,
serial_input_i => s_in_dbo_8,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_8
-- Generated Instance Port Map for ioc_dbo_9
ioc_dbo_9: ioc
port map (
bypass(0) => rgbout_byp_i, -- __I_BIT_TO_BUSPORT
bypass(1) => mix_logic1_47, -- __I_BIT_TO_BUSPORT
clk(0) => varclk_i, -- __I_BIT_TO_BUSPORT
clk(1) => clkf81, -- __I_BIT_TO_BUSPORT
clockdr_i => clockdr_i,
di => dbo_o(9), -- padout
do(0) => dbo_i(9), -- padin (X2)
do(1) => mix_logic1_45, -- __I_BIT_TO_BUSPORT
en(0) => rgbout_sio_i, -- __I_BIT_TO_BUSPORT
en(1) => mix_logic0_15, -- __I_BIT_TO_BUSPORT
iddq(0) => rgbout_iddq_i, -- __I_BIT_TO_BUSPORT
iddq(1) => mix_logic1_46, -- __I_BIT_TO_BUSPORT
mode_1_i => mode_1_i,
mode_2_i => mode_2_i,
mode_3_i => mode_3_i,
mux_sel_p(0) => default, -- __I_BIT_TO_BUSPORT
mux_sel_p(1) => pmux_sel_por, -- __I_BIT_TO_BUSPORT
pad => dbo_9, -- Flat Panel
res_n => res_f81_n,
scan_en_i => scan_en_i,
scan_i => mix_logic0_38,
scan_o => open,
serial_input_i => s_in_dbo_9,
serial_output_o => open, -- __I_OUT_OPEN
shiftdr_i => shiftdr_i,
tck_i => tck_i,
updatedr_i => updatedr_i
);
-- End of Generated Instance Port Map for ioc_dbo_9
end struct;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/regress/vecorder.vhd | 4 | 1391 | entity vecorder is
end entity;
architecture test of vecorder is
type int_array is array (integer range <>) of integer;
signal s : int_array(0 to 1) := ( 0 => 0, 1 => 1 );
begin
process is
variable x : int_array(0 to 1) := ( 0 => 0, 1 => 1 );
variable y : int_array(1 downto 0) := ( 0 => 0, 1 => 1 );
begin
assert x(0) = 0 report "one";
assert x(1) = 1 report "two";
assert x = ( 0, 1 );
x := ( 2, 3 );
report integer'image(x(0));
report integer'image(x(1));
assert x(0) = 2 report "three";
assert x(1) = 3 report "four";
assert x = ( 2, 3 ) report "five";
assert ( 2, 3 ) = x report "six";
assert s(0) = 0 report "s one";
assert s(1) = 1 report "s two";
s <= ( 2, 3 );
wait for 0 ns;
report integer'image(s(0));
report integer'image(s(1));
assert s(0) = 2 report "s three";
assert s(1) = 3 report "s four";
assert y(0) = 0 report "y one";
assert y(1) = 1 report "y two";
assert y = ( 1, 0 );
y := ( 2, 3 );
report integer'image(y(0));
report integer'image(y(1));
assert y(0) = 3 report "y three";
assert y(1) = 2 report "y four";
assert y = ( 2, 3 ) report "y five";
wait;
end process;
end architecture;
| gpl-3.0 |
mitchsm/nvc | test/regress/block1.vhd | 5 | 591 | entity block1 is
end entity;
architecture test of block1 is
signal u, v, w: integer;
begin
process is
begin
u <= 1;
wait for 1 ns;
u <= 2;
wait;
end process;
a: block is
signal x : integer;
begin
x <= u + 2;
v <= x;
end block;
b: block is
signal x : integer;
begin
x <= v + 6;
w <= x;
end block;
process is
begin
wait for 1 ns;
assert w = 9;
wait for 1 ns;
assert w = 10;
wait;
end process;
end architecture;
| gpl-3.0 |
mitchsm/nvc | test/lower/assign2.vhd | 4 | 470 | entity assign2 is
end entity;
architecture test of assign2 is
begin
process is
variable x : bit_vector(7 downto 0) := (1 => '1', others => '0');
subtype myint is integer range 1 to 10;
type myint_array is array (integer range <>) of myint;
variable y : myint_array(1 to 3);
begin
assert x(0) = '0';
assert x(4) = x(7);
x(2) := '1';
y(1) := y(3);
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/bugver/ramd/vgca_mm-struct-conf-c.vhd | 1 | 1409 | -- -------------------------------------------------------------
--
-- Generated Configuration for vgca_mm
--
-- Generated
-- by: wig
-- on: Thu Feb 10 19:03:15 2005
-- cmd: H:/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bugver.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: vgca_mm-struct-conf-c.vhd,v 1.2 2005/04/14 06:53:00 wig Exp $
-- $Date: 2005/04/14 06:53:00 $
-- $Log: vgca_mm-struct-conf-c.vhd,v $
-- Revision 1.2 2005/04/14 06:53:00 wig
-- Updates: fixed import errors and adjusted I2C parser
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.49 2005/01/27 08:20:30 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.33 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration vgca_mm_struct_conf / vgca_mm
--
configuration vgca_mm_struct_conf of vgca_mm is
for struct
-- Generated Configuration
for i_mm_mm1 : mm_mm1
use configuration work.mm_mm1_struct_conf;
end for;
end for;
end vgca_mm_struct_conf;
--
-- End of Generated Configuration vgca_mm_struct_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/constant/inst_aea_e-e.vhd | 1 | 1454 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_aea_e
--
-- Generated
-- by: wig
-- on: Wed Aug 18 12:41:45 2004
-- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../constant.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_aea_e-e.vhd,v 1.2 2004/08/18 10:47:04 wig Exp $
-- $Date: 2004/08/18 10:47:04 $
-- $Log: inst_aea_e-e.vhd,v $
-- Revision 1.2 2004/08/18 10:47:04 wig
-- reworked some testcases
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.32 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_aea_e
--
entity inst_aea_e is
-- Generics:
-- No Generated Generics for Entity inst_aea_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_aea_e
bus20040728_altop_i : in std_ulogic_vector(7 downto 0);
bus20040728_top_i : in std_ulogic_vector(7 downto 0)
-- End of Generated Port for Entity inst_aea_e
);
end inst_aea_e;
--
-- End of Generated Entity inst_aea_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/padio/given/ddrv4-rtl-conf-c.vhd | 1 | 1675 | -- -------------------------------------------------------------
--
-- Generated Configuration for ddrv4
--
-- Generated
-- by: wig
-- on: Mon Jul 18 15:46:40 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../padio.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ddrv4-rtl-conf-c.vhd,v 1.2 2005/07/19 07:13:15 wig Exp $
-- $Date: 2005/07/19 07:13:15 $
-- $Log: ddrv4-rtl-conf-c.vhd,v $
-- Revision 1.2 2005/07/19 07:13:15 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ddrv4_rtl_conf / ddrv4
--
configuration ddrv4_rtl_conf of ddrv4 is
for rtl
-- Generated Configuration
for d_ls_hr : ddrv
use configuration work.d_ls_hr_RTL_CONF;
end for;
for d_ls_min : ddrv
use configuration work.d_ls_min_RTL_CONF;
end for;
for d_ms_hr : ddrv
use configuration work.d_ms_hr_RTL_CONF;
end for;
for d_ms_min : ddrv
use configuration work.d_ms_min_RTL_CONF;
end for;
for u_and_f : and_f
use configuration work.u_and_f_RTL_CONF;
end for;
end for;
end ddrv4_rtl_conf;
--
-- End of Generated Configuration ddrv4_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/bugver/ramd/vgca_tb-struct-conf-c.vhd | 1 | 1400 | -- -------------------------------------------------------------
--
-- Generated Configuration for vgca_tb
--
-- Generated
-- by: wig
-- on: Thu Feb 10 19:03:15 2005
-- cmd: H:/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bugver.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: vgca_tb-struct-conf-c.vhd,v 1.2 2005/04/14 06:53:00 wig Exp $
-- $Date: 2005/04/14 06:53:00 $
-- $Log: vgca_tb-struct-conf-c.vhd,v $
-- Revision 1.2 2005/04/14 06:53:00 wig
-- Updates: fixed import errors and adjusted I2C parser
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.49 2005/01/27 08:20:30 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.33 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration vgca_tb_struct_conf / vgca_tb
--
configuration vgca_tb_struct_conf of vgca_tb is
for struct
-- Generated Configuration
for dut : vgca
use configuration work.vgca_struct_conf;
end for;
end for;
end vgca_tb_struct_conf;
--
-- End of Generated Configuration vgca_tb_struct_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/macro/inst_k1_k2_e-rtl-a.vhd | 1 | 1475 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_k1_k2_e
--
-- Generated
-- by: wig
-- on: Mon Jun 26 17:00:36 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_k1_k2_e-rtl-a.vhd,v 1.3 2006/07/04 09:54:10 wig Exp $
-- $Date: 2006/07/04 09:54:10 $
-- $Log: inst_k1_k2_e-rtl-a.vhd,v $
-- Revision 1.3 2006/07/04 09:54:10 wig
-- Update more testcases, add configuration/cfgfile
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_k1_k2_e
--
architecture rtl of inst_k1_k2_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/nreset2/ga-e.vhd | 1 | 1305 | -- -------------------------------------------------------------
--
-- Entity Declaration for ga
--
-- Generated
-- by: wig
-- on: Wed Nov 30 08:56:01 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../nreset2.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ga-e.vhd,v 1.2 2005/11/30 14:04:02 wig Exp $
-- $Date: 2005/11/30 14:04:02 $
-- $Log: ga-e.vhd,v $
-- Revision 1.2 2005/11/30 14:04:02 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ga
--
entity ga is
-- Generics:
-- No Generated Generics for Entity ga
-- Generated Port Declaration:
port(
-- Generated Port for Entity ga
reset_n : in std_ulogic -- Async. Reset (CGU,PAD)
-- End of Generated Port for Entity ga
);
end ga;
--
-- End of Generated Entity ga
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/elab/elab4.vhd | 5 | 400 | entity sub is
port (
x : in bit_vector(7 downto 0) );
end entity;
architecture empty of sub is
begin
end architecture;
-------------------------------------------------------------------------------
entity top is
end entity;
architecture test of top is
signal y : bit_vector(9 downto 1);
begin
uut: entity work.sub
port map (
x => y );
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/mde_tests/conn_nr_vhdl/inst_ea_e-rtl-a.vhd | 1 | 7439 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_ea_e
--
-- Generated
-- by: wig
-- on: Tue Sep 27 05:31:52 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ea_e-rtl-a.vhd,v 1.2 2006/06/22 07:20:00 wig Exp $
-- $Date: 2006/06/22 07:20:00 $
-- $Log: inst_ea_e-rtl-a.vhd,v $
-- Revision 1.2 2006/06/22 07:20:00 wig
-- Updated testcases and extended MixTest.pl to also verify number of created files.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.58 2005/09/14 14:40:06 wig Exp
--
-- Generator: mix_0.pl Revision: 1.37 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_ea_e
--
architecture rtl of inst_ea_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component inst_eaa_e --
-- No Generated Generics
port (
-- Generated Port for Entity inst_eaa_e
mbist_clut_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
mbist_fifo_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
reset_n : in std_ulogic;
reset_n_s : in std_ulogic
-- End of Generated Port for Entity inst_eaa_e
);
end component;
-- ---------
component inst_eab_e --
-- No Generated Generics
port (
-- Generated Port for Entity inst_eab_e
nreset : in std_ulogic;
nreset_s : in std_ulogic;
v_select : in std_ulogic_vector(5 downto 0)
-- End of Generated Port for Entity inst_eab_e
);
end component;
-- ---------
component inst_eac_e --
-- No Generated Generics
port (
-- Generated Port for Entity inst_eac_e
adp_bist_fail : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
cp_laddr : in std_ulogic_vector(31 downto 0);
cp_lcmd : in std_ulogic_vector(6 downto 0);
cpu_bist_fail : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
cvi_sbist_fail0 : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
cvi_sbist_fail1 : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
ema_bist_fail : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
ga_sbist_fail0 : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
ga_sbist_fail1 : in std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
gpio_int : out std_ulogic_vector(4 downto 0);
ifu_bist_fail : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
mcu_bist_fail : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
nreset : in std_ulogic;
nreset_s : in std_ulogic;
pdu_bist_fail0 : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
pdu_bist_fail1 : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
tmu_dac_reset : out std_ulogic;
tsd_bist_fail : out std_ulogic -- __I_AUTO_REDUCED_BUS2SIGNAL
-- End of Generated Port for Entity inst_eac_e
);
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
signal mix_logic0_0 : std_ulogic;
signal mix_logic0_2 : std_ulogic;
signal mix_logic0_bus_1 : std_ulogic_vector(5 downto 0);
signal cp_laddr : std_ulogic_vector(31 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal cp_lcmd : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal gpio_int : std_ulogic_vector(4 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal nreset : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal nreset_s : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal tmi_sbist_fail : std_ulogic_vector(12 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal tmu_dac_reset : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal v_select : std_ulogic_vector(5 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
mix_logic0_0 <= '0';
mix_logic0_2 <= '0';
mix_logic0_bus_1 <= ( others => '0' );
cp_laddr(31 downto 1) <= p_mix_cp_laddr_31_1_gi(30 downto 0); -- __I_I_SLICE_PORT
cp_lcmd(6) <= p_mix_cp_lcmd_6_6_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
p_mix_gpio_int_4_0_go <= gpio_int; -- __I_O_BUS_PORT
nreset <= p_mix_nreset_gi; -- __I_I_BIT_PORT
nreset_s <= p_mix_nreset_s_gi; -- __I_I_BIT_PORT
tmi_sbist_fail(11 downto 10) <= p_mix_tmi_sbist_fail_11_10_gi(1 downto 0); -- __I_I_SLICE_PORT
p_mix_tmi_sbist_fail_9_0_go(9 downto 0) <= tmi_sbist_fail(9 downto 0); -- __I_O_SLICE_PORT
p_mix_tmu_dac_reset_go <= tmu_dac_reset; -- __I_O_BIT_PORT
v_select(5) <= p_mix_v_select_5_5_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
v_select(2) <= p_mix_v_select_2_2_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for inst_eaa
inst_eaa: inst_eaa_e
port map (
mbist_clut_fail_o => tmi_sbist_fail(8),
mbist_fifo_fail_o => tmi_sbist_fail(9),
reset_n => nreset, -- GlobalRESET(Verilogmacro)
reset_n_s => nreset_s -- GlobalRESET(Verilogmacro)
);
-- End of Generated Instance Port Map for inst_eaa
-- Generated Instance Port Map for inst_eab
inst_eab: inst_eab_e
port map (
nreset => nreset, -- GlobalRESET(Verilogmacro)
nreset_s => nreset_s, -- GlobalRESET(Verilogmacro)
v_select(0) => mix_logic0_0, -- __I_BIT_TO_BUSPORT -- GuestBusLBC(memorymappedI/O)Interface
v_select(1) => mix_logic0_0, -- __I_BIT_TO_BUSPORT -- GuestBusLBC(memorymappedI/O)Interface
v_select(2) => v_select(2), -- VPUinterfaceRequestBusinterface:RequestBus#6(VPU)requestbusinterfaceforcgpandcgclientserver
v_select(3) => mix_logic0_0, -- __I_BIT_TO_BUSPORT -- GuestBusLBC(memorymappedI/O)Interface
v_select(4) => mix_logic0_0, -- __I_BIT_TO_BUSPORT -- GuestBusLBC(memorymappedI/O)Interface
v_select(5) => v_select(5) -- VPUinterfaceRequestBusinterface:RequestBus#6(VPU)requestbusinterfaceforcgpandcgclientserver
);
-- End of Generated Instance Port Map for inst_eab
-- Generated Instance Port Map for inst_eac
inst_eac: inst_eac_e
port map (
adp_bist_fail => tmi_sbist_fail(0),
cp_laddr(0) => mix_logic0_2, -- __I_BIT_TO_BUSPORT -- GuestBusLBC(memorymappedI/O)Interface
cp_laddr(31 downto 1) => cp_laddr(31 downto 1), -- GuestBusLBC(memorymappedI/O)InterfaceLBCinterfacetobeusecurrentlybyGuestBus
cp_lcmd(5 downto 0) => mix_logic0_bus_1, -- __W_PORT
cp_lcmd(6) => cp_lcmd(6), -- GuestBusLBC(memorymappedI/O)Interface
cpu_bist_fail => tmi_sbist_fail(1),
cvi_sbist_fail0 => tmi_sbist_fail(10),
cvi_sbist_fail1 => tmi_sbist_fail(11),
ema_bist_fail => tmi_sbist_fail(7),
ga_sbist_fail0 => tmi_sbist_fail(8),
ga_sbist_fail1 => tmi_sbist_fail(9),
gpio_int => gpio_int, -- GPIOWakeUPSignalsInterruptinputs
ifu_bist_fail => tmi_sbist_fail(6),
mcu_bist_fail => tmi_sbist_fail(2),
nreset => nreset, -- GlobalRESET(Verilogmacro)
nreset_s => nreset_s, -- GlobalRESET(Verilogmacro)
pdu_bist_fail0 => tmi_sbist_fail(3),
pdu_bist_fail1 => tmi_sbist_fail(4),
tmu_dac_reset => tmu_dac_reset, -- CADCTestModeRGBADAC
tsd_bist_fail => tmi_sbist_fail(5)
);
-- End of Generated Instance Port Map for inst_eac
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/io/inst_aa_e-rtl-a.vhd | 1 | 1452 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_aa_e
--
-- Generated
-- by: wig
-- on: Mon Jun 26 05:39:03 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../io.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_aa_e-rtl-a.vhd,v 1.2 2006/06/26 07:42:19 wig Exp $
-- $Date: 2006/06/26 07:42:19 $
-- $Log: inst_aa_e-rtl-a.vhd,v $
-- Revision 1.2 2006/06/26 07:42:19 wig
-- Updated io, generic and mde_tests testcases
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_aa_e
--
architecture rtl of inst_aa_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/sigport/ent_ac-rtl-conf-c.vhd | 1 | 1282 | -- -------------------------------------------------------------
--
-- Generated Configuration for ent_ac
--
-- Generated
-- by: wig
-- on: Sat Mar 3 18:34:27 2007
-- cmd: /home/wig/work/MIX/mix_0.pl ../sigport.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ac-rtl-conf-c.vhd,v 1.1 2007/03/05 13:35:50 wig Exp $
-- $Date: 2007/03/05 13:35:50 $
-- $Log: ent_ac-rtl-conf-c.vhd,v $
-- Revision 1.1 2007/03/05 13:35:50 wig
-- Reworked testcase sigport (changed case of generated files).
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ent_ac_RTL_CONF / ent_ac
--
configuration ent_ac_RTL_CONF of ent_ac is
for rtl
-- Generated Configuration
end for;
end ent_ac_RTL_CONF;
--
-- End of Generated Configuration ent_ac_RTL_CONF
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/bitsplice/inst_ea_e-e.vhd | 1 | 3809 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_ea_e
--
-- Generated
-- by: wig
-- on: Mon Oct 10 12:25:03 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ea_e-e.vhd,v 1.3 2005/11/30 14:20:41 wig Exp $
-- $Date: 2005/11/30 14:20:41 $
-- $Log: inst_ea_e-e.vhd,v $
-- Revision 1.3 2005/11/30 14:20:41 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.59 2005/10/06 11:21:44 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.37 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_ea_e
--
entity inst_ea_e is
-- Generics:
-- No Generated Generics for Entity inst_ea_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_ea_e
p_mix_c_addr_12_0_gi : in std_ulogic_vector(12 downto 0);
p_mix_c_bus_in_31_0_gi : in std_ulogic_vector(31 downto 0);
p_mix_cp_lcmd_2_6_6_gi : in std_ulogic;
p_mix_cp_lcmd_3_6_6_gi : in std_ulogic;
p_mix_cp_lcmd_6_6_gi : in std_ulogic;
p_mix_tmi_sbist_fail_11_10_gi : in std_ulogic_vector(1 downto 0);
p_mix_tmi_sbist_fail_9_0_go : out std_ulogic_vector(9 downto 0);
p_mix_unsplice_a1_no3_125_0_gi : in std_ulogic_vector(125 downto 0);
p_mix_unsplice_a1_no3_127_127_gi : in std_ulogic;
p_mix_unsplice_a2_all128_127_0_gi : in std_ulogic_vector(127 downto 0);
p_mix_unsplice_a3_up100_100_0_gi : in std_ulogic_vector(100 downto 0);
p_mix_unsplice_a4_mid100_99_2_gi : in std_ulogic_vector(97 downto 0);
p_mix_unsplice_a5_midp100_99_2_gi : in std_ulogic_vector(97 downto 0);
p_mix_unsplice_bad_a_1_1_gi : in std_ulogic;
p_mix_unsplice_bad_b_1_0_gi : in std_ulogic_vector(1 downto 0);
p_mix_v_select_2_2_gi : in std_ulogic;
p_mix_v_select_5_5_gi : in std_ulogic;
p_mix_widemerge_a1_31_0_gi : in std_ulogic_vector(31 downto 0);
p_mix_widesig_31_0_gi : in std_ulogic_vector(31 downto 0);
p_mix_widesig_r_0_gi : in std_ulogic;
p_mix_widesig_r_10_gi : in std_ulogic;
p_mix_widesig_r_11_gi : in std_ulogic;
p_mix_widesig_r_12_gi : in std_ulogic;
p_mix_widesig_r_13_gi : in std_ulogic;
p_mix_widesig_r_14_gi : in std_ulogic;
p_mix_widesig_r_15_gi : in std_ulogic;
p_mix_widesig_r_16_gi : in std_ulogic;
p_mix_widesig_r_17_gi : in std_ulogic;
p_mix_widesig_r_18_gi : in std_ulogic;
p_mix_widesig_r_19_gi : in std_ulogic;
p_mix_widesig_r_1_gi : in std_ulogic;
p_mix_widesig_r_20_gi : in std_ulogic;
p_mix_widesig_r_21_gi : in std_ulogic;
p_mix_widesig_r_22_gi : in std_ulogic;
p_mix_widesig_r_23_gi : in std_ulogic;
p_mix_widesig_r_24_gi : in std_ulogic;
p_mix_widesig_r_25_gi : in std_ulogic;
p_mix_widesig_r_26_gi : in std_ulogic;
p_mix_widesig_r_27_gi : in std_ulogic;
p_mix_widesig_r_28_gi : in std_ulogic;
p_mix_widesig_r_29_gi : in std_ulogic;
p_mix_widesig_r_2_gi : in std_ulogic;
p_mix_widesig_r_30_gi : in std_ulogic;
p_mix_widesig_r_3_gi : in std_ulogic;
p_mix_widesig_r_4_gi : in std_ulogic;
p_mix_widesig_r_5_gi : in std_ulogic;
p_mix_widesig_r_6_gi : in std_ulogic;
p_mix_widesig_r_7_gi : in std_ulogic;
p_mix_widesig_r_8_gi : in std_ulogic;
p_mix_widesig_r_9_gi : in std_ulogic;
video_i : in std_ulogic_vector(3 downto 0)
-- End of Generated Port for Entity inst_ea_e
);
end inst_ea_e;
--
-- End of Generated Entity inst_ea_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/mde_tests/conn_nr_vhdl/inst_eca_e-e.vhd | 1 | 1449 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_eca_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:43 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eca_e-e.vhd,v 1.1 2004/04/06 10:50:04 wig Exp $
-- $Date: 2004/04/06 10:50:04 $
-- $Log: inst_eca_e-e.vhd,v $
-- Revision 1.1 2004/04/06 10:50:04 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Version: Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_eca_e
--
entity inst_eca_e is
-- Generics:
-- No Generated Generics for Entity inst_eca_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_eca_e
nreset : in std_ulogic;
nreset_s : in std_ulogic;
v_select : in std_ulogic_vector(5 downto 0)
-- End of Generated Port for Entity inst_eca_e
);
end inst_eca_e;
--
-- End of Generated Entity inst_eca_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/lower/assign1.vhd | 4 | 455 | entity assign1 is
end entity;
architecture test of assign1 is
begin
process is
variable x : integer := 64;
variable y : integer := -4;
begin
wait for 4 ns;
assert x = 64;
assert y = -4;
x := y * 2;
assert x = -8;
x := 5;
y := 7;
assert x = 5;
assert y = 7;
wait for 1 ns;
assert x + y = 12;
wait;
end process;
end architecture;
| gpl-3.0 |
mitchsm/nvc | test/regress/assign1.vhd | 5 | 585 | entity assign1 is
end entity;
architecture test of assign1 is
begin
process is
variable x, y : integer;
begin
x := 5;
y := 7;
assert x = 5;
assert y = 7;
wait for 1 ns;
assert x + y = 12;
wait;
end process;
process is
variable x : integer := 64;
variable y : integer := -4;
begin
wait for 4 ns;
assert x = 64 report "x not 64";
assert y = -4 report "y not -4";
x := y * 2;
assert x = -8;
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/bugver/ramd/dac-e.vhd | 1 | 1248 | -- -------------------------------------------------------------
--
-- Entity Declaration for dac
--
-- Generated
-- by: wig
-- on: Thu Feb 10 19:03:15 2005
-- cmd: H:/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bugver.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: dac-e.vhd,v 1.2 2005/04/14 06:53:00 wig Exp $
-- $Date: 2005/04/14 06:53:00 $
-- $Log: dac-e.vhd,v $
-- Revision 1.2 2005/04/14 06:53:00 wig
-- Updates: fixed import errors and adjusted I2C parser
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.49 2005/01/27 08:20:30 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.33 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity dac
--
entity dac is
-- Generics:
-- No Generated Generics for Entity dac
-- Generated Port Declaration:
-- No Generated Port for Entity dac
end dac;
--
-- End of Generated Entity dac
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/regress/issue13.vhd | 5 | 464 | entity issue13 is
end entity;
architecture test of issue13 is
constant c0: bit_vector(7 downto 0) := "10101010";
type t_array is array (1 downto 0) of bit_vector(7 downto 0);
constant c1 : t_array := (
1 => c0, --error
0 => "10101010");
begin
process is
variable cmp : bit_vector(7 downto 0) := "10101010";
begin
assert c1(1) = c0;
assert c1(0) = cmp;
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/mde_tests/conn_nreset/inst_ebb_e-rtl-a.vhd | 1 | 1497 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_ebb_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:29 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ebb_e-rtl-a.vhd,v 1.1 2004/04/06 10:50:26 wig Exp $
-- $Date: 2004/04/06 10:50:26 $
-- $Log: inst_ebb_e-rtl-a.vhd,v $
-- Revision 1.1 2004/04/06 10:50:26 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_ebb_e
--
architecture rtl of inst_ebb_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/sem/issue165.vhd | 4 | 236 | package assert_after_missing_type is
end package;
package body assert_after_missing_type is
procedure proc(var : type_t) is
begin
end;
procedure calling_proc is
begin
proc(1); -- Causes SIGABRT
end;
end package body;
| gpl-3.0 |
mitchsm/nvc | test/regress/file2.vhd | 5 | 528 | entity file2 is
end entity;
architecture test of file2 is
subtype bit_vec5 is bit_vector(1 to 5);
type ft is file of bit_vec5;
begin
process is
file f : ft;
variable v : bit_vec5;
begin
file_open(f, "test.bin", WRITE_MODE);
v := "10100";
write(f, v);
file_close(f);
v := "00000";
file_open(f, "test.bin", READ_MODE);
read(f, v);
file_close(f);
assert v = "10100";
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/sigport/verilog/ent_ae-e.vhd | 1 | 1660 | -- -------------------------------------------------------------
--
-- Entity Declaration for ent_ae
--
-- Generated
-- by: wig
-- on: Fri Jul 15 16:37:20 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../sigport.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ae-e.vhd,v 1.3 2005/07/15 16:20:04 wig Exp $
-- $Date: 2005/07/15 16:20:04 $
-- $Log: ent_ae-e.vhd,v $
-- Revision 1.3 2005/07/15 16:20:04 wig
-- Update all testcases; still problems though
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_ae
--
entity ent_ae is
-- Generics:
-- No Generated Generics for Entity ent_ae
-- Generated Port Declaration:
port(
-- Generated Port for Entity ent_ae
port_ae_2 : in std_ulogic_vector(4 downto 0);
port_ae_5 : in std_ulogic_vector(3 downto 0);
port_ae_6 : in std_ulogic_vector(3 downto 0);
sig_07 : in std_ulogic_vector(5 downto 0);
sig_08 : in std_ulogic_vector(8 downto 2);
sig_i_ae : in std_ulogic_vector(6 downto 0);
sig_o_ae : out std_ulogic_vector(7 downto 0)
-- End of Generated Port for Entity ent_ae
);
end ent_ae;
--
-- End of Generated Entity ent_ae
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/logic/inst_ab_e-e.vhd | 1 | 1666 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_ab_e
--
-- Generated
-- by: wig
-- on: Mon Jul 18 10:55:02 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../logic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ab_e-e.vhd,v 1.3 2005/07/18 08:59:29 wig Exp $
-- $Date: 2005/07/18 08:59:29 $
-- $Log: inst_ab_e-e.vhd,v $
-- Revision 1.3 2005/07/18 08:59:29 wig
-- do not write config for simple logic
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.56 2005/07/15 16:39:38 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_ab_e
--
entity inst_ab_e is
-- Generics:
-- No Generated Generics for Entity inst_ab_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_ab_e
and_i1_2 : out std_ulogic;
and_i1_3_p : out std_ulogic;
and_i2_2 : out std_ulogic_vector(15 downto 0);
and_i2_3_p : out std_ulogic_vector(15 downto 0);
or_i1_2 : out std_ulogic;
or_i1_3_p : out std_ulogic;
or_i2_2 : out std_ulogic_vector(15 downto 0);
or_i2_3_p : out std_ulogic_vector(15 downto 0)
-- End of Generated Port for Entity inst_ab_e
);
end inst_ab_e;
--
-- End of Generated Entity inst_ab_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/macro/inst_shadow_ok_8_e-c.vhd | 1 | 1361 | -- -------------------------------------------------------------
--
-- Generated Configuration for inst_shadow_ok_8_e
--
-- Generated
-- by: wig
-- on: Tue Nov 21 12:18:38 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_shadow_ok_8_e-c.vhd,v 1.1 2006/11/22 10:40:09 wig Exp $
-- $Date: 2006/11/22 10:40:09 $
-- $Log: inst_shadow_ok_8_e-c.vhd,v $
-- Revision 1.1 2006/11/22 10:40:09 wig
-- Detect missing directories and flag that as error.
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.99 2006/11/02 15:37:48 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_shadow_ok_8_rtl_conf / inst_shadow_ok_8_e
--
configuration inst_shadow_ok_8_rtl_conf of inst_shadow_ok_8_e is
for rtl
-- Generated Configuration
end for;
end inst_shadow_ok_8_rtl_conf;
--
-- End of Generated Configuration inst_shadow_ok_8_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/verilog/vhdl/ent_ab-rtl-conf-c.vhd | 1 | 1308 | -- -------------------------------------------------------------
--
-- Generated Configuration for ent_ab
--
-- Generated
-- by: wig
-- on: Mon Jul 18 16:07:02 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_VHDL -strip -nodelta ../../verilog.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ab-rtl-conf-c.vhd,v 1.3 2005/07/19 07:13:12 wig Exp $
-- $Date: 2005/07/19 07:13:12 $
-- $Log: ent_ab-rtl-conf-c.vhd,v $
-- Revision 1.3 2005/07/19 07:13:12 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ent_ab_rtl_conf / ent_ab
--
configuration ent_ab_rtl_conf of ent_ab is
for rtl
-- Generated Configuration
end for;
end ent_ab_rtl_conf;
--
-- End of Generated Configuration ent_ab_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/udc/inst/inst_aa_e-e.vhd | 1 | 1551 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_aa_e
--
-- Generated
-- by: wig
-- on: Sat Mar 3 09:45:57 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../../udc.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_aa_e-e.vhd,v 1.1 2007/03/03 11:17:34 wig Exp $
-- $Date: 2007/03/03 11:17:34 $
-- $Log: inst_aa_e-e.vhd,v $
-- Revision 1.1 2007/03/03 11:17:34 wig
-- Extended ::udc: language dependent %AINS% and %PINS%: e.g. <VHDL>...</VHDL>
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_aa_e
--
entity inst_aa_e is
HOOK: global hook in entity
-- Generics:
-- No Generated Generics for Entity inst_aa_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_aa_e
port_xa_i : in std_ulogic; -- tie to low to create port
port_xa_o : out std_ulogic -- signal test aa to ba
-- End of Generated Port for Entity inst_aa_e
);
end inst_aa_e;
--
-- End of Generated Entity inst_aa_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/configuration/ent_b-rtl-a.vhd | 1 | 1985 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of ent_b
--
-- Generated
-- by: wig
-- on: Tue Jul 4 05:34:51 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../configuration.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_b-rtl-a.vhd,v 1.5 2006/07/04 09:54:11 wig Exp $
-- $Date: 2006/07/04 09:54:11 $
-- $Log: ent_b-rtl-a.vhd,v $
-- Revision 1.5 2006/07/04 09:54:11 wig
-- Update more testcases, add configuration/cfgfile
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
-- modifiy vhdl_use_arch
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
typedef vhdl_use_arch_def std_ulogic_vector;
-- end of vhdl_use_arch
--
--
-- Start of Generated Architecture rtl of ent_b
--
architecture rtl of ent_b is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component ent_ba
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component ent_bb
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for inst_ba
inst_ba: ent_ba
;
-- End of Generated Instance Port Map for inst_ba
-- Generated Instance Port Map for inst_bb
inst_bb: ent_bb
;
-- End of Generated Instance Port Map for inst_bb
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/bitsplice/connport/inst_eba_e-rtl-a.vhd | 1 | 1508 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_eba_e
--
-- Generated
-- by: wig
-- on: Mon Apr 10 13:27:22 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eba_e-rtl-a.vhd,v 1.1 2006/04/10 15:42:06 wig Exp $
-- $Date: 2006/04/10 15:42:06 $
-- $Log: inst_eba_e-rtl-a.vhd,v $
-- Revision 1.1 2006/04/10 15:42:06 wig
-- Updated testcase (__TOP__)
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
--
-- Generator: mix_0.pl Revision: 1.44 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_eba_e
--
architecture rtl of inst_eba_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/regress/vhpi2.vhd | 5 | 420 | entity vhpi2 is
port (
x : in natural;
y : out natural );
end entity;
architecture test of vhpi2 is
begin
process (x) is
begin
report "x=" & integer'image(x);
y <= x + 1 after 1 ns;
end process;
process is
begin
wait for 1 ms;
assert x = 1 report "VHPI plugin did not force X" severity failure;
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/verilog/vhdl/ent_t-e.vhd | 1 | 1546 | -- -------------------------------------------------------------
--
-- Entity Declaration for ent_t
--
-- Generated
-- by: wig
-- on: Mon Jul 18 16:07:02 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_VHDL -strip -nodelta ../../verilog.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_t-e.vhd,v 1.3 2005/07/19 07:13:12 wig Exp $
-- $Date: 2005/07/19 07:13:12 $
-- $Log: ent_t-e.vhd,v $
-- Revision 1.3 2005/07/19 07:13:12 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_t
--
entity ent_t is
-- Generics:
-- No Generated Generics for Entity ent_t
-- Generated Port Declaration:
port(
-- Generated Port for Entity ent_t
sig_i_a : in std_ulogic;
sig_i_a2 : in std_ulogic;
sig_i_ae : in std_ulogic_vector(6 downto 0);
sig_o_a : out std_ulogic;
sig_o_a2 : out std_ulogic;
sig_o_ae : out std_ulogic_vector(7 downto 0)
-- End of Generated Port for Entity ent_t
);
end ent_t;
--
-- End of Generated Entity ent_t
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/regress/agg2.vhd | 5 | 509 | entity agg2 is
end entity;
architecture test of agg2 is
type int_array is array (integer range <>) of integer;
function all_ones(x : int_array) return int_array is
variable y : int_array(1 to x'length) := (others => 0);
begin
y := (others => 1);
return y;
end function;
begin
process is
variable x : int_array(1 to 3) := (others => 5);
begin
assert all_ones(x) = (1, 1, 1);
wait;
end process;
end architecture;
| gpl-3.0 |
mitchsm/nvc | test/elab/const1.vhd | 5 | 954 | entity pwm is
generic (
CLK_FREQ : real;
PWM_FREQ : real );
end entity;
architecture rtl of pwm is
function log2(x : in integer) return integer is
variable r : integer := 0;
variable c : integer := 1;
begin
if x <= 1 then
r := 1;
else
while c < x loop
r := r + 1;
c := c * 2;
end loop;
end if;
return r;
end function;
constant DIVIDE : integer := integer(CLK_FREQ / PWM_FREQ);
constant BITS : integer := log2(DIVIDE);
signal ctr_r : bit_vector(BITS - 1 downto 0) := (others => '0');
begin
end architecture;
-------------------------------------------------------------------------------
entity top is
end entity;
architecture test of top is
begin
pwm_1: entity work.pwm
generic map (
CLK_FREQ => 24.0e6,
PWM_FREQ => 1.0e3 );
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/mde_tests/conn_nreset/mde_tests-c.vhd | 1 | 10386 | -- -------------------------------------------------------------
--
-- Generated Configuration for __COMMON__
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:29 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: mde_tests-c.vhd,v 1.1 2004/04/06 10:50:33 wig Exp $
-- $Date: 2004/04/06 10:50:33 $
-- $Log: mde_tests-c.vhd,v $
-- Revision 1.1 2004/04/06 10:50:33 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Version: Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_a_e_rtl_conf / inst_a_e
--
configuration inst_a_e_rtl_conf of inst_a_e is
for rtl
-- Generated Configuration
end for;
end inst_a_e_rtl_conf;
--
-- End of Generated Configuration inst_a_e_rtl_conf
--
--
-- Start of Generated Configuration inst_b_e_rtl_conf / inst_b_e
--
configuration inst_b_e_rtl_conf of inst_b_e is
for rtl
-- Generated Configuration
end for;
end inst_b_e_rtl_conf;
--
-- End of Generated Configuration inst_b_e_rtl_conf
--
--
-- Start of Generated Configuration inst_c_e_rtl_conf / inst_c_e
--
configuration inst_c_e_rtl_conf of inst_c_e is
for rtl
-- Generated Configuration
end for;
end inst_c_e_rtl_conf;
--
-- End of Generated Configuration inst_c_e_rtl_conf
--
--
-- Start of Generated Configuration inst_d_e_rtl_conf / inst_d_e
--
configuration inst_d_e_rtl_conf of inst_d_e is
for rtl
-- Generated Configuration
end for;
end inst_d_e_rtl_conf;
--
-- End of Generated Configuration inst_d_e_rtl_conf
--
--
-- Start of Generated Configuration inst_e_e_rtl_conf / inst_e_e
--
configuration inst_e_e_rtl_conf of inst_e_e is
for rtl
-- Generated Configuration
for inst_ea : inst_ea_e
use configuration work.inst_ea_e_rtl_conf;
end for;
for inst_eb : inst_eb_e
use configuration work.inst_eb_e_rtl_conf;
end for;
for inst_ec : inst_ec_e
use configuration work.inst_ec_e_rtl_conf;
end for;
for inst_ed : inst_ed_e
use configuration work.inst_ed_e_rtl_conf;
end for;
-- __I_NO_CONFIG_VERILOG --for inst_ee : inst_ee_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_ee_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
-- __I_NO_CONFIG_VERILOG --for inst_ef : inst_ef_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_ef_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
-- __I_NO_CONFIG_VERILOG --for inst_eg : inst_eg_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_eg_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
end for;
end inst_e_e_rtl_conf;
--
-- End of Generated Configuration inst_e_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ea_e_rtl_conf / inst_ea_e
--
configuration inst_ea_e_rtl_conf of inst_ea_e is
for rtl
-- Generated Configuration
for inst_eaa : inst_eaa_e
use configuration work.inst_eaa_e_rtl_conf;
end for;
-- __I_NO_CONFIG_VERILOG --for inst_eab : inst_eab_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_eab_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
-- __I_NO_CONFIG_VERILOG --for inst_eac : inst_eac_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_eac_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
end for;
end inst_ea_e_rtl_conf;
--
-- End of Generated Configuration inst_ea_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eaa_e_rtl_conf / inst_eaa_e
--
configuration inst_eaa_e_rtl_conf of inst_eaa_e is
for rtl
-- Generated Configuration
end for;
end inst_eaa_e_rtl_conf;
--
-- End of Generated Configuration inst_eaa_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eab_e_rtl_conf / inst_eab_e
--
configuration inst_eab_e_rtl_conf of inst_eab_e is
for rtl
-- Generated Configuration
end for;
end inst_eab_e_rtl_conf;
--
-- End of Generated Configuration inst_eab_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eac_e_rtl_conf / inst_eac_e
--
configuration inst_eac_e_rtl_conf of inst_eac_e is
for rtl
-- Generated Configuration
end for;
end inst_eac_e_rtl_conf;
--
-- End of Generated Configuration inst_eac_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eb_e_rtl_conf / inst_eb_e
--
configuration inst_eb_e_rtl_conf of inst_eb_e is
for rtl
-- Generated Configuration
for inst_eba : inst_eba_e
use configuration work.inst_eba_e_rtl_conf;
end for;
for inst_ebb : inst_ebb_e
use configuration work.inst_ebb_e_rtl_conf;
end for;
-- __I_NO_CONFIG_VERILOG --for inst_ebc : inst_ebc_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_ebc_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
end for;
end inst_eb_e_rtl_conf;
--
-- End of Generated Configuration inst_eb_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eba_e_rtl_conf / inst_eba_e
--
configuration inst_eba_e_rtl_conf of inst_eba_e is
for rtl
-- Generated Configuration
end for;
end inst_eba_e_rtl_conf;
--
-- End of Generated Configuration inst_eba_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ebb_e_rtl_conf / inst_ebb_e
--
configuration inst_ebb_e_rtl_conf of inst_ebb_e is
for rtl
-- Generated Configuration
end for;
end inst_ebb_e_rtl_conf;
--
-- End of Generated Configuration inst_ebb_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ebc_e_rtl_conf / inst_ebc_e
--
configuration inst_ebc_e_rtl_conf of inst_ebc_e is
for rtl
-- Generated Configuration
end for;
end inst_ebc_e_rtl_conf;
--
-- End of Generated Configuration inst_ebc_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ec_e_rtl_conf / inst_ec_e
--
configuration inst_ec_e_rtl_conf of inst_ec_e is
for rtl
-- Generated Configuration
-- __I_NO_CONFIG_VERILOG --for inst_eca : inst_eca_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_eca_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
-- __I_NO_CONFIG_VERILOG --for inst_ecb : inst_ecb_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_ecb_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
-- __I_NO_CONFIG_VERILOG --for inst_ecc : inst_ecc_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_ecc_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
end for;
end inst_ec_e_rtl_conf;
--
-- End of Generated Configuration inst_ec_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eca_e_rtl_conf / inst_eca_e
--
configuration inst_eca_e_rtl_conf of inst_eca_e is
for rtl
-- Generated Configuration
end for;
end inst_eca_e_rtl_conf;
--
-- End of Generated Configuration inst_eca_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ecb_e_rtl_conf / inst_ecb_e
--
configuration inst_ecb_e_rtl_conf of inst_ecb_e is
for rtl
-- Generated Configuration
end for;
end inst_ecb_e_rtl_conf;
--
-- End of Generated Configuration inst_ecb_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ecc_e_rtl_conf / inst_ecc_e
--
configuration inst_ecc_e_rtl_conf of inst_ecc_e is
for rtl
-- Generated Configuration
end for;
end inst_ecc_e_rtl_conf;
--
-- End of Generated Configuration inst_ecc_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ed_e_rtl_conf / inst_ed_e
--
configuration inst_ed_e_rtl_conf of inst_ed_e is
for rtl
-- Generated Configuration
-- __I_NO_CONFIG_VERILOG --for inst_eda : inst_eda_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_eda_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
-- __I_NO_CONFIG_VERILOG --for inst_edb : inst_edb_e
-- __I_NO_CONFIG_VERILOG -- use configuration work.inst_edb_e_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
end for;
end inst_ed_e_rtl_conf;
--
-- End of Generated Configuration inst_ed_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eda_e_rtl_conf / inst_eda_e
--
configuration inst_eda_e_rtl_conf of inst_eda_e is
for rtl
-- Generated Configuration
end for;
end inst_eda_e_rtl_conf;
--
-- End of Generated Configuration inst_eda_e_rtl_conf
--
--
-- Start of Generated Configuration inst_edb_e_rtl_conf / inst_edb_e
--
configuration inst_edb_e_rtl_conf of inst_edb_e is
for rtl
-- Generated Configuration
end for;
end inst_edb_e_rtl_conf;
--
-- End of Generated Configuration inst_edb_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ee_e_rtl_conf / inst_ee_e
--
configuration inst_ee_e_rtl_conf of inst_ee_e is
for rtl
-- Generated Configuration
end for;
end inst_ee_e_rtl_conf;
--
-- End of Generated Configuration inst_ee_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ef_e_rtl_conf / inst_ef_e
--
configuration inst_ef_e_rtl_conf of inst_ef_e is
for rtl
-- Generated Configuration
end for;
end inst_ef_e_rtl_conf;
--
-- End of Generated Configuration inst_ef_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eg_e_rtl_conf / inst_eg_e
--
configuration inst_eg_e_rtl_conf of inst_eg_e is
for rtl
-- Generated Configuration
end for;
end inst_eg_e_rtl_conf;
--
-- End of Generated Configuration inst_eg_e_rtl_conf
--
--
-- Start of Generated Configuration inst_t_e_rtl_conf / inst_t_e
--
configuration inst_t_e_rtl_conf of inst_t_e is
for rtl
-- Generated Configuration
for inst_a : inst_a_e
use configuration work.inst_a_e_rtl_conf;
end for;
for inst_b : inst_b_e
use configuration work.inst_b_e_rtl_conf;
end for;
for inst_c : inst_c_e
use configuration work.inst_c_e_rtl_conf;
end for;
for inst_d : inst_d_e
use configuration work.inst_d_e_rtl_conf;
end for;
for inst_e : inst_e_e
use configuration work.inst_e_e_rtl_conf;
end for;
end for;
end inst_t_e_rtl_conf;
--
-- End of Generated Configuration inst_t_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/hier/auto/inst_a_e-c.vhd | 1 | 1301 | -- -------------------------------------------------------------
--
-- Generated Configuration for inst_a_e
--
-- Generated
-- by: wig
-- on: Tue Apr 4 05:28:09 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../hier.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_a_e-c.vhd,v 1.1 2006/04/11 13:36:52 wig Exp $
-- $Date: 2006/04/11 13:36:52 $
-- $Log: inst_a_e-c.vhd,v $
-- Revision 1.1 2006/04/11 13:36:52 wig
-- Updated testcases: left constant/* and verilog/uamn open.
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.44 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_a_struct_conf / inst_a_e
--
configuration inst_a_struct_conf of inst_a_e is
for rtl
-- Generated Configuration
end for;
end inst_a_struct_conf;
--
-- End of Generated Configuration inst_a_struct_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/lower/arith1.vhd | 3 | 778 | entity arith1 is
end entity;
architecture test of arith1 is
begin
proc1: process is
variable x, y : integer;
begin
x := 3;
y := 12;
wait for 1 ns;
assert x + y = 15;
assert x - y = -9;
assert x * y = 36;
assert x / 12 = 0;
assert x = 3;
assert y = 12;
assert x /= y;
assert x < y;
assert y > x;
assert x <= y;
assert y >= x;
assert (- x) = -3;
assert x ** y = 531441;
x := -34;
assert abs x = 34;
assert abs y = 12;
assert 5 mod x = 2;
assert 5 rem x = 2;
assert (-5) rem x = -2;
assert (-5) mod x = 2;
assert x = +x;
wait;
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/case/check/inst_t_e-rtl-conf-c.vhd | 1 | 1729 | -- -------------------------------------------------------------
--
-- Generated Configuration for inst_t_e
--
-- Generated
-- by: wig
-- on: Sat Mar 3 17:18:10 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl ../case.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_t_e-rtl-conf-c.vhd,v 1.1 2007/03/05 08:59:00 wig Exp $
-- $Date: 2007/03/05 08:59:00 $
-- $Log: inst_t_e-rtl-conf-c.vhd,v $
-- Revision 1.1 2007/03/05 08:59:00 wig
-- Upgraded testcases
-- case/force still not fully operational (internal names keep case).
--
-- Revision 1.1 2007/03/03 17:24:06 wig
-- Updated testcase for case matches. Added filename serialization.
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_t_e_rtl_conf / inst_t_e
--
configuration inst_t_e_rtl_conf of inst_t_e is
for rtl
-- Generated Configuration
for inst_A : inst_A_e
use configuration work.inst_A_e_rtl_conf;
end for;
for inst_a : inst_a_e
use configuration work.inst_a_e_rtl_conf;
end for;
for inst_b : inst_b_e
use configuration work.inst_b_e_rtl_conf;
end for;
end for;
end inst_t_e_rtl_conf;
--
-- End of Generated Configuration inst_t_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/generic/veriovhd/inst_1_e-rtl-a.vhd | 1 | 1449 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_1_e
--
-- Generated
-- by: wig
-- on: Mon Jun 26 08:31:57 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../../generic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_1_e-rtl-a.vhd,v 1.5 2006/06/26 08:39:42 wig Exp $
-- $Date: 2006/06/26 08:39:42 $
-- $Log: inst_1_e-rtl-a.vhd,v $
-- Revision 1.5 2006/06/26 08:39:42 wig
-- Update more testcases (up to generic)
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_1_e
--
architecture rtl of inst_1_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/macro/inst_b_e-e.vhd | 1 | 2195 | -- -------------------------------------------------------------
--
-- Entity Declaration for inst_b_e
--
-- Generated
-- by: wig
-- on: Wed Nov 30 09:22:45 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_b_e-e.vhd,v 1.3 2005/11/30 14:04:02 wig Exp $
-- $Date: 2005/11/30 14:04:02 $
-- $Log: inst_b_e-e.vhd,v $
-- Revision 1.3 2005/11/30 14:04:02 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_b_e
--
entity inst_b_e is
-- Generics:
-- No Generated Generics for Entity inst_b_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_b_e
gensig_1 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_10 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_2 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_3 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_4 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_5 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_6 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_7 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_8 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_9 : out std_ulogic_vector(7 downto 0) -- Generated signals, connecting b to a
-- End of Generated Port for Entity inst_b_e
);
end inst_b_e;
--
-- End of Generated Entity inst_b_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/configuration/ent_t-e.vhd | 1 | 1599 | -- -------------------------------------------------------------
--
-- Entity Declaration for ent_t
--
-- Generated
-- by: wig
-- on: Wed Dec 14 12:17:36 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../configuration.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_t-e.vhd,v 1.4 2005/12/14 12:38:06 wig Exp $
-- $Date: 2005/12/14 12:38:06 $
-- $Log: ent_t-e.vhd,v $
-- Revision 1.4 2005/12/14 12:38:06 wig
-- Updated some testcases (verilog, padio)
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.72 2005/11/30 14:01:21 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.43 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
-- adding to vhdl_use_enty
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
typedef use_enty_private std_ulogic_vector;
--
--
-- Start of Generated Entity ent_t
--
entity ent_t is
-- Generics:
-- No Generated Generics for Entity ent_t
-- Generated Port Declaration:
port(
-- Generated Port for Entity ent_t
sig_i_a : in std_ulogic;
sig_i_a2 : in std_ulogic;
sig_i_ae : in std_ulogic_vector(6 downto 0);
sig_o_a : out std_ulogic;
sig_o_a2 : out std_ulogic;
sig_o_ae : out std_ulogic_vector(7 downto 0)
-- End of Generated Port for Entity ent_t
);
end ent_t;
--
-- End of Generated Entity ent_t
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/nreset2/ga-rtl-conf-c.vhd | 1 | 1211 | -- -------------------------------------------------------------
--
-- Generated Configuration for ga
--
-- Generated
-- by: wig
-- on: Thu Nov 6 15:56:34 2003
-- cmd: H:\work\mix\mix_0.pl -nodelta ..\nreset2.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ga-rtl-conf-c.vhd,v 1.1 2004/04/06 10:46:41 wig Exp $
-- $Date: 2004/04/06 10:46:41 $
-- $Log: ga-rtl-conf-c.vhd,v $
-- Revision 1.1 2004/04/06 10:46:41 wig
-- Adding result/nreset2
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.31 2003/10/23 12:13:17 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.17 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ga_rtl_conf / ga
--
configuration ga_rtl_conf of ga is
for rtl
-- Generated Configuration
end for;
end ga_rtl_conf;
--
-- End of Generated Configuration ga_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
| gpl-3.0 |
mitchsm/nvc | test/sem/issue89.vhd | 5 | 503 | -- -*- vhdl-basic-offset: 2 -*-
entity double_alias is
end entity;
architecture a of double_alias is
procedure proc(arg : integer) is
begin
end procedure;
procedure proc(arg : string) is
begin
end procedure;
alias my_proc is proc[integer];
alias my_proc is proc[string];
begin
process is
variable i : integer;
variable s : string(1 to 3);
begin
my_proc(i); -- OK
my_proc(s); -- OK
end process;
end architecture;
| gpl-3.0 |
blutsvente/MIX | test/results/nreset2/vo-rtl-a.vhd | 1 | 1427 | -- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of vo
--
-- Generated
-- by: wig
-- on: Mon Jun 26 16:38:04 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../nreset2.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: vo-rtl-a.vhd,v 1.2 2006/07/04 09:54:11 wig Exp $
-- $Date: 2006/07/04 09:54:11 $
-- $Log: vo-rtl-a.vhd,v $
-- Revision 1.2 2006/07/04 09:54:11 wig
-- Update more testcases, add configuration/cfgfile
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of vo
--
architecture rtl of vo is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
| gpl-3.0 |
blutsvente/MIX | test/results/bitsplice/connport/ent_ab-e.vhd | 1 | 1251 | -- -------------------------------------------------------------
--
-- Entity Declaration for ent_ab
--
-- Generated
-- by: wig
-- on: Mon Apr 10 13:27:22 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ab-e.vhd,v 1.1 2006/04/10 15:42:07 wig Exp $
-- $Date: 2006/04/10 15:42:07 $
-- $Log: ent_ab-e.vhd,v $
-- Revision 1.1 2006/04/10 15:42:07 wig
-- Updated testcase (__TOP__)
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.44 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_ab
--
entity ent_ab is
-- Generics:
-- No Generated Generics for Entity ent_ab
-- Generated Port Declaration:
-- No Generated Port for Entity ent_ab
end ent_ab;
--
-- End of Generated Entity ent_ab
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
| gpl-3.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.