repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
chiggs/oc_mkjpeg
design/JFIFGen/JFIFGen.vhd
2
10100
------------------------------------------------------------------------------- -- File Name : JFIFGen.vhd -- -- Project : JPEG_ENC -- -- Module : JFIFGen -- -- Content : JFIF Header Generator -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090309: (MK): Initial Creation. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity JFIFGen is port ( CLK : in std_logic; RST : in std_logic; -- CTRL start : in std_logic; ready : out std_logic; eoi : in std_logic; -- ByteStuffer num_enc_bytes : in std_logic_vector(23 downto 0); -- HOST IF qwren : in std_logic; qwaddr : in std_logic_vector(6 downto 0); qwdata : in std_logic_vector(7 downto 0); image_size_reg : in std_logic_vector(31 downto 0); image_size_reg_wr : in std_logic; -- OUT RAM ram_byte : out std_logic_vector(7 downto 0); ram_wren : out std_logic; ram_wraddr : out std_logic_vector(23 downto 0) ); end entity JFIFGen; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of JFIFGen is constant C_SIZE_Y_H : integer := 25; constant C_SIZE_Y_L : integer := 26; constant C_SIZE_X_H : integer := 27; constant C_SIZE_X_L : integer := 28; constant C_EOI : std_logic_vector(15 downto 0) := X"FFD9"; constant C_QLUM_BASE : integer := 44; constant C_QCHR_BASE : integer := 44+69; signal hr_data : std_logic_vector(7 downto 0); signal hr_waddr : std_logic_vector(9 downto 0); signal hr_raddr : std_logic_vector(9 downto 0); signal hr_we : std_logic; signal hr_q : std_logic_vector(7 downto 0); signal size_wr_cnt : unsigned(2 downto 0); signal size_wr : std_logic; signal rd_cnt : unsigned(9 downto 0); signal rd_en : std_logic; signal rd_en_d1 : std_logic; signal rd_cnt_d1 : unsigned(rd_cnt'range); signal rd_cnt_d2 : unsigned(rd_cnt'range); signal eoi_cnt : unsigned(1 downto 0); signal eoi_wr : std_logic; signal eoi_wr_d1 : std_logic; component HeaderRam is port ( d : in STD_LOGIC_VECTOR(7 downto 0); waddr : in STD_LOGIC_VECTOR(9 downto 0); raddr : in STD_LOGIC_VECTOR(9 downto 0); we : in STD_LOGIC; clk : in STD_LOGIC; q : out STD_LOGIC_VECTOR(7 downto 0) ); end component; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin ------------------------------------------------------------------- -- Header RAM ------------------------------------------------------------------- U_Header_RAM : HeaderRam port map ( d => hr_data, waddr => hr_waddr, raddr => hr_raddr, we => hr_we, clk => CLK, q => hr_q ); hr_raddr <= std_logic_vector(rd_cnt); ------------------------------------------------------------------- -- Host programming ------------------------------------------------------------------- p_host_wr : process(CLK, RST) begin if RST = '1' then size_wr_cnt <= (others => '0'); size_wr <= '0'; hr_we <= '0'; hr_data <= (others => '0'); hr_waddr <= (others => '0'); elsif CLK'event and CLK = '1' then hr_we <= '0'; if image_size_reg_wr = '1' then size_wr_cnt <= (others => '0'); size_wr <= '1'; end if; -- write image size if size_wr = '1' then if size_wr_cnt = 4 then size_wr_cnt <= (others => '0'); size_wr <= '0'; else size_wr_cnt <= size_wr_cnt + 1; hr_we <= '1'; case size_wr_cnt is -- height H byte when "000" => hr_data <= image_size_reg(15 downto 8); hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_Y_H,hr_waddr'length)); -- height L byte when "001" => hr_data <= image_size_reg(7 downto 0); hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_Y_L,hr_waddr'length)); -- width H byte when "010" => hr_data <= image_size_reg(31 downto 24); hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_X_H,hr_waddr'length)); -- width L byte when "011" => hr_data <= image_size_reg(23 downto 16); hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_X_L,hr_waddr'length)); when others => null; end case; end if; -- write Quantization table elsif qwren = '1' then -- luminance table select if qwaddr(6) = '0' then hr_waddr <= std_logic_vector ( resize(unsigned(qwaddr(5 downto 0)),hr_waddr'length) + to_unsigned(C_QLUM_BASE,hr_waddr'length)); else -- chrominance table select hr_waddr <= std_logic_vector ( resize(unsigned(qwaddr(5 downto 0)),hr_waddr'length) + to_unsigned(C_QCHR_BASE,hr_waddr'length)); end if; hr_we <= '1'; hr_data <= qwdata; end if; end if; end process; ------------------------------------------------------------------- -- CTRL ------------------------------------------------------------------- p_ctrl : process(CLK, RST) begin if RST = '1' then ready <= '0'; rd_en <= '0'; rd_cnt <= (others => '0'); rd_cnt_d1 <= (others => '0'); rd_cnt_d2 <= (others => '0'); rd_cnt_d1 <= (others => '0'); rd_en_d1 <= '0'; eoi_wr_d1 <= '0'; eoi_wr <= '0'; eoi_cnt <= (others => '0'); ram_wren <= '0'; ram_byte <= (others => '0'); ram_wraddr <= (others => '0'); elsif CLK'event and CLK = '1' then ready <= '0'; rd_cnt_d1 <= rd_cnt; rd_cnt_d2 <= rd_cnt_d1; rd_en_d1 <= rd_en; eoi_wr_d1 <= eoi_wr; -- defaults: encoded data write ram_wren <= rd_en_d1; ram_wraddr <= std_logic_vector(resize(rd_cnt_d1,ram_wraddr'length)); ram_byte <= hr_q; -- start JFIF if start = '1' and eoi = '0' then rd_cnt <= (others => '0'); rd_en <= '1'; elsif start = '1' and eoi = '1' then eoi_wr <= '1'; eoi_cnt <= (others => '0'); end if; -- read JFIF Header if rd_en = '1' then if rd_cnt = C_HDR_SIZE-1 then rd_en <= '0'; ready <= '1'; else rd_cnt <= rd_cnt + 1; end if; end if; -- EOI MARKER write if eoi_wr = '1' then if eoi_cnt = 2 then eoi_cnt <= (others => '0'); eoi_wr <= '0'; ready <= '1'; else eoi_cnt <= eoi_cnt + 1; ram_wren <= '1'; if eoi_cnt = 0 then ram_byte <= C_EOI(15 downto 8); ram_wraddr <= num_enc_bytes; elsif eoi_cnt = 1 then ram_byte <= C_EOI(7 downto 0); ram_wraddr <= std_logic_vector(unsigned(num_enc_bytes) + to_unsigned(1,ram_wraddr'length)); end if; end if; end if; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
lgpl-3.0
chiggs/oc_mkjpeg
tb/vhdl/GPL_V2_Image_pkg.vhd
2
10277
----------------------------------------------------------------- -- Copyright (c) 1997 Ben Cohen. All rights reserved. -- email: [email protected] -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published -- by the Free Software Foundation; either version 2 of the License, -- or (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU General Public License for more details. -- UPDATE: 8/22/02 -- Add to HexImage the supply of hex 'Z' -- in the case statement when a binary set of 4 bits = "ZZZZ" --------------------------------------------------------------- -- Note: 2006.08.11: (FB): modified package name to fit the structure of the -- project and to highlight the license. library IEEE; use IEEE.Std_Logic_1164.all; use IEEE.Std_Logic_TextIO.all; use ieee.numeric_std.all; -- use IEEE.Std_Logic_Arith.all; library Std; use STD.TextIO.all; --package Image_Pkg is package GPL_V2_Image_Pkg is function Image(In_Image : Time) return String; function Image(In_Image : Bit) return String; function Image(In_Image : Bit_Vector) return String; function Image(In_Image : Integer) return String; function Image(In_Image : Real) return String; function Image(In_Image : Std_uLogic) return String; function Image(In_Image : Std_uLogic_Vector) return String; function Image(In_Image : Std_Logic_Vector) return String; function Image(In_Image : Signed) return String; function Image(In_Image : UnSigned) return String; function HexImage(InStrg : String) return String; function HexImage(In_Image : Bit_Vector) return String; function HexImage(In_Image : Std_uLogic_Vector) return String; function HexImage(In_Image : Std_Logic_Vector) return String; function HexImage(In_Image : Signed) return String; function HexImage(In_Image : UnSigned) return String; function DecImage(In_Image : Bit_Vector) return String; function DecImage(In_Image : Std_uLogic_Vector) return String; function DecImage(In_Image : Std_Logic_Vector) return String; function DecImage(In_Image : Signed) return String; function DecImage(In_Image : UnSigned) return String; end GPL_V2_Image_Pkg; --end Image_Pkg; --package body Image_Pkg is package body GPL_V2_Image_Pkg is function Image(In_Image : Time) return String is variable L : Line; -- access type variable W : String(1 to 14) := (others => ' '); -- Long enough to hold a time string begin -- the WRITE procedure creates an object with "NEW". -- L is passed as an output of the procedure. Std.TextIO.WRITE(L, in_image); -- Copy L.all onto W W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Bit) return String is variable L : Line; -- access type variable W : String(1 to 3) := (others => ' '); begin Std.TextIO.WRITE(L, in_image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Bit_Vector) return String is variable L : Line; -- access type variable W : String(1 to In_Image'length) := (others => ' '); begin Std.TextIO.WRITE(L, in_image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Integer) return String is variable L : Line; -- access type variable W : String(1 to 32) := (others => ' '); -- Long enough to hold a time string begin Std.TextIO.WRITE(L, in_image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Real) return String is variable L : Line; -- access type variable W : String(1 to 32) := (others => ' '); -- Long enough to hold a time string begin Std.TextIO.WRITE(L, in_image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Std_uLogic) return String is variable L : Line; -- access type variable W : String(1 to 3) := (others => ' '); begin IEEE.Std_Logic_Textio.WRITE(L, in_image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Std_uLogic_Vector) return String is variable L : Line; -- access type variable W : String(1 to In_Image'length) := (others => ' '); begin IEEE.Std_Logic_Textio.WRITE(L, in_image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Std_Logic_Vector) return String is variable L : Line; -- access type variable W : String(1 to In_Image'length) := (others => ' '); begin IEEE.Std_Logic_TextIO.WRITE(L, In_Image); W(L.all'range) := L.all; Deallocate(L); return W; end Image; function Image(In_Image : Signed) return String is begin return Image(Std_Logic_Vector(In_Image)); end Image; function Image(In_Image : UnSigned) return String is begin return Image(Std_Logic_Vector(In_Image)); end Image; function HexImage(InStrg : String) return String is subtype Int03_Typ is Integer range 0 to 3; variable Result : string(1 to ((InStrg'length - 1)/4)+1) := (others => '0'); variable StrTo4 : string(1 to Result'length * 4) := (others => '0'); variable MTspace : Int03_Typ; -- Empty space to fill in variable Str4 : String(1 to 4); variable Group_v : Natural := 0; begin MTspace := Result'length * 4 - InStrg'length; StrTo4(MTspace + 1 to StrTo4'length) := InStrg; -- padded with '0' Cnvrt_Lbl : for I in Result'range loop Group_v := Group_v + 4; -- identifies end of bit # in a group of 4 Str4 := StrTo4(Group_v - 3 to Group_v); -- get next 4 characters case Str4 is when "0000" => Result(I) := '0'; when "0001" => Result(I) := '1'; when "0010" => Result(I) := '2'; when "0011" => Result(I) := '3'; when "0100" => Result(I) := '4'; when "0101" => Result(I) := '5'; when "0110" => Result(I) := '6'; when "0111" => Result(I) := '7'; when "1000" => Result(I) := '8'; when "1001" => Result(I) := '9'; when "1010" => Result(I) := 'A'; when "1011" => Result(I) := 'B'; when "1100" => Result(I) := 'C'; when "1101" => Result(I) := 'D'; when "1110" => Result(I) := 'E'; when "1111" => Result(I) := 'F'; when "ZZZZ" => Result(I) := 'Z'; -- added 8/23/02 when others => Result(I) := 'X'; end case; -- Str4 end loop Cnvrt_Lbl; return Result; end HexImage; function HexImage(In_Image : Bit_Vector) return String is begin return HexImage(Image(In_Image)); end HexImage; function HexImage(In_Image : Std_uLogic_Vector) return String is begin return HexImage(Image(In_Image)); end HexImage; function HexImage(In_Image : Std_Logic_Vector) return String is begin return HexImage(Image(In_Image)); end HexImage; function HexImage(In_Image : Signed) return String is begin return HexImage(Image(In_Image)); end HexImage; function HexImage(In_Image : UnSigned) return String is begin return HexImage(Image(In_Image)); end HexImage; function DecImage(In_Image : Bit_Vector) return String is variable In_Image_v : Bit_Vector(In_Image'length downto 1) := In_Image; begin if In_Image'length > 31 then assert False report "Number too large for Integer, clipping to 31 bits" severity Warning; return Image(To_integer (Unsigned(To_StdLogicVector (In_Image_v(31 downto 1))))); else return Image(To_integer(Unsigned(To_StdLogicVector(In_Image)))); end if; end DecImage; function DecImage(In_Image : Std_uLogic_Vector) return String is variable In_Image_v : Std_uLogic_Vector(In_Image'length downto 1) := In_Image; begin if In_Image'length > 31 then assert False report "Number too large for Integer, clipping to 31 bits" severity Warning; return Image(To_integer(Unsigned(In_Image_v(31 downto 1)))); else return Image(To_integer(Unsigned(In_Image))); end if; end DecImage; function DecImage(In_Image : Std_Logic_Vector) return String is variable In_Image_v : Std_Logic_Vector(In_Image'length downto 1) := In_Image; begin if In_Image'length > 31 then assert False report "Number too large for Integer, clipping to 31 bits" severity Warning; return Image(To_integer(Unsigned(In_Image_v(31 downto 1)))); else return Image(To_integer(Unsigned(In_Image))); end if; end DecImage; function DecImage(In_Image : Signed) return String is variable In_Image_v : Signed(In_Image'length downto 1) := In_Image; begin if In_Image'length > 31 then assert False report "Number too large for Integer, clipping to 31 bits" severity Warning; return Image(To_integer(In_Image_v(31 downto 1))); else return Image(To_integer(In_Image)); end if; end DecImage; function DecImage(In_Image : UnSigned) return String is variable In_Image_v : UnSigned(In_Image'length downto 1) := In_Image; begin if In_Image'length > 31 then assert False report "Number too large for Integer, clipping to 31 bits" severity Warning; return Image(To_integer(In_Image_v(31 downto 1))); else return Image(To_integer(In_Image)); end if; end DecImage; end GPL_V2_Image_Pkg; --end Image_Pkg;
lgpl-3.0
Steve-Teal/1802-pico-basic
tiny_basic.vhd
1
18578
--------------------------------------------------------------------------------------------------- -- TinyBasic ROM image as listed in MPM-203 "Evaluation Kit Manual for the RCA CDP1802" -- Author: Tom Pittman -- TinyBasic interpreter Copyright 1976 Itty Bitty Computers, used by permission -- http://www.ittybittycomputers.com/IttyBitty/TinyBasic/ -- http://www.retrotechnology.com/memship/mship_tbasic.html --------------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tiny_basic is port( clock: in std_logic; cs_n: in std_logic; rd_n: in std_logic; address: in std_logic_vector(10 downto 0); data_out: out std_logic_vector(7 downto 0)); end tiny_basic; architecture rtl of tiny_basic is type rom_type is array(0 to 2047) of std_logic_vector(7 downto 0); signal rom : rom_type := ( (X"01"),(X"30"),(X"B0"),(X"C0"),(X"00"),(X"ED"),(X"C0"),(X"06"), (X"6F"),(X"C0"),(X"06"),(X"76"),(X"C0"),(X"06"),(X"66"),(X"5F"), (X"18"),(X"82"),(X"80"),(X"20"),(X"30"),(X"22"),(X"30"),(X"20"), (X"58"),(X"D5"),(X"06"),(X"81"),(X"08"),(X"C8"),(X"00"),(X"08"), (X"48"),(X"38"),(X"97"),(X"BA"),(X"48"),(X"D5"),(X"C0"),(X"06"), (X"51"),(X"D3"),(X"BF"),(X"E2"),(X"86"),(X"73"),(X"96"),(X"73"), (X"83"),(X"A6"),(X"93"),(X"B6"),(X"46"),(X"B3"),(X"46"),(X"A3"), (X"9F"),(X"30"),(X"29"),(X"D3"),(X"BF"),(X"E2"),(X"96"),(X"B3"), (X"86"),(X"A3"),(X"12"),(X"42"),(X"B6"),(X"02"),(X"A6"),(X"9F"), (X"30"),(X"3B"),(X"D3"),(X"43"),(X"AD"),(X"F8"),(X"08"),(X"BD"), (X"4D"),(X"ED"),(X"30"),(X"4A"),(X"01"),(X"98"),(X"01"),(X"A0"), (X"02"),(X"1F"),(X"01"),(X"DD"),(X"01"),(X"F0"),(X"01"),(X"D4"), (X"04"),(X"81"),(X"02"),(X"49"),(X"00"),(X"ED"),(X"04"),(X"4E"), (X"01"),(X"04"),(X"05"),(X"A2"),(X"01"),(X"D3"),(X"01"),(X"D3"), (X"04"),(X"AA"),(X"01"),(X"D3"),(X"01"),(X"D3"),(X"02"),(X"C5"), (X"02"),(X"D5"),(X"03"),(X"03"),(X"02"),(X"79"),(X"03"),(X"18"), (X"05"),(X"3C"),(X"01"),(X"D3"),(X"04"),(X"29"),(X"03"),(X"6C"), (X"03"),(X"CB"),(X"03"),(X"A7"),(X"03"),(X"98"),(X"03"),(X"9B"), (X"04"),(X"0E"),(X"04"),(X"60"),(X"04"),(X"6D"),(X"05"),(X"81"), (X"01"),(X"B6"),(X"02"),(X"67"),(X"03"),(X"48"),(X"03"),(X"4B"), (X"01"),(X"D3"),(X"01"),(X"D3"),(X"01"),(X"C9"),(X"01"),(X"C5"), (X"02"),(X"4E"),(X"02"),(X"44"),(X"02"),(X"41"),(X"01"),(X"D3"), (X"F8"),(X"B3"),(X"A3"),(X"F8"),(X"00"),(X"B3"),(X"D3"),(X"BA"), (X"F8"),(X"1C"),(X"AA"),(X"4A"),(X"B2"),(X"4A"),(X"A2"),(X"4A"), (X"BD"),(X"F8"),(X"00"),(X"AD"),(X"0D"),(X"BF"),(X"E2"),(X"12"), (X"F0"),(X"AF"),(X"FB"),(X"FF"),(X"52"),(X"F3"),(X"ED"),(X"C6"), (X"9F"),(X"F3"),(X"FC"),(X"FF"),(X"8F"),(X"52"),(X"3B"),(X"C6"), (X"22"),(X"0A"),(X"BD"),(X"F8"),(X"23"),(X"AD"),(X"82"),(X"73"), (X"92"),(X"73"),(X"2A"),(X"2A"),(X"0A"),(X"73"),(X"8D"),(X"FB"), (X"12"),(X"3A"),(X"E3"),(X"F6"),(X"C8"),(X"FF"),(X"00"),(X"F8"), (X"F2"),(X"A3"),(X"F8"),(X"00"),(X"B3"),(X"D3"),(X"B4"),(X"B5"), (X"B7"),(X"F8"),(X"2A"),(X"A4"),(X"F8"),(X"3C"),(X"A5"),(X"F8"), (X"4B"),(X"A7"),(X"33"),(X"1A"),(X"D7"),(X"20"),(X"BB"),(X"4D"), (X"AB"),(X"97"),(X"5B"),(X"1B"),(X"5B"),(X"D7"),(X"16"),(X"8B"), (X"F4"),(X"BF"),(X"D7"),(X"24"),(X"9F"),(X"73"),(X"9B"),(X"7C"), (X"00"),(X"73"),(X"D7"),(X"22"),(X"B2"),(X"4D"),(X"A2"),(X"D7"), (X"26"),(X"82"),(X"73"),(X"92"),(X"73"),(X"D4"),(X"02"),(X"CC"), (X"D7"),(X"1E"),(X"B9"),(X"4D"),(X"A9"),(X"E2"),(X"49"),(X"FF"), (X"30"),(X"33"),(X"4B"),(X"FD"),(X"D7"),(X"33"),(X"85"),(X"FE"), (X"FC"),(X"B0"),(X"A6"),(X"F8"),(X"2D"),(X"22"),(X"22"),(X"73"), (X"93"),(X"73"),(X"97"),(X"B6"),(X"46"),(X"52"),(X"46"),(X"A6"), (X"F0"),(X"B6"),(X"D5"),(X"FF"),(X"10"),(X"3B"),(X"6A"),(X"A6"), (X"FA"),(X"1F"),(X"32"),(X"5C"),(X"52"),(X"89"),(X"F4"),(X"73"), (X"99"),(X"7C"),(X"00"),(X"38"),(X"73"),(X"73"),(X"86"),(X"F6"), (X"F6"),(X"F6"),(X"F6"),(X"FA"),(X"FE"),(X"FC"),(X"54"),(X"A6"), (X"30"),(X"42"),(X"FC"),(X"08"),(X"FA"),(X"07"),(X"B6"),(X"49"), (X"A6"),(X"33"),(X"7A"),(X"89"),(X"73"),(X"99"),(X"73"),(X"D4"), (X"02"),(X"37"),(X"D7"),(X"1E"),(X"86"),(X"F4"),(X"A9"),(X"96"), (X"2D"),(X"74"),(X"B9"),(X"30"),(X"2D"),(X"FD"),(X"07"),(X"52"), (X"D7"),(X"1A"),(X"AD"),(X"E2"),(X"F4"),(X"A6"),(X"9D"),(X"B6"), (X"0D"),(X"52"),(X"06"),(X"5D"),(X"02"),(X"56"),(X"30"),(X"2D"), (X"86"),(X"FF"),(X"20"),(X"A6"),(X"96"),(X"7F"),(X"00"),(X"38"), (X"96"),(X"C2"),(X"02"),(X"7F"),(X"B9"),(X"86"),(X"A9"),(X"30"), (X"2D"),(X"1B"),(X"0B"),(X"FF"),(X"20"),(X"32"),(X"A9"),(X"FF"), (X"10"),(X"C7"),(X"FD"),(X"09"),(X"0B"),(X"D5"),(X"D4"),(X"01"), (X"C5"),(X"4D"),(X"AD"),(X"9A"),(X"5D"),(X"1D"),(X"8A"),(X"5D"), (X"30"),(X"C9"),(X"D4"),(X"01"),(X"C5"),(X"D4"),(X"01"),(X"C9"), (X"BA"),(X"D7"),(X"1A"),(X"2D"),(X"FC"),(X"01"),(X"5D"),(X"AD"), (X"2D"),(X"4D"),(X"AA"),(X"D5"),(X"D4"),(X"01"),(X"AA"),(X"FB"), (X"0D"),(X"32"),(X"2D"),(X"30"),(X"A0"),(X"D4"),(X"01"),(X"AA"), (X"FF"),(X"41"),(X"3B"),(X"A0"),(X"FF"),(X"1A"),(X"33"),(X"A0"), (X"1B"),(X"9F"),(X"FE"),(X"D4"),(X"02"),(X"59"),(X"30"),(X"2D"), (X"D4"),(X"01"),(X"AA"),(X"3B"),(X"A0"),(X"97"),(X"BA"),(X"AA"), (X"D4"),(X"02"),(X"54"),(X"4B"),(X"FA"),(X"0F"),(X"AA"),(X"97"), (X"BA"),(X"F8"),(X"0A"),(X"AF"),(X"ED"),(X"1D"),(X"8A"),(X"F4"), (X"AA"),(X"9A"),(X"2D"),(X"74"),(X"BA"),(X"2F"),(X"8F"),(X"3A"), (X"05"),(X"9A"),(X"5D"),(X"1D"),(X"8A"),(X"73"),(X"D4"),(X"01"), (X"AA"),(X"C3"),(X"01"),(X"FB"),(X"C0"),(X"01"),(X"2D"),(X"9B"), (X"BA"),(X"8B"),(X"AA"),(X"D4"),(X"01"),(X"AA"),(X"1B"),(X"52"), (X"49"),(X"F3"),(X"32"),(X"23"),(X"FB"),(X"80"),(X"32"),(X"1C"), (X"9A"),(X"BB"),(X"8A"),(X"AB"),(X"C0"),(X"01"),(X"A0"),(X"D7"), (X"24"),(X"82"),(X"F5"),(X"2D"),(X"92"),(X"75"),(X"33"),(X"7F"), (X"D5"),(X"49"),(X"30"),(X"59"),(X"49"),(X"BA"),(X"49"),(X"30"), (X"55"),(X"D4"),(X"05"),(X"25"),(X"30"),(X"55"),(X"D4"),(X"01"), (X"C5"),(X"D4"),(X"02"),(X"54"),(X"8A"),(X"D4"),(X"02"),(X"59"), (X"9A"),(X"52"),(X"D7"),(X"19"),(X"F7"),(X"33"),(X"7F"),(X"F8"), (X"01"),(X"F5"),(X"5D"),(X"AD"),(X"02"),(X"5D"),(X"D5"),(X"D4"), (X"01"),(X"C9"),(X"AD"),(X"4D"),(X"BA"),(X"4D"),(X"30"),(X"55"), (X"FB"),(X"2F"),(X"32"),(X"66"),(X"FB"),(X"22"),(X"D4"),(X"02"), (X"F4"),(X"4B"),(X"FB"),(X"0D"),(X"3A"),(X"70"),(X"29"),(X"D7"), (X"18"),(X"B8"),(X"D4"),(X"02"),(X"CC"),(X"F8"),(X"21"),(X"D4"), (X"02"),(X"F4"),(X"D7"),(X"1E"),(X"89"),(X"F7"),(X"AA"),(X"99"), (X"2D"),(X"77"),(X"BA"),(X"D4"),(X"03"),(X"15"),(X"98"),(X"32"), (X"A9"),(X"F8"),(X"BD"),(X"A9"),(X"93"),(X"B9"),(X"D4"),(X"02"), (X"C5"),(X"D7"),(X"28"),(X"BA"),(X"4D"),(X"AA"),(X"D4"),(X"03"), (X"15"),(X"F8"),(X"07"),(X"D4"),(X"00"),(X"09"),(X"D4"),(X"02"), (X"D5"),(X"D7"),(X"1A"),(X"97"),(X"5D"),(X"D7"),(X"26"),(X"B2"), (X"4D"),(X"A2"),(X"C0"),(X"01"),(X"28"),(X"20"),(X"41"),(X"54"), (X"20"),(X"A3"),(X"D4"),(X"02"),(X"F2"),(X"49"),(X"FC"),(X"80"), (X"3B"),(X"C2"),(X"30"),(X"F2"),(X"D7"),(X"19"),(X"F8"),(X"80"), (X"73"),(X"97"),(X"73"),(X"73"),(X"C8"),(X"D7"),(X"1B"),(X"FE"), (X"33"),(X"66"),(X"D7"),(X"15"),(X"AA"),(X"F8"),(X"0D"),(X"D4"), (X"00"),(X"09"),(X"D7"),(X"1A"),(X"8A"),(X"FE"),(X"32"),(X"EF"), (X"2A"),(X"97"),(X"C7"),(X"F8"),(X"FF"),(X"30"),(X"DF"),(X"73"), (X"F8"),(X"8A"),(X"FF"),(X"80"),(X"BF"),(X"D7"),(X"1B"),(X"2D"), (X"FC"),(X"81"),(X"FC"),(X"80"),(X"3B"),(X"66"),(X"5D"),(X"9F"), (X"C0"),(X"00"),(X"09"),(X"D7"),(X"1B"),(X"FA"),(X"07"),(X"FD"), (X"08"),(X"AA"),(X"8A"),(X"32"),(X"97"),(X"F8"),(X"20"),(X"D4"), (X"02"),(X"F4"),(X"2A"),(X"30"),(X"0A"),(X"D4"),(X"02"),(X"54"), (X"D7"),(X"1A"),(X"AD"),(X"D4"),(X"04"),(X"13"),(X"3B"),(X"25"), (X"F8"),(X"2D"),(X"D4"),(X"02"),(X"F4"),(X"97"),(X"73"),(X"BA"), (X"F8"),(X"0A"),(X"D4"),(X"02"),(X"55"),(X"1D"),(X"D4"),(X"03"), (X"E3"),(X"8A"),(X"F6"),(X"F9"),(X"30"),(X"73"),(X"1D"),(X"4D"), (X"ED"),(X"F1"),(X"2D"),(X"2D"),(X"3A"),(X"2E"),(X"12"),(X"02"), (X"C2"),(X"01"),(X"C2"),(X"D4"),(X"02"),(X"F4"),(X"30"),(X"3E"), (X"D7"),(X"2E"),(X"38"),(X"9B"),(X"FB"),(X"08"),(X"3A"),(X"5E"), (X"8B"),(X"52"),(X"F0"),(X"FF"),(X"80"),(X"33"),(X"5E"),(X"D7"), (X"2E"),(X"8B"),(X"73"),(X"9B"),(X"5D"),(X"D5"),(X"D7"),(X"2E"), (X"B8"),(X"0D"),(X"A8"),(X"8B"),(X"73"),(X"9B"),(X"5D"),(X"98"), (X"BB"),(X"88"),(X"AB"),(X"D5"),(X"D4"),(X"01"),(X"C5"),(X"9A"), (X"FB"),(X"80"),(X"73"),(X"8A"),(X"73"),(X"D4"),(X"01"),(X"C9"), (X"AF"),(X"D4"),(X"01"),(X"C5"),(X"12"),(X"8A"),(X"F7"),(X"AA"), (X"12"),(X"9A"),(X"FB"),(X"80"),(X"77"),(X"52"),(X"3B"),(X"92"), (X"8A"),(X"F1"),(X"32"),(X"8F"),(X"8F"),(X"F6"),(X"38"),(X"8F"), (X"F6"),(X"38"),(X"8F"),(X"F6"),(X"C7"),(X"C4"),(X"19"),(X"D5"), (X"D4"),(X"04"),(X"0E"),(X"D4"),(X"01"),(X"C5"),(X"ED"),(X"1D"), (X"8A"),(X"F4"),(X"73"),(X"9A"),(X"74"),(X"5D"),(X"D5"),(X"D4"), (X"01"),(X"C5"),(X"F8"),(X"10"),(X"AF"),(X"4D"),(X"B8"),(X"0D"), (X"A8"),(X"0D"),(X"FE"),(X"5D"),(X"2D"),(X"0D"),(X"7E"),(X"5D"), (X"D4"),(X"04"),(X"22"),(X"3B"),(X"C5"),(X"ED"),(X"1D"),(X"88"), (X"F4"),(X"73"),(X"98"),(X"74"),(X"5D"),(X"2F"),(X"8F"),(X"1D"), (X"3A"),(X"B1"),(X"D5"),(X"D4"),(X"01"),(X"C5"),(X"9A"),(X"52"), (X"8A"),(X"F1"),(X"C2"),(X"02"),(X"7F"),(X"0D"),(X"F3"),(X"73"), (X"D4"),(X"04"),(X"13"),(X"2D"),(X"2D"),(X"D4"),(X"04"),(X"13"), (X"1D"),(X"97"),(X"C8"),(X"97"),(X"73"),(X"AA"),(X"BA"),(X"F8"), (X"11"),(X"AF"),(X"ED"),(X"8A"),(X"F7"),(X"52"),(X"2D"),(X"9A"), (X"77"),(X"3B"),(X"F6"),(X"BA"),(X"02"),(X"AA"),(X"1D"),(X"1D"), (X"1D"),(X"F0"),(X"7E"),(X"73"),(X"F0"),(X"7E"),(X"73"),(X"8A"), (X"7E"),(X"D4"),(X"04"),(X"24"),(X"2F"),(X"8F"),(X"CA"),(X"03"), (X"EA"),(X"12"),(X"02"),(X"FE"),(X"3B"),(X"21"),(X"D7"),(X"1A"), (X"AD"),(X"30"),(X"18"),(X"ED"),(X"F0"),(X"FE"),(X"3B"),(X"21"), (X"1D"),(X"97"),(X"F7"),(X"73"),(X"97"),(X"77"),(X"5D"),(X"FF"), (X"00"),(X"D5"),(X"8A"),(X"FE"),(X"AA"),(X"9A"),(X"7E"),(X"BA"), (X"D5"),(X"D7"),(X"18"),(X"C2"),(X"02"),(X"B1"),(X"4B"),(X"FB"), (X"0D"),(X"3A"),(X"2E"),(X"D4"),(X"05"),(X"98"),(X"32"),(X"4B"), (X"D4"),(X"00"),(X"0C"),(X"33"),(X"46"),(X"D7"),(X"1C"),(X"B9"), (X"4D"),(X"A9"),(X"D7"),(X"17"),(X"5D"),(X"D5"),(X"D7"),(X"1E"), (X"B9"),(X"4D"),(X"A9"),(X"C0"),(X"02"),(X"7F"),(X"D7"),(X"20"), (X"BB"),(X"4D"),(X"AB"),(X"D4"),(X"05"),(X"98"),(X"32"),(X"4B"), (X"D7"),(X"1C"),(X"89"),(X"73"),(X"99"),(X"5D"),(X"30"),(X"42"), (X"D4"),(X"04"),(X"FE"),(X"32"),(X"38"),(X"D7"),(X"28"),(X"8A"), (X"73"),(X"9A"),(X"5D"),(X"30"),(X"4B"),(X"D4"),(X"04"),(X"8B"), (X"42"),(X"BA"),(X"02"),(X"AA"),(X"D7"),(X"26"),(X"82"),(X"73"), (X"92"),(X"73"),(X"D4"),(X"05"),(X"01"),(X"3A"),(X"65"),(X"30"), (X"88"),(X"D4"),(X"04"),(X"8B"),(X"42"),(X"B9"),(X"02"),(X"A9"), (X"C0"),(X"01"),(X"2D"),(X"D7"),(X"22"),(X"12"),(X"12"),(X"82"), (X"FC"),(X"02"),(X"F3"),(X"2D"),(X"3A"),(X"9C"),(X"92"),(X"7C"), (X"00"),(X"F3"),(X"32"),(X"4B"),(X"12"),(X"D5"),(X"D7"),(X"16"), (X"38"),(X"97"),(X"FE"),(X"D7"),(X"1A"),(X"97"),(X"76"),(X"5D"), (X"30"),(X"B2"),(X"F8"),(X"30"),(X"AB"),(X"D4"),(X"02"),(X"54"), (X"9D"),(X"BB"),(X"D4"),(X"00"),(X"06"),(X"FA"),(X"7F"),(X"32"), (X"B2"),(X"52"),(X"FB"),(X"7F"),(X"32"),(X"B2"),(X"FB"),(X"75"), (X"32"),(X"9E"),(X"FB"),(X"19"),(X"32"),(X"A1"),(X"D7"),(X"13"), (X"02"),(X"F3"),(X"32"),(X"D7"),(X"2D"),(X"02"),(X"F3"),(X"3A"), (X"DD"),(X"2B"),(X"8B"),(X"FF"),(X"30"),(X"33"),(X"B2"),(X"F8"), (X"30"),(X"AB"),(X"F8"),(X"0D"),(X"38"),(X"02"),(X"5B"),(X"D7"), (X"19"),(X"8B"),(X"F7"),(X"3B"),(X"EC"),(X"F8"),(X"07"),(X"D4"), (X"02"),(X"F4"),(X"0B"),(X"38"),(X"4B"),(X"FB"),(X"0D"),(X"3A"), (X"B2"),(X"D4"),(X"02"),(X"D5"),(X"D7"),(X"18"),(X"8B"),(X"5D"), (X"F8"),(X"30"),(X"AB"),(X"C0"),(X"01"),(X"C5"),(X"D4"),(X"01"), (X"C5"),(X"8A"),(X"52"),(X"9A"),(X"F1"),(X"C2"),(X"02"),(X"7F"), (X"D7"),(X"20"),(X"BB"),(X"4D"),(X"AB"),(X"D4"),(X"05"),(X"98"), (X"C6"),(X"8D"),(X"D5"),(X"ED"),(X"8A"),(X"F5"),(X"52"),(X"9A"), (X"2D"),(X"75"),(X"E2"),(X"F1"),(X"33"),(X"12"),(X"4B"),(X"FB"), (X"0D"),(X"3A"),(X"1E"),(X"30"),(X"0D"),(X"D4"),(X"05"),(X"28"), (X"D4"),(X"01"),(X"C5"),(X"4D"),(X"B8"),(X"4D"),(X"A8"),(X"4D"), (X"B6"),(X"4D"),(X"A6"),(X"8D"),(X"52"),(X"D7"),(X"19"),(X"02"), (X"5D"),(X"AD"),(X"8A"),(X"D5"),(X"D7"),(X"2C"),(X"8B"),(X"73"), (X"9B"),(X"5D"),(X"D4"),(X"04"),(X"FE"),(X"D7"),(X"2A"),(X"8B"), (X"73"),(X"9B"),(X"73"),(X"D4"),(X"04"),(X"FE"),(X"2B"),(X"2B"), (X"D7"),(X"2A"),(X"8B"),(X"F7"),(X"2D"),(X"9B"),(X"77"),(X"33"), (X"7B"),(X"4B"),(X"BA"),(X"4B"),(X"AA"),(X"3A"),(X"62"),(X"9A"), (X"32"),(X"7B"),(X"D4"),(X"03"),(X"15"),(X"F8"),(X"2D"),(X"FB"), (X"0D"),(X"D4"),(X"02"),(X"F4"),(X"D4"),(X"00"),(X"0C"),(X"33"), (X"7B"),(X"4B"),(X"FB"),(X"0D"),(X"3A"),(X"67"),(X"D4"),(X"02"), (X"D5"),(X"30"),(X"50"),(X"D7"),(X"2C"),(X"BB"),(X"4D"),(X"AB"), (X"D5"),(X"D7"),(X"26"),(X"82"),(X"73"),(X"92"),(X"5D"),(X"D7"), (X"18"),(X"2D"),(X"CE"),(X"D7"),(X"28"),(X"AA"),(X"4D"),(X"12"), (X"12"),(X"E2"),(X"73"),(X"8A"),(X"73"),(X"C0"),(X"01"),(X"2D"), (X"D7"),(X"27"),(X"4B"),(X"5D"),(X"1D"),(X"4B"),(X"73"),(X"F1"), (X"1D"),(X"D5"),(X"D4"),(X"03"),(X"5E"),(X"D4"),(X"04"),(X"FE"), (X"FC"),(X"FF"),(X"97"),(X"AF"),(X"33"),(X"BA"),(X"9B"),(X"BD"), (X"8B"),(X"AD"),(X"2F"),(X"2F"),(X"2F"),(X"4D"),(X"FB"),(X"0D"), (X"3A"),(X"B4"),(X"2B"),(X"2B"),(X"D4"),(X"03"),(X"5E"),(X"D7"), (X"28"),(X"0B"),(X"FB"),(X"0D"),(X"73"),(X"5D"),(X"32"),(X"D9"), (X"9A"),(X"5D"),(X"1D"),(X"8A"),(X"5D"),(X"9B"),(X"BA"),(X"8B"), (X"AA"),(X"1F"),(X"1F"),(X"1F"),(X"4A"),(X"FB"),(X"0D"),(X"3A"), (X"D3"),(X"D7"),(X"2E"),(X"BA"),(X"4D"),(X"AA"),(X"D7"),(X"24"), (X"8A"),(X"F7"),(X"AA"),(X"2D"),(X"9A"),(X"77"),(X"BA"),(X"1D"), (X"8F"),(X"F4"),(X"BF"),(X"8F"),(X"FA"),(X"80"),(X"CE"),(X"F8"), (X"FF"),(X"2D"),(X"74"),(X"E2"),(X"73"),(X"B8"),(X"9F"),(X"73"), (X"52"),(X"82"),(X"F5"),(X"98"),(X"52"),(X"92"),(X"75"),(X"C3"), (X"02"),(X"7E"),(X"8F"),(X"32"),(X"30"),(X"52"),(X"FE"),(X"3B"), (X"1E"),(X"D7"),(X"2E"),(X"BF"),(X"4D"),(X"AF"),(X"E2"),(X"F7"), (X"A8"),(X"9F"),(X"7C"),(X"00"),(X"B8"),(X"48"),(X"5F"),(X"1F"), (X"1A"),(X"9A"),(X"3A"),(X"15"),(X"30"),(X"30"),(X"9F"),(X"AF"), (X"98"),(X"BF"),(X"D7"),(X"24"),(X"B8"),(X"4D"),(X"A8"),(X"2A"), (X"EF"),(X"08"),(X"28"),(X"73"),(X"1A"),(X"9A"),(X"3A"),(X"29"), (X"D7"),(X"24"),(X"12"),(X"42"),(X"73"),(X"02"),(X"5D"),(X"D7"), (X"2E"),(X"BA"),(X"4D"),(X"AA"),(X"D7"),(X"28"),(X"AF"),(X"F1"), (X"32"),(X"4E"),(X"8F"),(X"5A"),(X"1A"),(X"4D"),(X"5A"),(X"1A"), (X"4B"),(X"5A"),(X"FB"),(X"0D"),(X"3A"),(X"47"),(X"C0"),(X"02"), (X"B5"),(X"73"),(X"52"),(X"97"),(X"BA"),(X"2D"),(X"43"),(X"D5"), (X"5D"),(X"2D"),(X"88"),(X"FA"),(X"0F"),(X"F9"),(X"60"),(X"5D"), (X"FA"),(X"08"),(X"CE"),(X"C4"),(X"12"),(X"DD"),(X"FC"),(X"00"), (X"37"),(X"6E"),(X"FF"),(X"00"),(X"3F"),(X"6C"),(X"D5"),(X"D7"), (X"11"),(X"8D"),(X"73"),(X"C0"),(X"81"),(X"40"),(X"D7"),(X"12"), (X"32"),(X"7E"),(X"DC"),(X"17"),(X"2D"),(X"5D"),(X"C0"),(X"81"), (X"A4"),(X"24"),(X"3A"),(X"91"),(X"27"),(X"10"),(X"E1"),(X"59"), (X"C3"),(X"2A"),(X"56"),(X"2C"),(X"8A"),(X"47"),(X"4F"),(X"54"), (X"CF"),(X"30"),(X"D0"),(X"10"),(X"11"),(X"EB"),(X"6C"),(X"8C"), (X"47"),(X"4F"),(X"53"),(X"55"),(X"C2"),(X"30"),(X"D0"),(X"10"), (X"11"),(X"E0"),(X"14"),(X"16"),(X"8B"),(X"4C"),(X"45"),(X"D4"), (X"A0"),(X"80"),(X"BD"),(X"30"),(X"D0"),(X"E0"),(X"13"),(X"1D"), (X"8C"),(X"50"),(X"D2"),(X"83"),(X"49"),(X"4E"),(X"D4"),(X"E1"), (X"62"),(X"85"),(X"BA"),(X"38"),(X"53"),(X"38"),(X"55"),(X"83"), (X"A2"),(X"21"),(X"63"),(X"30"),(X"D0"),(X"20"),(X"83"),(X"AC"), (X"22"),(X"62"),(X"84"),(X"BB"),(X"E1"),(X"67"),(X"4A"),(X"83"), (X"DE"),(X"24"),(X"93"),(X"E0"),(X"23"),(X"1D"),(X"91"),(X"49"), (X"C6"),(X"30"),(X"D0"),(X"31"),(X"1F"),(X"30"),(X"D0"),(X"84"), (X"54"),(X"48"),(X"45"),(X"CE"),(X"1C"),(X"1D"),(X"38"),(X"0B"), (X"9B"),(X"49"),(X"CE"),(X"83"),(X"50"),(X"55"),(X"D4"),(X"A0"), (X"10"),(X"E7"),(X"24"),(X"3F"),(X"20"),(X"91"),(X"27"),(X"E1"), (X"59"),(X"81"),(X"AC"),(X"30"),(X"D0"),(X"13"),(X"11"),(X"82"), (X"AC"),(X"4D"),(X"E0"),(X"1D"),(X"8A"),(X"52"),(X"45"),(X"D4"), (X"83"),(X"55"),(X"52"),(X"CE"),(X"E0"),(X"15"),(X"1D"),(X"85"), (X"45"),(X"4E"),(X"C4"),(X"E0"),(X"2D"),(X"87"),(X"52"),(X"55"), (X"CE"),(X"10"),(X"11"),(X"38"),(X"0A"),(X"84"),(X"4E"),(X"45"), (X"D7"),(X"2B"),(X"9F"),(X"4C"),(X"49"),(X"53"),(X"D4"),(X"E7"), (X"0A"),(X"00"),(X"01"),(X"0A"),(X"7F"),(X"FF"),(X"65"),(X"30"), (X"D0"),(X"30"),(X"CB"),(X"E0"),(X"24"),(X"00"),(X"00"),(X"00"), (X"00"),(X"00"),(X"00"),(X"0A"),(X"80"),(X"1F"),(X"24"),(X"93"), (X"23"),(X"1D"),(X"84"),(X"52"),(X"45"),(X"CD"),(X"1D"),(X"A0"), (X"80"),(X"BD"),(X"38"),(X"2A"),(X"82"),(X"AC"),(X"62"),(X"0B"), (X"2F"),(X"85"),(X"AD"),(X"30"),(X"E6"),(X"17"),(X"64"),(X"81"), (X"AB"),(X"30"),(X"E6"),(X"85"),(X"AB"),(X"30"),(X"E6"),(X"18"), (X"5A"),(X"93"),(X"AD"),(X"30"),(X"E6"),(X"19"),(X"54"),(X"30"), (X"F5"),(X"85"),(X"AA"),(X"30"),(X"F5"),(X"1A"),(X"5A"),(X"85"), (X"AF"),(X"30"),(X"F5"),(X"1B"),(X"54"),(X"2F"),(X"88"),(X"52"), (X"4E"),(X"44"),(X"A8"),(X"31"),(X"15"),(X"39"),(X"44"),(X"8E"), (X"55"),(X"53"),(X"52"),(X"A8"),(X"30"),(X"D0"),(X"30"),(X"CB"), (X"30"),(X"CB"),(X"31"),(X"1C"),(X"2E"),(X"2F"),(X"A2"),(X"12"), (X"2F"),(X"C1"),(X"2F"),(X"80"),(X"A8"),(X"65"),(X"30"),(X"D0"), (X"0B"),(X"80"),(X"AC"),(X"30"),(X"D0"),(X"80"),(X"A9"),(X"2F"), (X"84"),(X"BD"),(X"09"),(X"02"),(X"2F"),(X"83"),(X"3C"),(X"BE"), (X"74"),(X"85"),(X"3C"),(X"BD"),(X"09"),(X"03"),(X"2F"),(X"84"), (X"BC"),(X"09"),(X"01"),(X"2F"),(X"85"),(X"3E"),(X"BD"),(X"09"), (X"06"),(X"2F"),(X"85"),(X"3E"),(X"BC"),(X"09"),(X"05"),(X"2F"), (X"80"),(X"BE"),(X"09"),(X"04"),(X"2F"),(X"19"),(X"17"),(X"0A"), (X"00"),(X"01"),(X"18"),(X"09"),(X"80"),(X"09"),(X"80"),(X"12"), (X"0A"),(X"09"),(X"29"),(X"1A"),(X"0A"),(X"1A"),(X"85"),(X"18"), (X"08"),(X"13"),(X"09"),(X"80"),(X"12"),(X"03"),(X"01"),(X"02"), (X"31"),(X"6A"),(X"31"),(X"75"),(X"1B"),(X"1A"),(X"19"),(X"31"), (X"75"),(X"18"),(X"2F"),(X"0B"),(X"01"),(X"05"),(X"01"),(X"04"), (X"0B"),(X"01"),(X"07"),(X"01"),(X"06"),(X"2F"),(X"0B"),(X"09"), (X"06"),(X"0A"),(X"00"),(X"00"),(X"1C"),(X"17"),(X"2F"),(X"00")); begin process(clock) begin if(rising_edge(clock))then if(rd_n = '0' and cs_n = '0')then data_out <= rom(to_integer(unsigned(address))); else data_out <= "00000000"; end if; end if; end process; end rtl;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/@e@x_@m@e@m/_primary.vhd
1
1569
library verilog; use verilog.vl_types.all; entity EX_MEM is port( Clk : in vl_logic; stall : in vl_logic; flush : in vl_logic; Branch_addr_EX : in vl_logic_vector(31 downto 0); op_EX : in vl_logic_vector(5 downto 0); Condition_EX : in vl_logic_vector(2 downto 0); Branch_EX : in vl_logic; MemWrite_EX : in vl_logic; RegWrite_EX : in vl_logic; MemRead_EX : in vl_logic; MemData_EX : in vl_logic_vector(31 downto 0); WBData_EX : in vl_logic_vector(31 downto 0); Less_EX : in vl_logic; Zero_EX : in vl_logic; Overflow_EX : in vl_logic; Rd_EX : in vl_logic_vector(4 downto 0); Branch_addr_MEM : out vl_logic_vector(31 downto 0); op_MEM : out vl_logic_vector(5 downto 0); Condition_MEM : out vl_logic_vector(2 downto 0); Branch_MEM : out vl_logic; MemWrite_MEM : out vl_logic; RegWrite_MEM : out vl_logic; MemRead_MEM : out vl_logic; MemData_MEM : out vl_logic_vector(31 downto 0); WBData_MEM : out vl_logic_vector(31 downto 0); Less_MEM : out vl_logic; Zero_MEM : out vl_logic; Overflow_MEM : out vl_logic; Rd_MEM : out vl_logic_vector(4 downto 0) ); end EX_MEM;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/select2_5/_primary.vhd
1
323
library verilog; use verilog.vl_types.all; entity select2_5 is port( in1 : in vl_logic_vector(4 downto 0); in2 : in vl_logic_vector(4 downto 0); choose : in vl_logic; \out\ : out vl_logic_vector(4 downto 0) ); end select2_5;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/@f@o@r@w@a@r@d/_primary.vhd
1
901
library verilog; use verilog.vl_types.all; entity FORWARD is port( Rs_ID_EX : in vl_logic_vector(4 downto 0); Rt_ID_EX : in vl_logic_vector(4 downto 0); Rd_EX_MEM : in vl_logic_vector(4 downto 0); Rs_IF_ID : in vl_logic_vector(4 downto 0); Rt_IF_ID : in vl_logic_vector(4 downto 0); Rd_MEM_REG : in vl_logic_vector(4 downto 0); RegWrite_EX_MEM : in vl_logic; RegWrite_MEM_REG: in vl_logic; Rd_write_byte_en: in vl_logic_vector(3 downto 0); loaduse : in vl_logic; RsOut_sel : out vl_logic_vector(3 downto 0); RtOut_sel : out vl_logic_vector(3 downto 0); A_in_sel : out vl_logic_vector(7 downto 0); B_in_sel : out vl_logic_vector(7 downto 0) ); end FORWARD;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/select5_32/_primary.vhd
1
536
library verilog; use verilog.vl_types.all; entity select5_32 is port( in1 : in vl_logic_vector(31 downto 0); in2 : in vl_logic_vector(31 downto 0); in3 : in vl_logic_vector(31 downto 0); in4 : in vl_logic_vector(31 downto 0); in5 : in vl_logic_vector(31 downto 0); choose : in vl_logic_vector(2 downto 0); \out\ : out vl_logic_vector(31 downto 0) ); end select5_32;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/@i@f_@i@d/_primary.vhd
1
1085
library verilog; use verilog.vl_types.all; entity IF_ID is port( clk : in vl_logic; stall : in vl_logic; flush : in vl_logic; PC_4_IF : in vl_logic_vector(31 downto 0); op_IF : in vl_logic_vector(5 downto 0); Rs_IF : in vl_logic_vector(4 downto 0); Rt_IF : in vl_logic_vector(4 downto 0); Rd_IF : in vl_logic_vector(4 downto 0); Shamt_IF : in vl_logic_vector(4 downto 0); Func_IF : in vl_logic_vector(5 downto 0); PC_4_ID : out vl_logic_vector(31 downto 0); op_ID : out vl_logic_vector(5 downto 0); Rs_ID : out vl_logic_vector(4 downto 0); Rt_ID : out vl_logic_vector(4 downto 0); Rd_ID : out vl_logic_vector(4 downto 0); Shamt_ID : out vl_logic_vector(4 downto 0); Func_ID : out vl_logic_vector(5 downto 0) ); end IF_ID;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/@p@c/_primary.vhd
1
249
library verilog; use verilog.vl_types.all; entity PC is port( PC_in : in vl_logic_vector(31 downto 0); clk : in vl_logic; PC_out : out vl_logic_vector(31 downto 0) ); end PC;
lgpl-3.0
Jam-G/MIPS
simulation/modelsim/rtl_work/@m@e@m_@w@r/_primary.vhd
1
896
library verilog; use verilog.vl_types.all; entity MEM_WR is port( clk : in vl_logic; stall : in vl_logic; flush : in vl_logic; MemData_Mem : in vl_logic_vector(31 downto 0); Rd_write_byte_en_Mem: in vl_logic_vector(3 downto 0); WBData_Mem : in vl_logic_vector(31 downto 0); MemRead_Mem : in vl_logic; RegWrite_Mem : in vl_logic; Rd_Mem : in vl_logic_vector(4 downto 0); MemData_Wr : out vl_logic_vector(31 downto 0); Rd_write_byte_en_Wr: out vl_logic_vector(3 downto 0); WBData_Wr : out vl_logic_vector(31 downto 0); MemRead_Wr : out vl_logic; RegWrite_Wr : out vl_logic; Rd_Wr : out vl_logic_vector(4 downto 0) ); end MEM_WR;
lgpl-3.0
peteasa/parallella-fpga
AdiHDLLib/library/common/dma_fifo.vhd
2
1812
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity dma_fifo is generic ( RAM_ADDR_WIDTH : integer := 3; FIFO_DWIDTH : integer := 32 ); port ( clk : in std_logic; resetn : in std_logic; fifo_reset : in std_logic; -- Write port in_stb : in std_logic; in_ack : out std_logic; in_data : in std_logic_vector(FIFO_DWIDTH-1 downto 0); -- Read port out_stb : out std_logic; out_ack : in std_logic; out_data : out std_logic_vector(FIFO_DWIDTH-1 downto 0) ); end; architecture imp of dma_fifo is constant FIFO_MAX : natural := 2**RAM_ADDR_WIDTH -1; type MEM is array (0 to FIFO_MAX) of std_logic_vector(FIFO_DWIDTH - 1 downto 0); signal data_fifo : MEM; signal wr_addr : natural range 0 to FIFO_MAX; signal rd_addr : natural range 0 to FIFO_MAX; signal not_full, not_empty : Boolean; begin in_ack <= '1' when not_full else '0'; out_stb <= '1' when not_empty else '0'; out_data <= data_fifo(rd_addr); fifo_data: process (clk) is begin if rising_edge(clk) then if not_full then data_fifo(wr_addr) <= in_data; end if; end if; end process; fifo_ctrl: process (clk) is variable free_cnt : integer range 0 to FIFO_MAX + 1; begin if rising_edge(clk) then if (resetn = '0') or (fifo_reset = '1') then wr_addr <= 0; rd_addr <= 0; free_cnt := FIFO_MAX + 1; not_empty <= False; not_full <= True; else if in_stb = '1' and not_full then wr_addr <= (wr_addr + 1) mod (FIFO_MAX + 1); free_cnt := free_cnt - 1; end if; if out_ack = '1' and not_empty then rd_addr <= (rd_addr + 1) mod (FIFO_MAX + 1); free_cnt := free_cnt + 1; end if; not_full <= not (free_cnt = 0); not_empty <= not (free_cnt = FIFO_MAX + 1); end if; end if; end process; end;
lgpl-3.0
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/GeneralGenerate.vhd
1
659
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity GeneralGenerate is Port ( G_ik : in STD_LOGIC; P_ik : in STD_LOGIC; G_km1_j : in STD_LOGIC; G_ij : out STD_LOGIC); end GeneralGenerate; architecture Behavioral of GeneralGenerate is begin G_ij <= G_ik OR (P_ik AND G_km1_j); end Behavioral;
lgpl-3.0
sandrosalvato94/System-Design-Project
VHDLs/constants.vhd
1
568
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package CONSTANTS is constant NUM_IPS : integer := 1; constant DATA_WIDTH : integer := 16; constant ADD_WIDTH : integer := 6; type data_array is array (0 to NUM_IPS - 1) of std_logic_vector(DATA_WIDTH-1 downto 0); type add_array is array (0 to NUM_IPS - 1) of std_logic_vector(ADD_WIDTH-1 downto 0); constant INT_POS : integer := 13; constant BE_POS : integer := 12; constant IPADD_POS : integer := 11; -- downto 0 end package CONSTANTS;
lgpl-3.0
sandrosalvato94/System-Design-Project
VHDLs/IPManager_HardwareGroup.vhd
2
5201
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.CONSTANTS.all; entity IP_MANAGER is port( clk : in std_logic; rst : in std_logic; data_in : out std_logic_vector (DATA_WIDTH-1 downto 0); data_out : in std_logic_vector (DATA_WIDTH-1 downto 0); add : out std_logic_vector(ADD_WIDTH-1 downto 0); W_enable : out std_logic; R_enable : out std_logic; generic_en : out std_logic; interrupt : out std_logic; row_0 : in std_logic_vector (DATA_WIDTH-1 downto 0); data_in_IPs : in data_array; data_out_IPs : out data_array; add_IPs : in add_array; W_enable_IPs : in std_logic_vector(0 to NUM_IPS-1); R_enable_IPs : in std_logic_vector(0 to NUM_IPS-1); generic_en_IPs : in std_logic_vector(0 to NUM_IPS-1); enable_IPs : out std_logic_vector(0 to NUM_IPS-1); ack_IPs : out std_logic_vector(0 to NUM_IPS-1); interrupt_IPs : in std_logic_vector(0 to NUM_IPS-1) ); end IP_MANAGER; architecture BEHAVIOURAL of IP_MANAGER is begin -- PROC_1 manages the behavior of the IPMANAGER. PROC_1: process (clk, rst) begin -- process Clk if Rst = '1' then -- asynchronous reset (active high) data_in <= (others => '0'); data_out_IPs <= (others => ((others => '0'))); add <= (others => '0'); W_enable <= '0'; R_enable <= '0'; generic_en <= '0'; enable_IPs <= (others => '0'); ack_IPs <= (others => '0'); interrupt <= '0'; elsif Clk'event and Clk = '1' then -- rising clock edge -- NOT configuration mode: if (conv_integer(row_0(IPADD_POS downto 0)) /= 0 ) then -- Assuring that only one IPs is enable in case the cpu decides to change the IP core without properly ending the transaction enable_IPs <= (others => '0'); data_in <= (others => '0'); data_out_IPs <= (others => ((others => '0'))); add <= (others => '0'); W_enable <= '0'; R_enable <= '0'; generic_en <= '0'; ack_IPs <= (others => '0'); -- Releasing interrupt: if (row_0(INT_POS) = '1' AND row_0(BE_POS) = '1' )then interrupt <= '0'; ack_IPs(conv_integer(row_0(IPADD_POS downto 0))-1) <= '1'; end if; -- Begin ( or continue ) transaction: if row_0(BE_POS) = '1' then enable_IPs(conv_integer(row_0(IPADD_POS downto 0))-1) <= '1'; data_in <= data_in_IPs(conv_integer(row_0(IPADD_POS downto 0))-1); data_out_IPs(conv_integer(row_0(IPADD_POS downto 0))-1) <= data_out ; add <= add_IPs(conv_integer(row_0(IPADD_POS downto 0))-1); W_enable <= W_enable_IPs(conv_integer(row_0(IPADD_POS downto 0))-1); R_enable <= R_enable_IPs(conv_integer(row_0(IPADD_POS downto 0))-1); generic_en <= generic_en_IPs(conv_integer(row_0(IPADD_POS downto 0))-1); -- Interrupt mode: -- If some IPs raise the interrupt and there is no current transaction elsif (row_0(BE_POS) = '0' and conv_integer(interrupt_IPs) /= 0 ) then for I in (NUM_IPS-1) downto 0 loop -- scan from the lower priority IP to the higher (this avoid to insert a break statement, that is not synthesizable) if (interrupt_IPs(I) = '1') then -- check if it rises the interrupt signal and the transaction with the Master is ended --Write in row_0 the address of the ip with the highest priority add <= (others => '0'); data_in <= row_0(DATA_WIDTH-1 downto 12) & conv_std_logic_vector(I+1, IPADD_POS+1); W_enable <= '1'; R_enable <= '0'; generic_en <= '1'; -- Signal the cpu that one interrupt request must be served interrupt <= '1'; end if; end loop; end if; ---- TODO : Future feature ---- Manager configuration mode: -- else end if; end if; end process PROC_1; end architecture;
lgpl-3.0
lunod/lt24_ctrl
rtl/rom_init_lt24.vhd
1
9638
--------------------------------------------------------------------------- -- This file is part of lt24ctrl, a video controler IP core for Terrasic -- LT24 LCD display -- Copyright (C) 2017 Ludovic Noury <[email protected]> -- -- This program is free software: you can redistribute it and/or -- modify it under the terms of the GNU General Public License as -- published by the Free Software Foundation, either version 3 of the -- License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see -- <http://www.gnu.org/licenses/>. --------------------------------------------------------------------------- -- Sources : -- * Altera single port ROM template : https://www.altera.com/support/support-resources/design-examples/design-software/vhdl/vhd-single-port-rom.html -- * Terrasic LT24 exemple C code for Nios/2 (LT24 C initialization sequence -- converted to hardware ROM) -- * TFT LCD Display + Camera (René Beuchat, EPFL) : additional comments in -- ROM initialization (comments ending with "*1" in rom_init_lt24.vhd) : -- http://moodle.epfl.ch/pluginfile.php/1589089/mod_resource/content/3/TFT%20LCD%20Display-Camera_2a.pdf --------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --------------------------------------------------------------------------- entity rom_init_lt24 is port(addr : in std_logic_vector(6 downto 0); clk : in std_logic; q : out std_logic_vector(16 downto 0)); end entity; --------------------------------------------------------------------------- architecture rtl of rom_init_lt24 is -- Build a 2-D array type for the ROM -- word size = q size, number of words = 2^nbits(addr) subtype word_t is std_logic_vector(q'range); type memory_t is array(0 to (2**addr'length) - 1) of word_t; function init_rom return memory_t is variable tmp : memory_t := (others => (others => '0')); begin -- Q[16]='1' if Q[15..0] destination is LT24 command register -- Q[16]='0' if Q[15..0] destination is LT24 data register -- Exit Sleep tmp(000) := '0' & x"0011"; -- LCD_WR_REG(0x0011); -- Power Control B *1 tmp(001) := '0' & x"00CF"; -- LCD_WR_REG(0x00CF); tmp(002) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); // Always 0x00 *1 tmp(003) := '1' & x"0081"; -- LCD_WR_DATA(0x0081); tmp(004) := '1' & x"00C9"; -- LCD_WR_DATA(0X00c0); -- Power on sequence control *1 tmp(005) := '0' & x"00ED"; -- LCD_WR_REG(0x00ED); tmp(006) := '1' & x"0064"; -- LCD_WR_DATA(0x0064); // Soft Start keep 1 tmp(007) := '1' & x"0003"; -- LCD_WR_DATA(0x0003); // frame *1 tmp(008) := '1' & x"0012"; -- LCD_WR_DATA(0X0012); tmp(009) := '1' & x"0081"; -- LCD_WR_DATA(0X0081); -- TODO : Why 2 times ? Only once should be enough => test if need to optimize -- (just one call in *1) tmp(010) := '0' & x"00ED"; -- LCD_WR_REG(0x00ED); tmp(011) := '1' & x"0064"; -- LCD_WR_DATA(0x0064); tmp(012) := '1' & x"0003"; -- LCD_WR_DATA(0x0003); tmp(013) := '1' & x"0012"; -- LCD_WR_DATA(0X0012); tmp(014) := '1' & x"0081"; -- LCD_WR_DATA(0X0081); -- Driver timing control A *1 tmp(015) := '0' & x"00E8"; -- LCD_WR_REG(0x00E8); tmp(016) := '1' & x"0085"; -- LCD_WR_DATA(0x0085); tmp(017) := '1' & x"0001"; -- LCD_WR_DATA(0x0001); tmp(018) := '1' & x"0798"; -- LCD_WR_DATA(0x00798); -- Power control A *1 tmp(019) := '0' & x"00CB"; -- LCD_WR_REG(0x00CB); tmp(020) := '1' & x"0039"; -- LCD_WR_DATA(0x0039); tmp(021) := '1' & x"002C"; -- LCD_WR_DATA(0x002C); tmp(022) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(023) := '1' & x"0034"; -- LCD_WR_DATA(0x0034); tmp(024) := '1' & x"0002"; -- LCD_WR_DATA(0x0002); -- Pump ratio control *1 tmp(025) := '0' & x"00F7"; -- LCD_WR_REG(0x00F7); tmp(026) := '1' & x"0020"; -- LCD_WR_DATA(0x0020); -- Driver timming control B *1 tmp(027) := '0' & x"00EA"; -- LCD_WR_REG(0x00EA); tmp(028) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(029) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); -- Frame control (in normal mode) *1 tmp(030) := '0' & x"00B1"; -- LCD_WR_REG(0x00B1); tmp(031) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(032) := '1' & x"001b"; -- LCD_WR_DATA(0x001b); -- Display function control *1 tmp(033) := '0' & x"00B6"; -- LCD_WR_REG(0x00B6); tmp(034) := '1' & x"000A"; -- LCD_WR_DATA(0x000A); tmp(035) := '1' & x"00A2"; -- LCD_WR_DATA(0x00A2); -- Power control 1 tmp(036) := '0' & x"00C0"; -- LCD_WR_REG(0x00C0); tmp(037) := '1' & x"0005"; -- LCD_WR_DATA(0x0005); // VRH[5:0] -- Power control 2 tmp(038) := '0' & x"00C1"; -- LCD_WR_REG(0x00C1); tmp(039) := '1' & x"0011"; -- LCD_WR_DATA(0x0011); // SAP[2:0]";BT[3:0] -- VCM control 1 tmp(040) := '0' & x"00C5"; -- LCD_WR_REG(0x00C5); tmp(041) := '1' & x"0045"; -- LCD_WR_DATA(0x0045); // 3F tmp(042) := '1' & x"0045"; -- LCD_WR_DATA(0x0045); // 3C -- VCM control 2 tmp(043) := '0' & x"00C7"; -- LCD_WR_REG(0x00C7); tmp(044) := '1' & x"00a2"; -- LCD_WR_DATA(0X00a2); -- Memory Access Control tmp(045) := '0' & x"0036"; -- LCD_WR_REG(0x0036); tmp(046) := '1' & x"0008"; -- LCD_WR_DATA(0x0008); // BGR order *1 -- Enable 3G *1 tmp(047) := '0' & x"00F2"; -- LCD_WR_REG(0x00F2); // 3Gamma Function Disable tmp(048) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); -- Gama set *1 tmp(049) := '0' & x"0026"; -- LCD_WR_REG(0x0026); // Gamma curve selected tmp(050) := '1' & x"0001"; -- LCD_WR_DATA(0x0001); -- Positive gamma correction, set gamma *1 tmp(051) := '0' & x"00E0"; -- LCD_WR_REG(0x00E0); tmp(052) := '1' & x"000F"; -- LCD_WR_DATA(0x000F); tmp(053) := '1' & x"0026"; -- LCD_WR_DATA(0x0026); tmp(054) := '1' & x"0024"; -- LCD_WR_DATA(0x0024); tmp(055) := '1' & x"000b"; -- LCD_WR_DATA(0x000b); tmp(056) := '1' & x"000E"; -- LCD_WR_DATA(0x000E); tmp(057) := '1' & x"0008"; -- LCD_WR_DATA(0x0008); tmp(058) := '1' & x"004b"; -- LCD_WR_DATA(0x004b); TMP(059) := '1' & x"00a8"; -- LCD_WR_DATA(0X00a8); tmp(060) := '1' & x"003b"; -- LCD_WR_DATA(0x003b); tmp(061) := '1' & x"000a"; -- LCD_WR_DATA(0x000a); tmp(062) := '1' & x"0014"; -- LCD_WR_DATA(0x0014); tmp(063) := '1' & x"0006"; -- LCD_WR_DATA(0x0006); tmp(064) := '1' & x"0010"; -- LCD_WR_DATA(0x0010); tmp(065) := '1' & x"0009"; -- LCD_WR_DATA(0x0009); tmp(066) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); -- Negative gamma correction, set gamma *1 tmp(067) := '0' & X"00E1"; -- LCD_WR_REG(0X00E1); // Set Gamma tmp(068) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(069) := '1' & x"001c"; -- LCD_WR_DATA(0x001c); tmp(070) := '1' & x"0020"; -- LCD_WR_DATA(0x0020); tmp(071) := '1' & x"0004"; -- LCD_WR_DATA(0x0004); tmp(072) := '1' & x"0010"; -- LCD_WR_DATA(0x0010); tmp(073) := '1' & x"0008"; -- LCD_WR_DATA(0x0008); tmp(074) := '1' & x"0034"; -- LCD_WR_DATA(0x0034); tmp(075) := '1' & x"0047"; -- LCD_WR_DATA(0x0047); tmp(076) := '1' & x"0044"; -- LCD_WR_DATA(0x0044); tmp(077) := '1' & x"0005"; -- LCD_WR_DATA(0x0005); tmp(078) := '1' & x"000b"; -- LCD_WR_DATA(0x000b); tmp(079) := '1' & x"0009"; -- LCD_WR_DATA(0x0009); tmp(080) := '1' & x"002f"; -- LCD_WR_DATA(0x002f); tmp(081) := '1' & x"0036"; -- LCD_WR_DATA(0x0036); tmp(082) := '1' & x"000f"; -- LCD_WR_DATA(0x000f); -- Column address set *1 tmp(083) := '0' & x"002A"; -- LCD_WR_REG(0x002A); tmp(084) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(085) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(086) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(087) := '1' & x"00ef"; -- LCD_WR_DATA(0x00ef); -- Page address set *1 tmp(088) := '0' & x"002B"; -- LCD_WR_REG(0x002B); tmp(089) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(090) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); tmp(091) := '1' & x"0001"; -- LCD_WR_DATA(0x0001); tmp(092) := '1' & x"003f"; -- LCD_WR_DATA(0x003f); -- COLMOD: pixel format set *1 tmp(093) := '0' & x"003A"; -- LCD_WR_REG(0x003A); tmp(094) := '1' & x"0055"; -- LCD_WR_DATA(0x0055); -- Interface control *1 tmp(095) := '0' & x"00f6"; -- LCD_WR_REG(0x00f6); tmp(096) := '1' & x"0001"; -- LCD_WR_DATA(0x0001); tmp(097) := '1' & x"0030"; -- LCD_WR_DATA(0x0030); tmp(098) := '1' & x"0000"; -- LCD_WR_DATA(0x0000); -- display on tmp(099) := '0' & x"0029"; -- LCD_WR_REG(0x0029); -- 0x2C tmp(100) := '0' & x"002c"; -- LCD_WR_REG(0x002c); return tmp; end init_rom; -- Declare the ROM signal and specify a default value. Quartus II -- will create a memory initialization file (.mif) based on the -- default value. signal rom : memory_t := init_rom; begin process(clk) begin if(rising_edge(clk)) then q <= rom(to_integer(unsigned(addr))); end if; end process; end rtl; ---------------------------------------------------------------------------
lgpl-3.0
lunod/lt24_ctrl
rtl/lt24ctrl.vhd
1
5713
--------------------------------------------------------------------------- -- This file is part of lt24ctrl, a video controler IP core for Terrasic -- LT24 LCD display -- Copyright (C) 2017 Ludovic Noury <[email protected]> -- -- This program is free software: you can redistribute it and/or -- modify it under the terms of the GNU General Public License as -- published by the Free Software Foundation, either version 3 of the -- License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see -- <http://www.gnu.org/licenses/>. --------------------------------------------------------------------------- -- Remarks : -- * LT24 is a 320x240 LCD screen but the integrated controller considers -- a 240x320 display with x=0 and y=0 the pixel on the top left when the -- screen is held vertically with PCB text "terasic LT24" on the right -- side. -- Hence the choice to provide an interface with y=320 lines of x=240 -- pixels. --------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --------------------------------------------------------------------------- entity lt24ctrl is generic(system_frequency: real := 50_000_000.0; tmin_cycles : natural := 1); port ( clk : in std_logic; resetn : in std_logic; x : out std_logic_vector(7 downto 0); -- 0 .. 239 => 8 bits y : out std_logic_vector(8 downto 0); -- 0 .. 319 => 9 bits c : in std_logic_vector(15 downto 0); -- couleurs 16 bits lt24_reset_n: out std_logic; lt24_cs_n : out std_logic; lt24_rs : out std_logic; lt24_rd_n : out std_logic; lt24_wr_n : out std_logic; lt24_d : out std_logic_vector(15 downto 0); lt24_lcd_on : out std_logic); end entity lt24ctrl; --------------------------------------------------------------------------- architecture inst of lt24ctrl is signal rom_addr : std_logic_vector(6 downto 0); signal rom_data : std_logic_vector(16 downto 0); signal clr_cptdelay, tick_1ms, tick_10ms, tick_120ms, tick_tmin : std_logic; signal clr_init_rom_addr, inc_init_rom_addr, end_init_rom : std_logic; signal clr_cptpix, inc_cptpix, end_cptpix : std_logic; signal lt24_reset_n_noreg, lt24_cs_n_noreg, lt24_rs_noreg, lt24_rd_n_noreg, lt24_wr_n_noreg, lt24_lcd_on_noreg : std_logic; signal lt24_d_noreg : std_logic_vector(lt24_d'range); begin rom: entity work.rom_init_lt24 port map( clk => clk, addr => rom_addr, q => rom_data); cpt_timming: entity work.cpt_delay generic map(system_frequency => system_frequency, tmin_cycles => tmin_cycles) port map(clk => clk, resetn => resetn, clr_cptdelay => clr_cptdelay, tick_1ms => tick_1ms, tick_10ms => tick_10ms, tick_120ms => tick_120ms, tick_tmin => tick_tmin); cpt_address: entity work.cpt_addr_rom port map(clk => clk, resetn => resetn, clr_init_rom_addr => clr_init_rom_addr, inc_init_rom_addr => inc_init_rom_addr, end_init_rom => end_init_rom, address => rom_addr); cpt_pixels: entity work.cpt_pix port map(clk => clk, resetn => resetn, clr_cptpix => clr_cptpix, inc_cptpix => inc_cptpix, end_cptpix => end_cptpix, x => x, y => y); fsm: entity work.lt24_fsm port map(clk => clk, resetn => resetn, tick_1ms => tick_1ms, tick_10ms => tick_10ms, tick_120ms => tick_120ms, tick_tmin => tick_tmin, clr_cptdelay => clr_cptdelay, clr_init_rom_addr => clr_init_rom_addr, inc_init_rom_addr => inc_init_rom_addr, end_init_rom => end_init_rom, init_rom_data => rom_data, clr_cptpix => clr_cptpix, inc_cptpix => inc_cptpix, end_cptpix => end_cptpix, color => c, lt24_reset_n => lt24_reset_n_noreg, lt24_lcd_on => lt24_lcd_on_noreg, lt24_cs_n => lt24_cs_n_noreg, lt24_rs => lt24_rs_noreg, lt24_rd_n => lt24_rd_n_noreg, lt24_wr_n => lt24_wr_n_noreg, lt24_d => lt24_d_noreg); -- Register outputs to relax timming delays and have clean/glitchless -- outputs from the FPGA to LT24 sync_out:process(clk) begin if rising_edge(clk) then lt24_reset_n <= lt24_reset_n_noreg; lt24_cs_n <= lt24_cs_n_noreg; lt24_rs <= lt24_rs_noreg; lt24_rd_n <= lt24_rd_n_noreg; lt24_wr_n <= lt24_wr_n_noreg; lt24_d <= lt24_d_noreg; lt24_lcd_on <= lt24_lcd_on_noreg; end if; end process; end architecture inst; ---------------------------------------------------------------------------
lgpl-3.0
LaNoC-UFC/Phoenix
outputModule.vhd
2
3392
library IEEE; use IEEE.std_logic_1164.all; use STD.textio.all; use ieee.numeric_std.all; use work.NoCPackage.all; entity outputModule is generic( address: regflit ); port( clock: in std_logic; tx: in std_logic; data: in regflit; currentTime: in unsigned(4*TAM_FLIT-1 downto 0) ); end; architecture outputModule of outputModule is begin process(clock) variable current_flit_index : integer := 0; variable package_size : unsigned(TAM_FLIT-1 downto 0) := (others=>'0'); file file_pointer : TEXT; variable fstatus: file_open_status := STATUS_ERROR; variable current_line : LINE; variable desired_input_time: unsigned ((TAM_FLIT*4)-1 downto 0) := (others=>'0'); variable actual_input_time: unsigned ((TAM_FLIT*4)-1 downto 0) := (others=>'0'); variable tail_arrival_time: unsigned ((TAM_FLIT*4)-1 downto 0) := (others=>'0'); variable package_latency: integer; variable is_control_package: std_logic; begin if (clock'event and clock = '0') then if tx = '1' then -- head if (current_flit_index = 0) then write(current_line, string'(to_hstring(data)) & " "); is_control_package := data(TAM_FLIT-1); -- size elsif (current_flit_index = 1) then write(current_line, string'(to_hstring(data)) & " "); package_size := unsigned(data) + 2; -- payload elsif (current_flit_index < package_size - 1) then if (current_flit_index >= 3 and current_flit_index <= 6 and is_control_package = '0') then desired_input_time((TAM_FLIT*(7-current_flit_index)-1) downto (TAM_FLIT*(6-current_flit_index))) := unsigned(data); end if; if (current_flit_index >= 9 and current_flit_index <= 12 and is_control_package = '0') then actual_input_time((TAM_FLIT*(13-current_flit_index)-1) downto (TAM_FLIT*(12-current_flit_index))) := unsigned(data); end if; if (current_flit_index = 2 or current_flit_index = 7 or current_flit_index = 8) then write(current_line, string'(to_hstring(data)) & " "); end if; -- tail else if (is_control_package = '0') then tail_arrival_time := currentTime; write(current_line, " " & string'(integer'image(to_integer(signed(actual_input_time))))); package_latency := to_integer(signed(tail_arrival_time-desired_input_time)); write(current_line, " " & string'(integer'image(package_latency))); if(fstatus /= OPEN_OK) then file_open(fstatus, file_pointer,"Out/out"&to_hstring(address)&".txt",WRITE_MODE); end if; writeline(file_pointer, current_line); end if; current_flit_index := -1; end if; current_flit_index := current_flit_index + 1; end if; end if; end process; end outputModule;
lgpl-3.0
LaNoC-UFC/Phoenix
tests/fault_injector_test.vhd
2
1836
library IEEE; use IEEE.std_logic_1164.all; use STD.textio.all; use work.PhoenixPackage.all; use work.HammingPack16.all; entity fault_injector_test is end; architecture fault_injector_test of fault_injector_test is constant NUMBER_ITERACTIONS : integer := 1000; signal clock: std_logic := '0'; signal reset: std_logic; signal tx: regNport := (others=>'0'); signal data_in: arrayNport_regphit := (others=>(others=>'0')); signal data_out: arrayNport_regphit; signal credit: regNport := (others=>'0'); begin reset <= '1', '0' after CLOCK_PERIOD/4; clock <= not clock after CLOCK_PERIOD/2; UUT : entity work.FaultInjector generic map(address => ADDRESS_FROM_INDEX(0)) port map( clock => clock, reset => reset, tx => tx, data_in => data_in, data_out => data_out, credit => credit ); process variable fault_count : integer := 0; file file_pointer : text; variable port_line : LINE; begin -- write file with desired fault rate file_open(file_pointer, "fault_" & to_hstring(ADDRESS_FROM_INDEX(0)) & ".txt", WRITE_MODE); write(port_line, string'("0.03 EAST")); writeline(file_pointer, port_line); file_close(file_pointer); tx(EAST) <= '1'; credit(EAST) <= '1'; wait until reset = '0'; for i in 1 to NUMBER_ITERACTIONS loop wait until clock'event and clock = '1'; if data_in(EAST) /= data_out(EAST) then fault_count := fault_count + 1; end if; end loop; report "Percentage of fault = " & real'image((real(fault_count)*100.0)/real(NUMBER_ITERACTIONS)) & "%."; wait; end process; end fault_injector_test;
lgpl-3.0
muhd7rosli/mblite-vivado
mblite_ip/src/vhdl/config_pkg.vhd
1
3976
---------------------------------------------------------------------------------------------- -- This file is part of mblite_ip. -- -- mblite_ip is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- mblite_ip is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with mblite_ip. If not, see <http://www.gnu.org/licenses/>. -- -- Input file : config_pkg.vhd -- Design name : config_pkg -- Author : Tamar Kranenburg -- Company : Delft University of Technology -- : Faculty EEMCS, Department ME&CE -- : Systems and Circuits group -- -- Description : Configuration parameters for the design -- ---------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; package config_pkg is ---------------------------------------------------------------------------------------------- -- CORE PARAMETERS ---------------------------------------------------------------------------------------------- -- Implement external interrupt constant CFG_INTERRUPT : boolean := true; -- Disable or enable external interrupt [0,1] -- Implement hardware multiplier constant CFG_USE_HW_MUL : boolean := false; -- Disable or enable multiplier [0,1] -- Implement hardware barrel shifter constant CFG_USE_BARREL : boolean := false; -- Disable or enable barrel shifter [0,1] -- Debug mode constant CFG_DEBUG : boolean := false; -- Resets some extra registers for better readability -- and enables feedback (report) [0,1] -- Set CFG_DEBUG to zero to obtain best performance. -- Memory parameters constant CFG_DMEM_SIZE : positive := 32; -- Data memory bus size in 2LOG # elements constant CFG_IMEM_SIZE : positive := 16; -- Instruction memory bus size in 2LOG # elements constant CFG_BYTE_ORDER : boolean := true; -- Switch between MSB (1, default) and LSB (0) byte order policy -- Register parameters constant CFG_REG_FORCE_ZERO : boolean := true; -- Force data to zero if register address is zero [0,1] constant CFG_REG_FWD_WRB : boolean := true; -- Forward writeback to loosen register memory requirements [0,1] constant CFG_MEM_FWD_WRB : boolean := true; -- Forward memory result in stead of introducing stalls [0,1] ---------------------------------------------------------------------------------------------- -- CONSTANTS (currently not configurable / not tested) ---------------------------------------------------------------------------------------------- constant CFG_DMEM_WIDTH : positive := 32; -- Data memory width in bits constant CFG_IMEM_WIDTH : positive := 32; -- Instruction memory width in bits constant CFG_GPRF_SIZE : positive := 5; -- General Purpose Register File Size in 2LOG # elements ---------------------------------------------------------------------------------------------- -- BUS PARAMETERS ---------------------------------------------------------------------------------------------- type memory_map_type is array(natural range <>) of std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0); constant CFG_NUM_SLAVES : positive := 2; constant CFG_MEMORY_MAP : memory_map_type(0 to CFG_NUM_SLAVES) := (X"00000000", X"00FFFFFF", X"FFFFFFFF"); END config_pkg;
lgpl-3.0
muhd7rosli/mblite-vivado
mblite_ip/src/vhdl/core/gprf.vhd
1
2990
---------------------------------------------------------------------------------------------- -- This file is part of mblite_ip. -- -- mblite_ip is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- mblite_ip is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with mblite_ip. If not, see <http://www.gnu.org/licenses/>. -- -- Input file : gprf.vhd -- Design name : gprf -- Author : Tamar Kranenburg -- Company : Delft University of Technology -- : Faculty EEMCS, Department ME&CE -- : Systems and Circuits group -- -- Description : The general purpose register infers memory blocks to implement -- the register file. All outputs are registered, possibly by using -- registered memory elements. -- ---------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library mblite; use mblite.config_Pkg.all; use mblite.core_Pkg.all; use mblite.std_Pkg.all; entity gprf is port ( gprf_o : out gprf_out_type; gprf_i : in gprf_in_type; ena_i : in std_logic; clk_i : in std_logic ); end gprf; -- This architecture is the default implementation. It -- consists of three dual port memories. Other -- architectures can be added while configurations can -- control the implemented architecture. architecture arch of gprf is begin a : dsram generic map ( WIDTH => CFG_DMEM_WIDTH, SIZE => CFG_GPRF_SIZE ) port map ( dat_o => gprf_o.dat_a_o, adr_i => gprf_i.adr_a_i, ena_i => ena_i, dat_w_i => gprf_i.dat_w_i, adr_w_i => gprf_i.adr_w_i, wre_i => gprf_i.wre_i, clk_i => clk_i ); b : dsram generic map ( WIDTH => CFG_DMEM_WIDTH, SIZE => CFG_GPRF_SIZE ) port map ( dat_o => gprf_o.dat_b_o, adr_i => gprf_i.adr_b_i, ena_i => ena_i, dat_w_i => gprf_i.dat_w_i, adr_w_i => gprf_i.adr_w_i, wre_i => gprf_i.wre_i, clk_i => clk_i ); d : dsram generic map ( WIDTH => CFG_DMEM_WIDTH, SIZE => CFG_GPRF_SIZE ) port map ( dat_o => gprf_o.dat_d_o, adr_i => gprf_i.adr_d_i, ena_i => ena_i, dat_w_i => gprf_i.dat_w_i, adr_w_i => gprf_i.adr_w_i, wre_i => gprf_i.wre_i, clk_i => clk_i ); end arch;
lgpl-3.0
jairov4/accel-oil
solution_spartan3/syn/vhdl/nfa_accept_samples_generic_hw_add_17ns_17s_17_4.vhd
3
9502
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(16 downto 0); b: in std_logic_vector(16 downto 0); s: out std_logic_vector(16 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5 is component nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder is port ( faa : IN STD_LOGIC_VECTOR (5-1 downto 0); fab : IN STD_LOGIC_VECTOR (5-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (5-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (2-1 downto 0); fab : IN STD_LOGIC_VECTOR (2-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (2-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(16 downto 0); signal b_reg : std_logic_vector(16 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(4 downto 0); signal b0_cb : std_logic_vector(4 downto 0); signal a1_cb : std_logic_vector(9 downto 5); signal b1_cb : std_logic_vector(9 downto 5); signal a2_cb : std_logic_vector(14 downto 10); signal b2_cb : std_logic_vector(14 downto 10); signal a3_cb : std_logic_vector(16 downto 15); signal b3_cb : std_logic_vector(16 downto 15); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(4 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(4 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(1 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; -- wires for each full adder sum signal fas : std_logic_vector(16 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo2 is array (2 downto 0) of std_logic_vector(4 downto 0); signal s0_ca_rego0 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(4 downto 0); signal s1_ca_rego1 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(4 downto 0); signal s2_ca_rego2 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(16 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(4 downto 0); b0_cb <= b_reg(4 downto 0); a1_cb <= a_reg(9 downto 5); b1_cb <= b_reg(9 downto 5); a2_cb <= a_reg(14 downto 10); b2_cb <= b_reg(14 downto 10); a3_cb <= a_reg(16 downto 15); b3_cb <= b_reg(16 downto 15); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(4 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(9 downto 5), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(14 downto 10), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder_f port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(16 downto 15), facout => faccout3_co3); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(4 downto 0); s1_ca_rego1 (0) <= fas(9 downto 5); s2_ca_rego2 (0) <= fas(14 downto 10); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s1_ca_rego1 (1) <= s1_ca_rego1 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(4 downto 0) <= s0_ca_rego0(2); s_tmp(9 downto 5) <= s1_ca_rego1(1); s_tmp(14 downto 10) <= s2_ca_rego2(0); s_tmp(16 downto 15) <= fas(16 downto 15); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder is generic(N : natural :=5); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder_f is generic(N : natural :=2); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_17ns_17s_17_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_17ns_17s_17_4 is component nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5_U : component nfa_accept_samples_generic_hw_add_17ns_17s_17_4_AddSubnS_5 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/simhdl/vhdl/sample_buffer_if_ap_fifo.vhd
2
2831
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity sample_buffer_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC := '1'; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC := '1'; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of sample_buffer_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/simhdl/vhdl/sample_buffer_if_plb_master_if.vhd
4
36941
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity sample_buffer_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end entity; architecture rtl of sample_buffer_if_ap_fifo_uw is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype; signal mInPtr, mNextInPtr, mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0); signal internal_empty_n, internal_full_n : STD_LOGIC; signal internal_use_word : STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0); begin mNextInPtr <= mInPtr + 1; if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; use_word <= internal_use_word; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); internal_use_word <= (others => '0'); else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr + 1; end if; if if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; mInPtr <= mNextInPtr; end if; if (if_read = '1' and if_write = '0') then internal_use_word <= internal_use_word - '1'; elsif (if_read = '0' and if_write = '1') then internal_use_word <= internal_use_word + '1'; end if; end if; end if; end process; process (mInPtr, mOutPtr, mNextInPtr) begin if mInPtr = mOutPtr then internal_empty_n <= '0'; else internal_empty_n <= '1'; end if; if mNextInPtr = mOutPtr then internal_full_n <= '0'; else internal_full_n <= '1'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity sample_buffer_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3 ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- physical address BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8-1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n: out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of PLB_Clk : signal is "Clk"; attribute SIGIS of PLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of sample_buffer_if_plb_master_if is component sample_buffer_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component; component sample_buffer_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end component; constant PLB_DW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := PLB_DW/8; constant REQ_FIFO_WIDTH : integer := 1 + PLB_BYTE_COUNT + 32 + 32; --nRW + BE + 32 bits phy addr + size constant FIFO_ADDR_WIDTH : integer := 5; constant FIFO_DEPTH : integer := 32; -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); -- burst write counter (only push burst data in and ignore all burst write request except the first one) signal req_burst_write: STD_LOGIC; -- whether last request is a burst write signal req_burst_write_counter: STD_LOGIC_VECTOR(31 downto 0); -- write data FIFO (for bus write data) signal wd_fifo_empty_n : STD_LOGIC; signal wd_fifo_pop : STD_LOGIC; signal wd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_dout_mirror : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_full_n : STD_LOGIC; signal wd_fifo_push : STD_LOGIC; signal wd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); -- read data FIFO (for bus read returned data) signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); signal req_address : std_logic_vector(0 to C_PLB_AWIDTH -1);-- bus request word address signal req_fifo_dout_req_size : std_logic_vector(31 downto 0); -- req_size -1 signal req_size : std_logic_vector(0 to 27); -- burst size of 16 word block signal request, req_nRW: std_logic; signal req_BE : std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal pending_rd_req_burst_mode: std_logic; signal pending_rd_req_burst_size: std_logic_vector(3 downto 0); signal pending_wr_req_burst_mode: std_logic; signal pending_wr_req_burst_size: std_logic_vector(3 downto 0); signal pending_read, pending_write: std_logic; signal burst_mode, burst_last : std_logic; signal burst_size : std_logic_vector(3 downto 0); -- maximum burst 16 words --signals for write data mirror signal conv_mode_comb : std_logic_vector(1 downto 0); -- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal conv_counter_comb: std_logic_vector(1 downto 0); signal wr_data_phase : std_logic; signal dataConv_last: std_logic; signal dp_dataConv_last: std_logic; signal dp_dataConv_word_addr: std_logic_vector(1 downto 0); signal dp_dataConv_wd_conv_mode : std_logic_vector(1 downto 0); -- 00:NO conv, 01:128/32, 10:64/32, 11:128/64 signal dp_dataConv_wd_burst_counter: std_logic_vector(1 downto 0); signal dp_dataConv_wd_BE: std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal dp_PLB_MSSize : std_logic_vector(1 downto 0); --signals for read data mirror signal PLB_MRdDAck_reg : std_logic; signal dp_dataConv_rd_conv_mode : std_logic_vector(1 downto 0);-- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal dp_dataConv_rd_burst_counter, dp_dataConv_rd_burst_counter_reg: std_logic_vector(1 downto 0); signal PLB_MRdDBus_reverse : std_logic_vector(PLB_DW-1 downto 0); -- signals with dp_ prefix stand for data phase signals -- signals with req_ prefix stand for request phase signals begin -- interface to user logic BUS_RdData <= rd_fifo_dout; BUS_req_full_n <= req_fifo_full_n and wd_fifo_full_n; BUS_rsp_nRW <= '0'; BUS_rsp_empty_n <= rd_fifo_empty_n; -- interface to PLB M_abort <= '0'; M_busLock <= '0'; M_lockErr <= '0'; M_MSize <= "01"; -- 00:32b dev, 01:64b, 10:128b, 11:256b M_size <= "0000" when (burst_mode = '0' or burst_size = "0000") else "1011"; -- single rw or 64 bits burst M_type <= "000"; -- memory trans M_priority <= "00"; M_RNW <= not req_nRW; M_rdBurst <= '1' when pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /="00") else '0'; process (PLB_MSSize) begin M_wrBurst <= '0'; if (pending_wr_req_burst_mode = '1' and (pending_wr_req_burst_size /= "0000" or dp_dataConv_wd_burst_counter /="00")) then M_wrBurst <= '1'; elsif (request = '1' and req_nRW = '1' and pending_write = '0' and burst_mode = '1' and burst_size /="0000" and wd_fifo_use_word > burst_size) then M_wrBurst <= '1'; end if; end process; -- write data mirror section process (PLB_MSSize) begin if (C_PLB_DWIDTH = 64 and PLB_MSSize = "00") then conv_mode_comb <= "10"; -- conv 64:32 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "01") then conv_mode_comb <= "11"; -- conv 128:64 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "00") then conv_mode_comb <= "01"; -- conv 128:32 conv_counter_comb <= "11"; else conv_mode_comb <= "00"; -- do not need conv conv_counter_comb <= "00"; end if; end process; process (burst_mode, burst_size, conv_mode_comb, req_address, req_BE) begin dataConv_last <= '0'; if (burst_mode = '0' or burst_size = "0000") then if (conv_mode_comb = "00") then -- no conv dataConv_last <= '1'; elsif (conv_mode_comb = "10") then -- 64:32 conv if (req_address(29)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "11") then -- 128:64 conv if (req_address(28)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "01") then -- 128:32 conv if (req_address(28 to 29) = "00" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT*3/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "01" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "10" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT*3/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "11") then dataConv_last <= '1'; end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_word_addr <= (others => '0'); dp_dataConv_wd_conv_mode <= (others =>'0'); dp_dataConv_wd_burst_counter <= (others => '0'); dp_dataConv_wd_BE <= (others => '0'); dp_dataConv_last <= '0'; wr_data_phase <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then dp_dataConv_wd_BE <= req_BE; dp_dataConv_last <= dataConv_last; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and (PLB_MWrDAck = '0' or (burst_mode = '1' and burst_size /= "0000"))) then wr_data_phase <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if ((pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0')) then wr_data_phase <= '0'; end if; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and dp_dataConv_wd_conv_mode = "00") then if (PLB_MWrDAck = '0') then -- only AddrAck asserted dp_dataConv_wd_conv_mode <= conv_mode_comb; dp_dataConv_word_addr <= req_address(28 to 29); dp_dataConv_wd_burst_counter <= conv_counter_comb; else -- Xilinx PLB v4.6 support assert addrAck & wrDAck at the same cycle if (dataConv_last = '0') then dp_dataConv_wd_conv_mode <= conv_mode_comb; end if; if (PLB_MSSize = "00") then -- 32 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +1; elsif (PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +2; end if; if (conv_mode_comb /= "00") then -- need conv dp_dataConv_wd_burst_counter <= conv_counter_comb -1; end if; end if; end if; if (wr_data_phase = '1' and PLB_MWrDAck = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0' and dp_dataConv_last = '1'))) then dp_dataConv_wd_conv_mode <= "00"; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if (dp_PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= dp_dataConv_word_addr +2; else dp_dataConv_word_addr <= dp_dataConv_word_addr +1; end if; if ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size /= "0000") or dp_dataConv_wd_burst_counter /= "00") then if (dp_dataConv_wd_burst_counter = "00") then if (dp_dataConv_wd_conv_mode = "01") then -- 128/32 dp_dataConv_wd_burst_counter <= "11"; elsif (dp_dataConv_wd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_wd_burst_counter <= "01"; end if; else dp_dataConv_wd_burst_counter <= dp_dataConv_wd_burst_counter -1; end if; end if; end if; end if; end process; process(PLB_MWrDAck, wr_data_phase, dp_dataConv_wd_burst_counter, burst_mode, conv_counter_comb, conv_mode_comb, req_BE) begin wd_fifo_pop <= '0'; if (PLB_MWrDAck = '1') then if (wr_data_phase = '1') then if ((pending_wr_req_burst_mode = '1' and dp_dataConv_wd_burst_counter = "00") or (dp_dataConv_wd_conv_mode /= "00" and dp_dataConv_last = '1') or dp_dataConv_wd_conv_mode = "00" )then wd_fifo_pop <= '1'; end if; else -- got addrAck and wrDAck at the same cycle if (burst_mode = '1' and burst_size /= "0000" and conv_counter_comb = "00") then wd_fifo_pop <= '1'; elsif ((burst_mode = '0' or burst_size = "0000") and dataConv_last = '1') then wd_fifo_pop <= '1'; end if; end if; end if; end process; process(wd_fifo_dout, wr_data_phase, req_address, dp_dataConv_wd_conv_mode, dp_dataConv_word_addr) begin wd_fifo_dout_mirror <= wd_fifo_dout; if (wr_data_phase = '0') then -- we do not know slave bus width, perform default convert if (C_PLB_DWIDTH = 32) then wd_fifo_dout_mirror <= wd_fifo_dout; elsif (C_PLB_DWIDTH = 64) then if (req_address(29) = '0') then wd_fifo_dout_mirror <= wd_fifo_dout; else wd_fifo_dout_mirror(PLB_DW/2-1 downto 0) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); wd_fifo_dout_mirror(PLB_DW-1 downto PLB_DW/2) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); end if; elsif (C_PLB_DWIDTH = 128) then case req_address(28 to 29) is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; else -- in data phase wd_fifo_dout_mirror <= wd_fifo_dout; if ((dp_dataConv_wd_conv_mode = "10" and dp_dataConv_word_addr(0) = '1') or (dp_dataConv_wd_conv_mode = "11" and dp_dataConv_word_addr(1) = '1')) then -- conv 64:32 or 128:64 wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); elsif (dp_dataConv_wd_conv_mode = "01") then -- conv 128:32 case dp_dataConv_word_addr is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; end if; end process; process(wd_fifo_dout_mirror) variable i: integer; begin for i in 0 to C_PLB_DWIDTH-1 loop M_wrDBus(i) <= wd_fifo_dout_mirror(i); end loop; end process; process (request, req_nRW, pending_read, burst_mode, rd_fifo_full_n, rd_fifo_use_word, pending_write, wd_fifo_empty_n, wd_fifo_use_word, burst_size) begin M_request <= '0'; if (request = '1') then if (req_nRW = '0' and pending_read = '0') then -- read request if ((burst_mode = '0' or burst_size = "0000") and rd_fifo_full_n = '1') then M_request <= '1'; elsif (rd_fifo_use_word(4) = '0') then -- 16 words slots available M_request <= '1'; end if; elsif (req_nRW = '1' and pending_write = '0') then -- write request if ((burst_mode = '0' or burst_size = "0000") and wd_fifo_empty_n = '1') then M_request <= '1'; elsif (wd_fifo_use_word > burst_size) then M_request <= '1'; end if; end if; end if; end process; M_ABus(0 to C_PLB_AWIDTH - 1) <= req_address; process(req_nRW, burst_mode, burst_size, req_BE) variable i:integer; begin M_BE <= (others => '0'); if (burst_mode = '1') then if (burst_size = "0000") then M_BE <= (others => '1'); -- first single,then burst 16 else M_BE(0 to 3) <= burst_size; -- fixed length burst end if; elsif (req_nRW = '0') then M_BE <= (others => '1'); else for i in 0 to PLB_BYTE_COUNT-1 loop M_BE(i) <= req_BE(i); end loop; end if; end process; -- user req FIFO, for both read request and write request U_req_sample_buffer_if_fifo: component sample_buffer_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_WIDTH, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_push <= BUS_req_push and not req_burst_write; req_fifo_din <= BUS_req_nRW & BUS_req_BE & BUS_address & BUS_size; req_fifo_dout_req_size <= req_fifo_dout(31 downto 0) -1; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then req_burst_write <= '0'; req_burst_write_counter <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (req_fifo_push = '1' and BUS_req_nRW = '1' and BUS_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_write <= '1'; req_burst_write_counter <= BUS_size - 1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write = '1') then req_burst_write_counter <= req_burst_write_counter -1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write_counter = X"00000001") then-- last burst write data req_burst_write <= '0'; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then request <= '0'; req_size <= (others => '0'); req_nRW <= '0'; req_address(0 to C_PLB_AWIDTH - 1) <= (others => '0'); burst_mode <= '0'; burst_size <= (others => '0'); req_fifo_pop <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then req_fifo_pop <= '0'; if ((request = '0' and req_fifo_empty_n = '1') or PLB_MAddrAck = '1') then if (PLB_MAddrAck = '1' and (burst_mode = '0' or burst_size ="0000") and dataConv_last = '0') then request <= '1'; if (conv_mode_comb(1) = '1') then -- 2:1 conv req_BE(PLB_BYTE_COUNT/2-1 downto 0) <= (others => '0'); else -- 128:32 if (req_address(28 to 29) = "00") then req_BE(PLB_BYTE_COUNT/4-1 downto 0) <= (others => '0'); elsif (req_address(28 to 29) = "01") then req_BE(PLB_BYTE_COUNT/2-1 downto PLB_BYTE_COUNT/4) <= (others => '0'); elsif (req_address(28 to 29) = "10") then req_BE(PLB_BYTE_COUNT*3/4-1 downto PLB_BYTE_COUNT/2) <= (others => '0'); end if; end if; if (PLB_MSSize = "00") then -- 32 bits slave req_address <= req_address + 4; elsif (PLB_MSSize = "01") then -- 64 slave req_address <= req_address + 8; end if;-- 128 bits slave does not need conversion cycle elsif (PLB_MAddrAck = '1' and burst_mode = '1' and burst_last = '0') then request <= '1'; -- req next burst section, this will be pending until previous burst finished req_size(0 to 27) <= req_size(0 to 27) - 1; req_address(0 to C_PLB_AWIDTH - PLB_ADDR_SHIFT - 1) <= req_address(0 to C_PLB_AWIDTH -PLB_ADDR_SHIFT -1) + burst_size +1; req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); -- low bits of addr must be reset for possible data_conv modifications of 10 lines above burst_mode <= '1'; burst_size <= "1111"; -- burst 16 words else if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; end if; request <= req_fifo_empty_n; -- fetch next user_req, may be a vaild req or a null req req_size(0 to 27) <= req_fifo_dout_req_size(31 downto 4); --remaining burst transfer except current one req_nRW <= req_fifo_dout(REQ_FIFO_WIDTH-1); req_BE <= req_fifo_dout(REQ_FIFO_WIDTH-2 downto 64); req_address <= req_fifo_dout(63 downto 32); if (req_fifo_dout(REQ_FIFO_WIDTH-1) = '0') then -- read request req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); end if; -- long burst request will be split to 1stReq: 1-16 words, all next req: 16 words if (req_fifo_dout_req_size /= X"00000000") then -- more than 1 word, burst burst_mode <= req_fifo_empty_n; -- fetched req may be null req -- req of burst 17 will be single + burst 16, please check burst_size also else burst_mode <= '0'; end if; burst_size(3 downto 0) <= req_fifo_dout_req_size(3 downto 0);-- 0:single, 1-15: burst 2-16words end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_read <= '0'; pending_write <= '0'; dp_PLB_MSSize <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00") or (pending_rd_req_burst_mode = '0'))) then pending_read <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='0') then pending_read <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or pending_wr_req_burst_mode = '0')) then pending_write <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='1' and (PLB_MWrDAck = '0' or burst_size /= "0000")) then pending_write <= '1'; end if; if (PLB_MAddrAck = '1') then dp_PLB_MSSize <= PLB_MSSize; end if; end if; end process; process(req_size) begin if (req_size(0 to 27) = "000000000000000000000000000") then burst_last <= '1'; -- one request is ok else burst_last <= '0'; end if; end process; -- user write data FIFO, for data of bus write request U_wd_sample_buffer_if_fifo: component sample_buffer_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => wd_fifo_empty_n, if_read => wd_fifo_pop, if_dout => wd_fifo_dout, if_full_n => wd_fifo_full_n, if_write => wd_fifo_push, if_din => wd_fifo_din, use_word => wd_fifo_use_word ); wd_fifo_push <= BUS_req_push and BUS_req_nRW; wd_fifo_din <= BUS_WrData; -- returned bus read data fifo U_rd_sample_buffer_if_fifo: component sample_buffer_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, if_write => rd_fifo_push, if_din => rd_fifo_din, use_word => rd_fifo_use_word ); process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_rd_conv_mode <= (others =>'0'); dp_dataConv_rd_burst_counter <= (others => '0'); dp_dataConv_rd_burst_counter_reg <= (others => '0'); PLB_MRdDAck_reg <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0' and dp_dataConv_rd_conv_mode = "00") then dp_dataConv_rd_conv_mode <= conv_mode_comb; dp_dataConv_rd_burst_counter <= conv_counter_comb; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter = "00")))then dp_dataConv_rd_conv_mode <= "00"; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /= "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter /= "00")))then if (dp_dataConv_rd_burst_counter = "00") then if (dp_dataConv_rd_conv_mode = "01") then -- 128/32 dp_dataConv_rd_burst_counter <= "11"; elsif (dp_dataConv_rd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_rd_burst_counter <= "01"; end if; else dp_dataConv_rd_burst_counter <= dp_dataConv_rd_burst_counter -1; end if; end if; dp_dataConv_rd_burst_counter_reg <= dp_dataConv_rd_burst_counter; PLB_MRdDAck_reg <= PLB_MRdDAck; end if; end process; rd_fifo_push <= '1' when PLB_MRdDAck_reg = '1' and dp_dataConv_rd_burst_counter_reg = "00" else '0'; process(PLB_MRdDBus) variable i: integer; begin -- change to little endian for i in 0 to C_PLB_DWIDTH-1 loop PLB_MRdDBus_reverse(i) <= PLB_MRdDBus(i); end loop; end process; process(PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then rd_fifo_din <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1') then case dp_dataConv_rd_conv_mode is when "00" => rd_fifo_din <= PLB_MRdDBus_reverse; when "10" | "11" => if (dp_dataConv_rd_burst_counter = "00") then rd_fifo_din(PLB_DW-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); else rd_fifo_din(PLB_DW/2-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); end if; when "01" => case dp_dataConv_rd_burst_counter is when "00" => rd_fifo_din(PLB_DW-1 downto PLB_DW*3/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "01" => rd_fifo_din(PLB_DW*3/4-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "10" => rd_fifo_din(PLB_DW/2-1 downto PLB_DW/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "11" => rd_fifo_din(PLB_DW/4-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when others => null; end case; when others => null; end case; end if; end if; end process; rd_fifo_pop <= BUS_rsp_pop; pending_read_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_rd_req_burst_mode <= '0'; pending_rd_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0') then if (burst_mode = '1' and burst_size /= "0000") then pending_rd_req_burst_mode <= burst_mode; end if; pending_rd_req_burst_size <= burst_size; elsif (PLB_MRdDAck = '1' and pending_rd_req_burst_mode = '1') then if (dp_dataConv_rd_burst_counter = "00") then pending_rd_req_burst_size <= pending_rd_req_burst_size - 1; if (pending_rd_req_burst_size = "0000") then pending_rd_req_burst_mode <= '0'; end if; end if; end if; end if; end process; pending_write_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_wr_req_burst_mode <= '0'; pending_wr_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then if (burst_mode = '1' and burst_size /= "0000") then pending_wr_req_burst_mode <= '1'; end if; pending_wr_req_burst_size <= burst_size; if (PLB_MWrDAck = '1') then if (conv_counter_comb = "00") then pending_wr_req_burst_size <= burst_size -1; else pending_wr_req_burst_size <= burst_size; end if; end if; elsif (PLB_MWrDAck = '1' and pending_wr_req_burst_mode = '1') then if (dp_dataConv_wd_burst_counter = "00") then pending_wr_req_burst_size <= pending_wr_req_burst_size - 1; if (pending_wr_req_burst_size = "0000") then pending_wr_req_burst_mode <= '0'; end if; end if; end if; end if; end process; end IMP;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/impl/pcores/nfa_accept_samples_generic_hw_top_v1_01_a/simhdl/vhdl/nfa_forward_buckets_if.vhd
2
27928
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_forward_buckets_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3; USER_DATA_WIDTH : integer := 32; USER_DATA_WIDTH_2N : integer := 32; USER_ADDR_SHIFT : integer := 2; -- log2(byte_count_of_data_width) REMOTE_DESTINATION_ADDRESS : std_logic_vector(0 to 31):= X"00000000" ); port ( -- Bus protocol ports, do not add to or delete MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_UABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); M_wrBurst : out std_logic; M_rdBurst : out std_logic; PLB_MAddrAck : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); PLB_MRearbitrate : in std_logic; PLB_MTimeout : in std_logic; PLB_MBusy : in std_logic; PLB_MRdErr : in std_logic; PLB_MWrErr : in std_logic; PLB_MIRQ : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRdDAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MWrBTerm : in std_logic; -- signals from user logic USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read return data to user_logic USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS USER_size : in std_logic_vector(31 downto 0); -- burst size of word USER_req_nRW : in std_logic; -- req type 0: Read, 1: write USER_req_full_n : out std_logic; -- req Fifo full USER_req_push : in std_logic; -- req Fifo push (new request in) USER_rsp_empty_n : out std_logic; -- return data FIFO empty USER_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of MPLB_Clk : signal is "Clk"; attribute SIGIS of MPLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of nfa_forward_buckets_if is component nfa_forward_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0) ); end component; component nfa_forward_buckets_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3); port ( -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8 -1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n : out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); end component; -- type state_type is (IDLE, ); -- signal cs, ns : st_type; constant PLB_BW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := C_PLB_DWIDTH/8; constant USER_DATA_BYTE_COUNT : integer := USER_DATA_WIDTH_2N/8; constant REQ_FIFO_DATA_WIDTH : integer := 1 + 32 + 32 + USER_DATA_WIDTH_2N; -- nRW + addr + size + wr_data constant REQ_FIFO_ADDR_WIDTH : integer := 5; constant REQ_FIFO_DEPTH : integer := 32; constant ALIGN_DATA_WIDTH : integer := USER_DATA_WIDTH_2N + PLB_BW; constant ALIGN_DATA_BE_WIDTH : integer := (USER_DATA_WIDTH_2N + PLB_BW)/8; signal user_phy_address : STD_LOGIC_VECTOR(31 downto 0); -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal user_WrData_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N-1 downto 0); signal req_fifo_dout_req_nRW : STD_LOGIC; signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_fifo_dout_req_size, req_fifo_dout_req_size_normalize : STD_LOGIC_VECTOR(31 downto 0); -- internal request information signal req_nRW : STD_LOGIC; signal req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_size, burst_size : STD_LOGIC_VECTOR(31 downto 0); signal req_size_user : STD_LOGIC_VECTOR(31 downto 0); signal req_BE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT-1 downto 0); signal req_WrData : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0); signal req_WrData_BE : STD_LOGIC_VECTOR(ALIGN_DATA_BE_WIDTH -1 downto 0); signal req_WrData_byte_p : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0); signal req_valid, req_SOP, req_EOP_user, req_EOP : STD_LOGIC; signal req_burst_write_counter : STD_LOGIC_VECTOR(31 downto 0); signal req_burst_mode, req_last_burst: STD_LOGIC; -- interface to PLB_master_if module signal PLB_master_if_req_full_n : STD_LOGIC; signal PLB_master_if_req_push : STD_LOGIC; signal PLB_master_if_dataout : STD_LOGIC_VECTOR(PLB_BW-1 downto 0); signal PLB_master_if_rsp_nRW : STD_LOGIC; signal PLB_master_if_rsp_empty_n : STD_LOGIC; signal PLB_master_if_rsp_pop : STD_LOGIC; signal USER_size_local: STD_LOGIC_VECTOR(31 downto 0); -- rsp FIFO constant RSP_FIFO_DATA_WIDTH : integer := PLB_ADDR_SHIFT + 32; -- addr + size constant RSP_FIFO_ADDR_WIDTH : integer := 6; constant RSP_FIFO_DEPTH : integer := 64; signal rsp_fifo_empty_n : STD_LOGIC; signal rsp_fifo_pop : STD_LOGIC; signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0); signal rsp_fifo_full_n : STD_LOGIC; signal rsp_fifo_push : STD_LOGIC; signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0); signal rsp_valid, rsp_SOP : STD_LOGIC; signal rsp_addr : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0); signal rsp_size : STD_LOGIC_VECTOR(31 downto 0); signal rsp_rd_data : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0); signal rsp_rd_data_byte_count : STD_LOGIC_VECTOR(4 downto 0); -- rd data user FIFO signal rd_data_user_fifo_empty_n : STD_LOGIC; signal rd_data_user_fifo_pop : STD_LOGIC; signal rd_data_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_data_user_fifo_full_n : STD_LOGIC; signal rd_data_user_fifo_push : STD_LOGIC; signal rd_data_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_data_user_fifo_din_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N -1 downto 0); signal BE_ALL_ONE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT -1 downto 0); begin BE_ALL_ONE <= (others => '1'); M_UABus <= (others => '0'); M_TAttribute <= (others => '0'); -- interface to user logic user_phy_address(31 downto USER_ADDR_SHIFT) <= REMOTE_DESTINATION_ADDRESS(0 to C_PLB_AWIDTH - USER_ADDR_SHIFT -1) + USER_address(31 -USER_ADDR_SHIFT downto 0); user_phy_address(USER_ADDR_SHIFT-1 downto 0) <= REMOTE_DESTINATION_ADDRESS(C_PLB_AWIDTH - USER_ADDR_SHIFT to C_PLB_AWIDTH -1); USER_size_local <= X"00000001" when conv_integer(USER_size(31 downto 1)) = 0 else USER_size; USER_req_full_n <= req_fifo_full_n; process(USER_WrData) variable i: integer; begin user_WrData_2N <= (others=> '0'); for i in 0 to USER_WrData'length -1 loop user_WrData_2N (USER_DATA_WIDTH_2N-1 -i) <= USER_WrData(i); end loop; end process; req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= user_phy_address; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32) <= USER_size_local; req_fifo_din(USER_DATA_WIDTH_2N -1 downto 0) <= user_WrData_2N(USER_DATA_WIDTH_2N-1 downto 0); req_fifo_push <= USER_req_push; U_nfa_forward_buckets_if_req_fifo: component nfa_forward_buckets_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_DATA_WIDTH, ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH, DEPTH => REQ_FIFO_DEPTH) port map( clk => MPLB_Clk, reset => MPLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1); req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32); req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32); req_fifo_dout_req_size_normalize(31 downto USER_ADDR_SHIFT) <= req_fifo_dout_req_size(31-USER_ADDR_SHIFT downto 0); req_fifo_dout_req_size_normalize(USER_ADDR_SHIFT-1 downto 0) <= (others => '0'); process(req_fifo_empty_n, req_valid) begin req_fifo_pop <= '0'; if (req_fifo_empty_n = '1' and req_valid = '0') then -- lunch next request req_fifo_pop <= '1'; end if; end process; process (MPLB_Clk, MPLB_Rst) variable offset: integer; begin if (MPLB_Rst = '1') then req_nRW <= '0'; burst_size <= (others => '0'); req_size_user <= (others => '0'); req_address <= (others => '0'); req_WrData <= (others => '0'); -- set possible MSB to ZERO req_WrData_BE <= (others => '0'); -- set possible MSB to ZERO req_WrData_byte_p <= (others => '0'); -- set possible MSB to ZERO req_valid <= '0'; req_EOP <= '0'; req_burst_write_counter <= (others => '0'); req_burst_mode <= '0'; elsif (MPLB_Clk'event and MPLB_Clk = '1') then if (req_fifo_pop = '1') then -- lunch next request req_valid <= '1'; if (req_burst_mode = '0') then if (req_fifo_dout_req_nRW = '0') then if (req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT) and req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT)) then burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT); elsif (('0'&req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) + ('0'&req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) <= PLB_BYTE_COUNT) then burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 1; else burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 2; end if; else burst_size <= X"00000001"; -- single by default if (req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT+1) /= CONV_STD_LOGIC_VECTOR(0,31-PLB_ADDR_SHIFT)) then -- may burst burst_size(31 downto 32-PLB_ADDR_SHIFT) <= (others=>'0'); -- burst_size for write operation if (req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0, PLB_ADDR_SHIFT)) or (conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) + conv_integer(req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) >= PLB_BYTE_COUNT) then burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT); else burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT)-1; end if; end if; end if; offset := conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)); if (req_fifo_dout_req_nRW = '1') then req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0); req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1'); end if; req_size_user <= req_fifo_dout_req_size; -- for read operation req_nRW <= req_fifo_dout_req_nRW; req_EOP <= '1'; req_address <= req_fifo_dout_req_address; req_burst_write_counter <= req_fifo_dout_req_size; req_WrData_byte_p <= req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) + USER_DATA_BYTE_COUNT; if (req_fifo_dout_req_nRW = '1' and req_fifo_dout_req_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_mode <= '1'; req_EOP <= '0'; end if; else -- in a burst write process req_burst_write_counter <= req_burst_write_counter -1; offset := conv_integer(req_WrData_byte_p); req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0); req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1'); req_WrData_byte_p <= req_WrData_byte_p + USER_DATA_BYTE_COUNT; if (req_last_burst = '1') then req_burst_mode <= '0'; req_EOP <= '1'; end if; end if; elsif (req_valid = '1') then if (req_nRW = '0' and PLB_master_if_req_push = '1') then req_valid <= '0'; elsif (req_nRW = '1') then if (req_EOP = '1' and PLB_master_if_req_push = '1') then -- last burst request if (req_WrData_BE(ALIGN_DATA_BE_WIDTH-1 downto PLB_BYTE_COUNT) = CONV_STD_LOGIC_VECTOR(0, ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT)) then req_valid <= '0'; req_EOP <= '0'; req_WrData <= (others=>'0'); req_WrData_BE <= (others => '0'); else req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0'); req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW); req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0'); req_WrData_BE(ALIGN_DATA_BE_WIDTH -PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT); req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1; req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0'); end if; elsif (req_EOP = '0') then if (req_WrData_BE(PLB_BYTE_COUNT-1) = '0') then req_valid <= '0'; elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' and PLB_master_if_req_push = '1') then req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0'); req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW); req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0'); req_WrData_BE(ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT); req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1; req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0'); end if; end if; end if; end if; end if; end process; req_last_burst <= '1' when (req_burst_mode = '1' and req_burst_write_counter(31 downto 0) = X"00000002") else '0'; process(req_nRW, req_WrData_BE, burst_size) begin req_size <= (others => '0'); if (req_nRW = '0') then req_size <= burst_size; elsif (req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) = BE_ALL_ONE) then req_size <= burst_size; else req_size <= X"00000001"; end if; end process; process(req_valid, PLB_master_if_req_full_n, req_nRW, req_WrData_BE) begin PLB_master_if_req_push <= '0'; if (req_valid = '1' and PLB_master_if_req_full_n = '1') then if (req_nRW = '0') then PLB_master_if_req_push <= '1'; -- only push when the last byte been push elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' or (req_EOP = '1' and req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0, PLB_BYTE_COUNT))) then PLB_master_if_req_push <= '1'; -- only push when the last byte been push end if; end if; end process; req_BE <= req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) when req_nRW = '1' else (others => '1'); U_nfa_forward_buckets_if_plb_master_if: component nfa_forward_buckets_if_plb_master_if generic map( C_PLB_AWIDTH => C_PLB_AWIDTH, C_PLB_DWIDTH => C_PLB_DWIDTH, PLB_ADDR_SHIFT => PLB_ADDR_SHIFT) port map ( -- Bus protocol ports, do not add to or delete PLB_Clk => MPLB_Clk, PLB_Rst => MPLB_Rst, M_abort => M_abort, M_ABus => M_ABus, M_BE => M_BE, M_busLock => M_busLock, M_lockErr => M_lockErr, M_MSize => M_MSize, M_priority => M_priority, M_rdBurst => M_rdBurst, M_request => M_request, M_RNW => M_RNW, M_size => M_size, M_type => M_type, M_wrBurst => M_wrBurst, M_wrDBus => M_wrDBus, PLB_MBusy => PLB_MBusy, PLB_MWrBTerm => PLB_MWrBTerm, PLB_MWrDAck => PLB_MWrDAck, PLB_MAddrAck => PLB_MAddrAck, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MRdDAck => PLB_MRdDAck, PLB_MRdDBus => PLB_MRdDBus, PLB_MRdWdAddr => PLB_MRdWdAddr, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MSSize => PLB_MSSize, -- signals from user logic BUS_RdData => PLB_master_if_dataout, BUS_WrData => req_WrData(PLB_BW-1 downto 0), BUS_address => req_address, BUS_size => req_size, BUS_req_nRW => req_nRW, BUS_req_BE => req_BE, BUS_req_full_n => PLB_master_if_req_full_n, BUS_req_push => PLB_master_if_req_push, BUS_rsp_nRW => PLB_master_if_rsp_nRW, BUS_rsp_empty_n => PLB_master_if_rsp_empty_n, BUS_rsp_pop => PLB_master_if_rsp_pop ); -- below is the response (bus read data) part U_nfa_forward_buckets_if_rsp_fifo: component nfa_forward_buckets_if_ap_fifo generic map( DATA_WIDTH => RSP_FIFO_DATA_WIDTH, ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH, DEPTH => RSP_FIFO_DEPTH) port map( clk => MPLB_Clk, reset => MPLB_Rst, if_empty_n => rsp_fifo_empty_n, if_read => rsp_fifo_pop, if_dout => rsp_fifo_dout, if_full_n => rsp_fifo_full_n, if_write => rsp_fifo_push, if_din => rsp_fifo_din ); rsp_fifo_din(32+PLB_ADDR_SHIFT-1 downto 32) <= req_address(PLB_ADDR_SHIFT-1 downto 0); rsp_fifo_din(31 downto 0) <= req_size_user; rsp_fifo_push <= PLB_master_if_req_push and (not req_nRW); process (rsp_valid, PLB_master_if_rsp_empty_n, rsp_rd_data_byte_count) begin PLB_master_if_rsp_pop <= '0'; -- fetch data to rsp_rd_data until enough bytes if (rsp_valid = '1' and PLB_master_if_rsp_empty_n = '1' and CONV_INTEGER(rsp_rd_data_byte_count) < USER_DATA_BYTE_COUNT) then PLB_master_if_rsp_pop <= '1'; end if; end process; process (MPLB_Clk, MPLB_Rst) begin if (MPLB_Rst = '1') then rsp_valid <= '0'; rsp_addr <= (others=> '0'); rsp_size <= (others=> '0'); rsp_SOP <= '1'; rsp_rd_data_byte_count <= (others => '0'); rsp_rd_data <= (others=>'0'); rsp_fifo_pop <= '0'; elsif (MPLB_Clk'event and MPLB_Clk = '1') then rsp_fifo_pop <= '0'; if (rsp_valid = '0' and rsp_fifo_empty_n = '1') then rsp_valid <= '1'; rsp_addr <= rsp_fifo_dout(32+PLB_ADDR_SHIFT-1 downto 32); rsp_size <= rsp_fifo_dout(31 downto 0); rsp_fifo_pop <= '1'; rsp_rd_data_byte_count <= (others=>'0'); rsp_SOP <= '1'; end if; -- fetch data to rsp_rd_data until enough bytes if (PLB_master_if_rsp_pop = '1') then rsp_rd_data(USER_DATA_WIDTH_2N-1 downto 0) <= rsp_rd_data(USER_DATA_WIDTH_2N + PLB_BW -1 downto PLB_BW); rsp_rd_data(USER_DATA_WIDTH_2N +PLB_BW -1 downto USER_DATA_WIDTH_2N) <= PLB_master_if_dataout; if (rsp_SOP = '1') then rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT - rsp_addr; rsp_SOP <= '0'; else rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT; end if; end if; -- write one unit of data to USER LOGIC if (rd_data_user_fifo_push = '1') then rsp_size <= rsp_size -1; rsp_rd_data_byte_count <= rsp_rd_data_byte_count - USER_DATA_BYTE_COUNT; rsp_addr <= rsp_addr + USER_DATA_BYTE_COUNT; if (rsp_size = X"00000001") then rsp_valid <= '0'; end if; end if; end if; end process; process(rsp_addr, rsp_rd_data,rsp_valid, rd_data_user_fifo_full_n, rsp_rd_data_byte_count, rd_data_user_fifo_din_2N) variable i: integer; begin case CONV_INTEGER(rsp_addr) is when 0 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +32 -1 downto 32); when 1 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +40 -1 downto 40); when 2 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +48 -1 downto 48); when 3 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +56 -1 downto 56); when 4 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +64 -1 downto 64); when 5 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +8 -1 downto 8); when 6 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +16 -1 downto 16); when 7 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +24 -1 downto 24); when others => null; end case; for i in 0 to USER_DATA_WIDTH -1 loop rd_data_user_fifo_din(i) <= rd_data_user_fifo_din_2N(USER_DATA_WIDTH_2N-1-i); end loop; rd_data_user_fifo_push <= '0'; if (rsp_valid = '1' and rd_data_user_fifo_full_n = '1' and CONV_INTEGER(rsp_rd_data_byte_count)>= USER_DATA_BYTE_COUNT) then rd_data_user_fifo_push <= '1'; end if; end process; U_nfa_forward_buckets_if_rd_data_user_fifo: component nfa_forward_buckets_if_ap_fifo generic map( DATA_WIDTH => USER_DATA_WIDTH, ADDR_WIDTH => 5, DEPTH => 32) port map( clk => MPLB_Clk, reset => MPLB_Rst, if_empty_n => rd_data_user_fifo_empty_n, if_read => USER_rsp_pop, if_dout => rd_data_user_fifo_dout, if_full_n => rd_data_user_fifo_full_n, if_write => rd_data_user_fifo_push, if_din => rd_data_user_fifo_din ); USER_RdData <= rd_data_user_fifo_dout; USER_rsp_empty_n <= rd_data_user_fifo_empty_n; end IMP;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/synhdl/vhdl/nfa_initials_buckets_if.vhd
2
21298
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_initials_buckets_if is generic( MPMC_BASE_ADDRESS : std_logic_vector := X"00000000"; USER_DATA_WIDTH : integer := 32; USER_ADDR_SHIFT : integer := 2 -- log2(byte_count_of_data_width) ); port( --/////////////////////////////////////////////////////////////////////////////// --// MPMC Port Interface - Bus is prefixed with NPI_ NPI_clk : in std_logic; NPI_reset : in std_logic; NPI_Addr : out std_logic_vector(31 downto 0); NPI_AddrReq : out std_logic; NPI_AddrAck : in std_logic; NPI_RNW : out std_logic; NPI_Size : out std_logic_vector(3 downto 0); NPI_WrFIFO_Data : out std_logic_vector(63 downto 0); NPI_WrFIFO_BE : out std_logic_vector(7 downto 0); NPI_WrFIFO_Push : out std_logic; NPI_RdFIFO_Data : in std_logic_vector(63 downto 0); NPI_RdFIFO_Pop : out std_logic; NPI_RdFIFO_RdWdAddr : in std_logic_vector(3 downto 0); NPI_WrFIFO_Empty : in std_logic; NPI_WrFIFO_AlmostFull : in std_logic; NPI_WrFIFO_Flush : out std_logic; NPI_RdFIFO_Empty : in std_logic; NPI_RdFIFO_Flush : out std_logic; NPI_RdFIFO_Latency : in std_logic_vector(1 downto 0); NPI_RdModWr : out std_logic; NPI_InitDone : in std_logic; -- signals from user logic ap_clk : in std_logic; ap_reset : in std_logic; USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read data to user_logic USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS USER_size : in std_logic_vector(31 downto 0); -- burst size of word USER_req_nRW : in std_logic; -- req type 0: Read, 1: write USER_req_full_n : out std_logic; -- req Fifo full USER_req_push : in std_logic; -- req Fifo push (new request in) USER_rsp_empty_n: out std_logic; -- return data FIFO empty USER_rsp_pop : in std_logic -- return data FIFO pop ); end entity; architecture arch_nfa_initials_buckets_if OF nfa_initials_buckets_if IS component nfa_initials_buckets_if_async_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; component nfa_initials_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; component nfa_initials_buckets_if_ap_fifo_af is generic ( DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 6; DEPTH : integer := 64; ALMOST_FULL_MARGIN : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; constant C_PI_ADDR_WIDTH : integer := 32; constant C_PI_DATA_WIDTH : integer := 64; constant C_PI_BE_WIDTH : integer := 8; constant C_PI_RDWDADDR_WIDTH: integer := 4; constant RSW : integer := 7; -- req size width constant REQ_FIFO_DATA_WIDTH : integer := 1+32+RSW+USER_DATA_WIDTH; -- nRW+addr+size+wr_data constant REQ_FIFO_ADDR_WIDTH : integer := 3; constant REQ_FIFO_DEPTH : integer := 8; type req_state_type is (RESET, FETCH_REQ, REQ, WD_SINGLE, WD_BURST1, WD_BURST2, WD_BURST_REQ); signal req_cs, req_ns : req_state_type; type rdata_state_type is (RESET, IDLE, RDATA); signal rdata_cs, rdata_ns : rdata_state_type; -- User interface signal User_size_local : STD_LOGIC_VECTOR(RSW-1 downto 0); signal User_address_local : STD_LOGIC_VECTOR(31 downto 0); -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_dout_req_nRW : STD_LOGIC; signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_fifo_dout_req_size : STD_LOGIC_VECTOR(RSW-1 downto 0); signal req_fifo_dout_wr_data : STD_LOGIC_VECTOR(USER_DATA_WIDTH-1 downto 0); signal req_reg_en : STD_LOGIC; signal nRW_reg : STD_LOGIC; signal address_reg : STD_LOGIC_VECTOR(31 downto 0); signal size_reg : STD_LOGIC_VECTOR(RSW-1 downto 0); -- internal request information signal req_nRW : STD_LOGIC; signal req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_size : STD_LOGIC_VECTOR(RSW-1 downto 0); signal req_BE : STD_LOGIC_VECTOR(C_PI_BE_WIDTH-1 downto 0); signal req_WrData_low : STD_LOGIC_VECTOR(USER_DATA_WIDTH-1 downto 0); signal req_WrData_wdAddr : STD_LOGIC; signal req_WrData_reg_en : STD_LOGIC; signal req_WrData_push : STD_LOGIC; signal req_WrData_BE : STD_LOGIC_VECTOR(C_PI_BE_WIDTH-1 downto 0); signal req_valid : STD_LOGIC; -- burst write signal burst_write_reg_en : STD_LOGIC; signal burst_write_count : STD_LOGIC_VECTOR(5 downto 0); -- max 32 * 64 bits -- burst read signal burst_read_reg_en : STD_LOGIC; signal burst_read_count : STD_LOGIC_VECTOR(RSW-1 downto 0); signal burst_read_wdAddr : STD_LOGIC; -- rsp FIFO constant RSP_FIFO_DATA_WIDTH : integer := RSW + 1; -- req_size + addr(2) constant RSP_FIFO_ADDR_WIDTH : integer := 2; constant RSP_FIFO_DEPTH : integer := 4; -- MPMC limitation signal rsp_fifo_empty_n : STD_LOGIC; signal rsp_fifo_pop : STD_LOGIC; signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH-1 downto 0); signal rsp_fifo_full_n : STD_LOGIC; signal rsp_fifo_push : STD_LOGIC; signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH-1 downto 0); -- internal rdata pop logic signal rdata_pop, rdata_pop_reg1, rdata_pop_reg2: STD_LOGIC; -- rd FIFO: input: MPMC data out, output: user async fifo signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); signal rd_fifo_dout_endian : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); -- rd user FIFO: async fifo to user signal rd_user_fifo_empty_n : STD_LOGIC; signal rd_user_fifo_pop : STD_LOGIC; signal rd_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_user_fifo_full_n : STD_LOGIC; signal rd_user_fifo_push : STD_LOGIC; signal rd_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); begin -- NPI interface NPI_WrFIFO_Flush <= '0'; NPI_RdFIFO_Flush <= '0'; NPI_RdModWr <= '0'; NPI_AddrReq <= req_valid; NPI_Addr <= address_reg; NPI_RNW <= not nRW_reg; NPI_WrFIFO_Push <= req_WrData_push; NPI_WrFIFO_BE <= req_WrData_BE; NPI_RdFIFO_Pop <= rdata_pop; process (req_WrData_wdAddr, req_WrData_low, req_fifo_dout_wr_data) begin NPI_WrFIFO_Data <= (others => '0'); if (req_WrData_wdAddr = '0') then NPI_WrFIFO_Data(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH) <= req_fifo_dout_wr_data; NPI_WrFIFO_Data(USER_DATA_WIDTH-1 downto 0) <= req_WrData_low; else NPI_WrFIFO_Data(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH) <= req_WrData_low; NPI_WrFIFO_Data(USER_DATA_WIDTH-1 downto 0) <= req_fifo_dout_wr_data; end if; end process; process (size_reg) begin NPI_Size <= (others => '0'); if (size_reg = "0000100") then --4w NPI_Size <= "0001"; elsif (size_reg = "0001000") then --8w NPI_Size <= "0010"; elsif (size_reg = "0010000") then --16w NPI_Size <= "0011"; elsif (size_reg = "0100000") then --32w NPI_Size <= "0100"; elsif (size_reg = "1000000") then --64w NPI_Size <= "0101"; end if; end process; -- User interface USER_req_full_n <= req_fifo_full_n; USER_rsp_empty_n <= rd_user_fifo_empty_n; USER_RdData <= rd_user_fifo_dout; rd_user_fifo_pop <= USER_rsp_pop; USER_size_local <= User_size(RSW-1 downto 0) when User_size(RSW-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0,RSW) else CONV_STD_LOGIC_VECTOR(1,RSW); USER_address_local(31 downto USER_ADDR_SHIFT) <= USER_address(31-USER_ADDR_SHIFT downto 0); USER_address_local(USER_ADDR_SHIFT-1 downto 0) <= (others => '0'); -- reqest fifo logics req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= USER_address_local+MPMC_BASE_ADDRESS; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH-1-32-RSW) <= USER_size_local(RSW-1 downto 0); req_fifo_din(USER_DATA_WIDTH -1 downto 0) <= USER_WrData; req_fifo_push <= USER_req_push; U_nfa_initials_buckets_if_req_fifo: component nfa_initials_buckets_if_async_fifo generic map( DATA_WIDTH => REQ_FIFO_DATA_WIDTH, ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH, DEPTH => REQ_FIFO_DEPTH) port map( clk_w => ap_clk, clk_r => NPI_clk, reset => NPI_reset, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1); req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32); req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-RSW); process(req_fifo_dout) variable i,j: integer; begin -- change byte endian to big endian for i in 0 to USER_DATA_WIDTH/8-1 loop j := USER_DATA_WIDTH/8 -1 -i; req_fifo_dout_wr_data(i*8+7 downto i*8) <= req_fifo_dout(j*8+7 downto j*8); end loop; end process; p_req_fifo_out_reg: process (NPI_clk, NPI_reset) variable i,j: integer; begin if (NPI_reset = '1') then nRW_reg <= '0'; address_reg <= (others => '0'); size_reg <= (others => '0'); elsif (NPI_clk'event and NPI_clk = '1') then if (req_reg_en = '1') then nRW_reg <= req_fifo_dout_req_nRW; address_reg <= req_fifo_dout_req_address; size_reg <= req_fifo_dout_req_size; end if; end if; end process; -- write and burst write will be controlled by state machine due to MPMC limitation -- read and burst read will have seperate return data phase logic for a pipelined access p_state_trans: process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then req_cs <= RESET; elsif (NPI_clk'event and NPI_clk = '1') then req_cs <= req_ns; end if; end process; -- CAUTION: NPI_AddrAck is a combinational output of NPI_AddrReq -- do not make NPI_AddrReq(req_valid) depends on NPI_AddrAck p_state_output: process (req_cs, NPI_InitDone, req_fifo_empty_n, req_fifo_dout_req_nRW, NPI_AddrAck, rsp_fifo_full_n, nRW_reg, size_reg, burst_write_count, req_WrData_wdAddr, req_fifo_dout_req_size, NPI_WrFIFO_AlmostFull) begin req_ns <= FETCH_REQ; req_reg_en <= '0'; req_fifo_pop <= '0'; rsp_fifo_push <= '0'; req_WrData_reg_en <= '0'; burst_write_reg_en <= '0'; req_valid <= '0'; req_WrData_push <= '0'; req_WrData_BE <= "11111111"; case req_cs is when RESET => req_ns <= RESET; if (NPI_InitDone = '1') then req_ns <= FETCH_REQ; end if; when FETCH_REQ => req_ns <= FETCH_REQ; if (req_fifo_empty_n = '1') then if (req_fifo_dout_req_nRW = '1') then req_reg_en <= '1'; req_ns <= REQ; elsif (rsp_fifo_full_n = '1') then req_reg_en <= '1'; req_fifo_pop <= '1'; rsp_fifo_push <= '1'; req_ns <= REQ; end if; end if; when REQ => req_ns <= REQ; if (nRW_reg = '0') then req_valid <= '1'; if (NPI_AddrAck = '1') then req_ns <= FETCH_REQ; end if; elsif (nRW_reg = '1' and size_reg = CONV_STD_LOGIC_VECTOR(1,RSW)) then req_valid <= '1'; if (NPI_AddrAck = '1') then req_WrData_reg_en <= '1'; req_ns <= WD_SINGLE; end if; elsif (nRW_reg = '1' and size_reg /= CONV_STD_LOGIC_VECTOR(1,RSW)) then burst_write_reg_en <= '1'; req_ns <= WD_BURST1; end if; when WD_SINGLE => req_ns <= WD_SINGLE; if (NPI_WrFIFO_AlmostFull = '0') then req_WrData_push <= '1'; req_fifo_pop <= '1'; req_ns <= FETCH_REQ; end if; if (req_WrData_wdAddr = '0') then req_WrData_BE <= "00001111"; else req_WrData_BE <= "11110000"; end if; when WD_BURST1 => req_ns <= WD_BURST1; if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; req_WrData_reg_en <= '1'; req_ns <= WD_BURST2; end if; when WD_BURST2 => req_ns <= WD_BURST2; if (req_fifo_empty_n = '1' and NPI_WrFIFO_AlmostFull = '0') then req_fifo_pop <= '1'; req_WrData_push <= '1'; if (burst_write_count /= "000001") then -- not last word req_ns <= WD_BURST1; else req_ns <= WD_BURST_REQ; end if; end if; when WD_BURST_REQ => req_ns <= WD_BURST_REQ; req_valid <= '1'; if (NPI_AddrAck = '1') then req_ns <= FETCH_REQ; end if; when others => null; end case; end process; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then req_WrData_low <= (others =>'0'); req_WrData_wdAddr <= '0'; burst_write_count <= (others =>'0'); elsif (NPI_clk'event and NPI_clk = '1') then if (req_WrData_reg_en = '1') then req_WrData_low <= req_fifo_dout_wr_data; req_WrData_wdAddr <= req_fifo_dout_req_address(2); end if; if (burst_write_reg_en = '1') then burst_write_count <= req_fifo_dout_req_size(RSW-1 downto RSW-6); elsif (req_WrData_push = '1') then burst_write_count <= burst_write_count-1; end if; end if; end process; -- below is the response (read data) part U_nfa_initials_buckets_if_rsp_fifo: component nfa_initials_buckets_if_ap_fifo generic map( DATA_WIDTH => RSP_FIFO_DATA_WIDTH, ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH, DEPTH => RSP_FIFO_DEPTH) port map( clk => NPI_clk, reset => NPI_reset, if_empty_n => rsp_fifo_empty_n, if_read => rsp_fifo_pop, if_dout => rsp_fifo_dout, if_full_n => rsp_fifo_full_n, if_write => rsp_fifo_push, if_din => rsp_fifo_din ); rsp_fifo_din(RSP_FIFO_DATA_WIDTH-1 downto 1) <= req_fifo_dout_req_size; rsp_fifo_din(0) <= req_fifo_dout_req_address(2); rdata_pop <= (not NPI_RdFIFO_Empty) and rd_fifo_full_n; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then rdata_pop_reg1 <= '0'; rdata_pop_reg2 <= '0'; elsif (NPI_clk'event and NPI_clk = '1') then rdata_pop_reg1 <= rdata_pop; rdata_pop_reg2 <= rdata_pop_reg1; end if; end process; process (NPI_RdFIFO_Latency, rdata_pop, rdata_pop_reg1, rdata_pop_reg2) begin if (NPI_RdFIFO_Latency = "00") then rd_fifo_push <= rdata_pop; elsif (NPI_RdFIFO_Latency = "01") then rd_fifo_push <= rdata_pop_reg1; else rd_fifo_push <= rdata_pop_reg2; end if; end process; rd_fifo_din <= NPI_RdFIFO_Data; -- 1. this fifo provide two 64w burst storage -- 2. with almost full signal for MPMC has potential 2 latency from pop to data -- 3. can't replace this fifo with asyn fifo which doesn't support almost_full U_nfa_initials_buckets_if_rd_fifo: component nfa_initials_buckets_if_ap_fifo_af generic map( DATA_WIDTH => C_PI_DATA_WIDTH, ADDR_WIDTH => 6, DEPTH => 64, ALMOST_FULL_MARGIN => 2) port map( clk => NPI_clk, reset => NPI_reset, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, -- this is almost_full signal if_write => rd_fifo_push, if_din => rd_fifo_din ); process(rd_fifo_dout) variable i,j : integer; begin -- change byte endian to big endian for i in 0 to C_PI_BE_WIDTH-1 loop j := C_PI_BE_WIDTH-1 -i; rd_fifo_dout_endian(i*8+7 downto i*8) <= rd_fifo_dout(j*8+7 downto j*8); end loop; end process; p_rdata_state_trans: process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then rdata_cs <= RESET; elsif (NPI_clk'event and NPI_clk = '1') then rdata_cs <= rdata_ns; end if; end process; p_rdata_ns_gen: process (rdata_cs, NPI_InitDone, rsp_fifo_empty_n, burst_read_count) begin rdata_ns <= RESET; case rdata_cs is when RESET => if (NPI_InitDone = '1') then rdata_ns <= IDLE; end if; when IDLE => rdata_ns <= IDLE; if (rsp_fifo_empty_n = '1') then rdata_ns <= RDATA; end if; when RDATA => rdata_ns <= RDATA; if (burst_read_count = CONV_STD_LOGIC_VECTOR(0,RSW)) then rdata_ns <= IDLE; end if; when others => null; end case; end process; p_rdata_state_output: process (rdata_cs, rsp_fifo_empty_n, burst_read_count, rd_fifo_empty_n, rd_user_fifo_full_n) begin burst_read_reg_en <= '0'; rd_fifo_pop <= '0'; rd_user_fifo_push <= '0'; rsp_fifo_pop <= '0'; case rdata_cs is when RESET => null; when IDLE => if (rsp_fifo_empty_n = '1') then burst_read_reg_en <= '1'; end if; when RDATA => if (burst_read_count /= CONV_STD_LOGIC_VECTOR(0,RSW) and rd_fifo_empty_n = '1' and rd_user_fifo_full_n = '1') then if (burst_read_count(0) = '1') then rd_fifo_pop <= '1'; end if; rd_user_fifo_push <= '1'; end if; if (burst_read_count = CONV_STD_LOGIC_VECTOR(0, RSW) ) then rsp_fifo_pop <= '1'; end if; when others => null; end case; end process; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then burst_read_count <= (others =>'0'); elsif (NPI_clk'event and NPI_clk = '1') then if (burst_read_reg_en = '1') then burst_read_count <= rsp_fifo_dout(RSP_FIFO_DATA_WIDTH-1 downto RSP_FIFO_DATA_WIDTH-RSW); burst_read_wdAddr <= rsp_fifo_dout(0); elsif (rd_user_fifo_push = '1') then burst_read_count <= burst_read_count -1; burst_read_wdAddr <= not burst_read_wdAddr; end if; end if; end process; rd_user_fifo_din <= rd_fifo_dout_endian(USER_DATA_WIDTH-1 downto 0) when burst_read_wdAddr = '1' else rd_fifo_dout_endian(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH); U_nfa_initials_buckets_if_rd_user_fifo: component nfa_initials_buckets_if_async_fifo generic map( DATA_WIDTH => USER_DATA_WIDTH, ADDR_WIDTH => 3, DEPTH => 8) port map( clk_w => NPI_clk, clk_r => ap_clk, reset => NPI_reset, if_empty_n => rd_user_fifo_empty_n, if_read => rd_user_fifo_pop, if_dout => rd_user_fifo_dout, if_full_n => rd_user_fifo_full_n, if_write => rd_user_fifo_push, if_din => rd_user_fifo_din ); end arch_nfa_initials_buckets_if;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/simhdl/vhdl/nfa_forward_buckets_if_async_fifo.vhd
1
5843
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nfa_forward_buckets_if_async_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk_w : in std_logic; clk_r : in std_logic; reset : in std_logic; if_din : in std_logic_vector(DATA_WIDTH - 1 downto 0); if_full_n : out std_logic; if_write_ce: in std_logic := '1'; if_write : in std_logic; if_dout : out std_logic_vector(DATA_WIDTH - 1 downto 0); if_empty_n : out std_logic; if_read_ce : in std_logic := '1'; if_read : in std_logic); function calc_addr_width(x : integer) return integer is begin if (x < 1) then return 1; else return x; end if; end function; end entity; architecture rtl of nfa_forward_buckets_if_async_fifo is constant DEPTH_BITS : integer := calc_addr_width(ADDR_WIDTH); constant REAL_DEPTH : integer := 2 ** DEPTH_BITS; constant ALL_ONE : unsigned(DEPTH_BITS downto 0) := (others => '1'); constant MASK : std_logic_vector(DEPTH_BITS downto 0) := std_logic_vector(ALL_ONE sll (DEPTH_BITS - 1)); type memtype is array (0 to REAL_DEPTH - 1) of std_logic_vector(DATA_WIDTH - 1 downto 0); signal mem : memtype; signal full : std_logic := '0'; signal empty : std_logic := '1'; signal full_next : std_logic; signal empty_next : std_logic; signal wraddr_bin : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal rdaddr_bin : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal wraddr : std_logic_vector(DEPTH_BITS - 1 downto 0); signal rdaddr : std_logic_vector(DEPTH_BITS - 1 downto 0); signal wraddr_bin_next : std_logic_vector(DEPTH_BITS downto 0); signal rdaddr_bin_next : std_logic_vector(DEPTH_BITS downto 0); signal wraddr_gray_next : std_logic_vector(DEPTH_BITS downto 0); signal rdaddr_gray_next : std_logic_vector(DEPTH_BITS downto 0); signal wraddr_gray_sync0 : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal rdaddr_gray_sync0 : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal wraddr_gray_sync1 : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal rdaddr_gray_sync1 : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal wraddr_gray_sync2 : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal rdaddr_gray_sync2 : std_logic_vector(DEPTH_BITS downto 0) := (others => '0'); signal dout_buf : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0'); attribute ram_style : string; attribute ram_style of mem : signal is "block"; begin if_full_n <= not full; if_empty_n <= not empty; if_dout <= dout_buf; full_next <= '1' when (wraddr_gray_next = (rdaddr_gray_sync2 xor MASK)) else '0'; empty_next <= '1' when (rdaddr_gray_next = wraddr_gray_sync2) else '0'; wraddr <= wraddr_bin(DEPTH_BITS - 1 downto 0); rdaddr <= rdaddr_bin_next(DEPTH_BITS - 1 downto 0); wraddr_bin_next <= std_logic_vector(unsigned(wraddr_bin) + 1) when (full = '0' and if_write = '1') else wraddr_bin; rdaddr_bin_next <= std_logic_vector(unsigned(rdaddr_bin) + 1) when (empty = '0' and if_read = '1') else rdaddr_bin; wraddr_gray_next <= wraddr_bin_next xor std_logic_vector(unsigned(wraddr_bin_next) srl 1); rdaddr_gray_next <= rdaddr_bin_next xor std_logic_vector(unsigned(rdaddr_bin_next) srl 1); -- full, wraddr_bin, wraddr_gray_sync0, rdaddr_gray_sync1, rdaddr_gray_sync2 -- @ clk_w domain process(clk_w, reset) begin if (reset = '1') then full <= '0'; wraddr_bin <= (others => '0'); wraddr_gray_sync0 <= (others => '0'); rdaddr_gray_sync1 <= (others => '0'); rdaddr_gray_sync2 <= (others => '0'); elsif (clk_w'event and clk_w = '1' and if_write_ce = '1') then full <= full_next; wraddr_bin <= wraddr_bin_next; wraddr_gray_sync0 <= wraddr_gray_next; rdaddr_gray_sync1 <= rdaddr_gray_sync0; rdaddr_gray_sync2 <= rdaddr_gray_sync1; end if; end process; -- empty, rdaddr_bin, rdaddr_gray_sync0, wraddr_gray_sync1, wraddr_gray_sync2 -- @ clk_r domain process(clk_r, reset) begin if (reset = '1') then empty <= '1'; rdaddr_bin <= (others => '0'); rdaddr_gray_sync0 <= (others => '0'); wraddr_gray_sync1 <= (others => '0'); wraddr_gray_sync2 <= (others => '0'); elsif (clk_r'event and clk_r = '1' and if_read_ce = '1') then empty <= empty_next; rdaddr_bin <= rdaddr_bin_next; rdaddr_gray_sync0 <= rdaddr_gray_next; wraddr_gray_sync1 <= wraddr_gray_sync0; wraddr_gray_sync2 <= wraddr_gray_sync1; end if; end process; -- write mem process(clk_w) begin if (clk_w'event and clk_w = '1' and if_write_ce = '1') then if (full = '0' and if_write = '1') then mem(to_integer(unsigned(wraddr))) <= if_din; end if; end if; end process; -- read mem process(clk_r) begin if (clk_r'event and clk_r = '1' and if_read_ce = '1') then dout_buf <= mem(to_integer(unsigned(rdaddr))); end if; end process; end architecture;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_pcie/hdl/system_sram_wrapper.vhd
1
18426
------------------------------------------------------------------------------- -- system_sram_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library xps_mch_emc_v3_01_a; use xps_mch_emc_v3_01_a.all; entity system_sram_wrapper is port ( MCH_SPLB_Clk : in std_logic; RdClk : in std_logic; MCH_SPLB_Rst : in std_logic; MCH0_Access_Control : in std_logic; MCH0_Access_Data : in std_logic_vector(0 to 31); MCH0_Access_Write : in std_logic; MCH0_Access_Full : out std_logic; MCH0_ReadData_Control : out std_logic; MCH0_ReadData_Data : out std_logic_vector(0 to 31); MCH0_ReadData_Read : in std_logic; MCH0_ReadData_Exists : out std_logic; MCH1_Access_Control : in std_logic; MCH1_Access_Data : in std_logic_vector(0 to 31); MCH1_Access_Write : in std_logic; MCH1_Access_Full : out std_logic; MCH1_ReadData_Control : out std_logic; MCH1_ReadData_Data : out std_logic_vector(0 to 31); MCH1_ReadData_Read : in std_logic; MCH1_ReadData_Exists : out std_logic; MCH2_Access_Control : in std_logic; MCH2_Access_Data : in std_logic_vector(0 to 31); MCH2_Access_Write : in std_logic; MCH2_Access_Full : out std_logic; MCH2_ReadData_Control : out std_logic; MCH2_ReadData_Data : out std_logic_vector(0 to 31); MCH2_ReadData_Read : in std_logic; MCH2_ReadData_Exists : out std_logic; MCH3_Access_Control : in std_logic; MCH3_Access_Data : in std_logic_vector(0 to 31); MCH3_Access_Write : in std_logic; MCH3_Access_Full : out std_logic; MCH3_ReadData_Control : out std_logic; MCH3_ReadData_Data : out std_logic_vector(0 to 31); MCH3_ReadData_Read : in std_logic; MCH3_ReadData_Exists : out std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to 2); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to 7); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to 63); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to 63); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to 5); Sl_MWrErr : out std_logic_vector(0 to 5); Sl_MRdErr : out std_logic_vector(0 to 5); Sl_MIRQ : out std_logic_vector(0 to 5); Mem_DQ_I : in std_logic_vector(0 to 31); Mem_DQ_O : out std_logic_vector(0 to 31); Mem_DQ_T : out std_logic_vector(0 to 31); Mem_A : out std_logic_vector(0 to 31); Mem_RPN : out std_logic; Mem_CEN : out std_logic_vector(0 to 0); Mem_OEN : out std_logic_vector(0 to 0); Mem_WEN : out std_logic; Mem_QWEN : out std_logic_vector(0 to 3); Mem_BEN : out std_logic_vector(0 to 3); Mem_CE : out std_logic_vector(0 to 0); Mem_ADV_LDN : out std_logic; Mem_LBON : out std_logic; Mem_CKEN : out std_logic; Mem_RNW : out std_logic ); attribute x_core_info : STRING; attribute x_core_info of system_sram_wrapper : entity is "xps_mch_emc_v3_01_a"; end system_sram_wrapper; architecture STRUCTURE of system_sram_wrapper is component xps_mch_emc is generic ( C_FAMILY : STRING; C_NUM_BANKS_MEM : INTEGER; C_NUM_CHANNELS : INTEGER; C_PRIORITY_MODE : INTEGER; C_INCLUDE_PLB_IPIF : INTEGER; C_INCLUDE_WRBUF : INTEGER; C_SPLB_MID_WIDTH : INTEGER; C_SPLB_NUM_MASTERS : INTEGER; C_SPLB_P2P : INTEGER; C_SPLB_DWIDTH : INTEGER; C_MCH_SPLB_AWIDTH : INTEGER; C_SPLB_SMALLEST_MASTER : INTEGER; C_MCH_NATIVE_DWIDTH : INTEGER; C_MCH_SPLB_CLK_PERIOD_PS : INTEGER; C_MEM0_BASEADDR : std_logic_vector; C_MEM0_HIGHADDR : std_logic_vector; C_MEM1_BASEADDR : std_logic_vector; C_MEM1_HIGHADDR : std_logic_vector; C_MEM2_BASEADDR : std_logic_vector; C_MEM2_HIGHADDR : std_logic_vector; C_MEM3_BASEADDR : std_logic_vector; C_MEM3_HIGHADDR : std_logic_vector; C_PAGEMODE_FLASH_0 : INTEGER; C_PAGEMODE_FLASH_1 : INTEGER; C_PAGEMODE_FLASH_2 : INTEGER; C_PAGEMODE_FLASH_3 : INTEGER; C_INCLUDE_NEGEDGE_IOREGS : INTEGER; C_MEM0_WIDTH : INTEGER; C_MEM1_WIDTH : INTEGER; C_MEM2_WIDTH : INTEGER; C_MEM3_WIDTH : INTEGER; C_MAX_MEM_WIDTH : INTEGER; C_INCLUDE_DATAWIDTH_MATCHING_0 : INTEGER; C_INCLUDE_DATAWIDTH_MATCHING_1 : INTEGER; C_INCLUDE_DATAWIDTH_MATCHING_2 : INTEGER; C_INCLUDE_DATAWIDTH_MATCHING_3 : INTEGER; C_SYNCH_MEM_0 : INTEGER; C_SYNCH_PIPEDELAY_0 : INTEGER; C_TCEDV_PS_MEM_0 : INTEGER; C_TAVDV_PS_MEM_0 : INTEGER; C_TPACC_PS_FLASH_0 : INTEGER; C_THZCE_PS_MEM_0 : INTEGER; C_THZOE_PS_MEM_0 : INTEGER; C_TWC_PS_MEM_0 : INTEGER; C_TWP_PS_MEM_0 : INTEGER; C_TLZWE_PS_MEM_0 : INTEGER; C_SYNCH_MEM_1 : INTEGER; C_SYNCH_PIPEDELAY_1 : INTEGER; C_TCEDV_PS_MEM_1 : INTEGER; C_TAVDV_PS_MEM_1 : INTEGER; C_TPACC_PS_FLASH_1 : INTEGER; C_THZCE_PS_MEM_1 : INTEGER; C_THZOE_PS_MEM_1 : INTEGER; C_TWC_PS_MEM_1 : INTEGER; C_TWP_PS_MEM_1 : INTEGER; C_TLZWE_PS_MEM_1 : INTEGER; C_SYNCH_MEM_2 : INTEGER; C_SYNCH_PIPEDELAY_2 : INTEGER; C_TCEDV_PS_MEM_2 : INTEGER; C_TAVDV_PS_MEM_2 : INTEGER; C_TPACC_PS_FLASH_2 : INTEGER; C_THZCE_PS_MEM_2 : INTEGER; C_THZOE_PS_MEM_2 : INTEGER; C_TWC_PS_MEM_2 : INTEGER; C_TWP_PS_MEM_2 : INTEGER; C_TLZWE_PS_MEM_2 : INTEGER; C_SYNCH_MEM_3 : INTEGER; C_SYNCH_PIPEDELAY_3 : INTEGER; C_TCEDV_PS_MEM_3 : INTEGER; C_TAVDV_PS_MEM_3 : INTEGER; C_TPACC_PS_FLASH_3 : INTEGER; C_THZCE_PS_MEM_3 : INTEGER; C_THZOE_PS_MEM_3 : INTEGER; C_TWC_PS_MEM_3 : INTEGER; C_TWP_PS_MEM_3 : INTEGER; C_TLZWE_PS_MEM_3 : INTEGER; C_MCH0_PROTOCOL : INTEGER; C_MCH0_ACCESSBUF_DEPTH : INTEGER; C_MCH0_RDDATABUF_DEPTH : INTEGER; C_MCH1_PROTOCOL : INTEGER; C_MCH1_ACCESSBUF_DEPTH : INTEGER; C_MCH1_RDDATABUF_DEPTH : INTEGER; C_MCH2_PROTOCOL : INTEGER; C_MCH2_ACCESSBUF_DEPTH : INTEGER; C_MCH2_RDDATABUF_DEPTH : INTEGER; C_MCH3_PROTOCOL : INTEGER; C_MCH3_ACCESSBUF_DEPTH : INTEGER; C_MCH3_RDDATABUF_DEPTH : INTEGER; C_XCL0_LINESIZE : INTEGER; C_XCL0_WRITEXFER : INTEGER; C_XCL1_LINESIZE : INTEGER; C_XCL1_WRITEXFER : INTEGER; C_XCL2_LINESIZE : INTEGER; C_XCL2_WRITEXFER : INTEGER; C_XCL3_LINESIZE : INTEGER; C_XCL3_WRITEXFER : INTEGER ); port ( MCH_SPLB_Clk : in std_logic; RdClk : in std_logic; MCH_SPLB_Rst : in std_logic; MCH0_Access_Control : in std_logic; MCH0_Access_Data : in std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH0_Access_Write : in std_logic; MCH0_Access_Full : out std_logic; MCH0_ReadData_Control : out std_logic; MCH0_ReadData_Data : out std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH0_ReadData_Read : in std_logic; MCH0_ReadData_Exists : out std_logic; MCH1_Access_Control : in std_logic; MCH1_Access_Data : in std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH1_Access_Write : in std_logic; MCH1_Access_Full : out std_logic; MCH1_ReadData_Control : out std_logic; MCH1_ReadData_Data : out std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH1_ReadData_Read : in std_logic; MCH1_ReadData_Exists : out std_logic; MCH2_Access_Control : in std_logic; MCH2_Access_Data : in std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH2_Access_Write : in std_logic; MCH2_Access_Full : out std_logic; MCH2_ReadData_Control : out std_logic; MCH2_ReadData_Data : out std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH2_ReadData_Read : in std_logic; MCH2_ReadData_Exists : out std_logic; MCH3_Access_Control : in std_logic; MCH3_Access_Data : in std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH3_Access_Write : in std_logic; MCH3_Access_Full : out std_logic; MCH3_ReadData_Control : out std_logic; MCH3_ReadData_Data : out std_logic_vector(0 to (C_MCH_NATIVE_DWIDTH-1)); MCH3_ReadData_Read : in std_logic; MCH3_ReadData_Exists : out std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to (C_SPLB_MID_WIDTH-1)); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1)); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1)); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1)); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Mem_DQ_I : in std_logic_vector(0 to (C_MAX_MEM_WIDTH-1)); Mem_DQ_O : out std_logic_vector(0 to (C_MAX_MEM_WIDTH-1)); Mem_DQ_T : out std_logic_vector(0 to (C_MAX_MEM_WIDTH-1)); Mem_A : out std_logic_vector(0 to (C_MCH_SPLB_AWIDTH-1)); Mem_RPN : out std_logic; Mem_CEN : out std_logic_vector(0 to (C_NUM_BANKS_MEM-1)); Mem_OEN : out std_logic_vector(0 to (C_NUM_BANKS_MEM-1)); Mem_WEN : out std_logic; Mem_QWEN : out std_logic_vector(0 to ((C_MAX_MEM_WIDTH/8)-1)); Mem_BEN : out std_logic_vector(0 to ((C_MAX_MEM_WIDTH/8)-1)); Mem_CE : out std_logic_vector(0 to (C_NUM_BANKS_MEM-1)); Mem_ADV_LDN : out std_logic; Mem_LBON : out std_logic; Mem_CKEN : out std_logic; Mem_RNW : out std_logic ); end component; begin SRAM : xps_mch_emc generic map ( C_FAMILY => "virtex5", C_NUM_BANKS_MEM => 1, C_NUM_CHANNELS => 0, C_PRIORITY_MODE => 0, C_INCLUDE_PLB_IPIF => 1, C_INCLUDE_WRBUF => 1, C_SPLB_MID_WIDTH => 3, C_SPLB_NUM_MASTERS => 6, C_SPLB_P2P => 0, C_SPLB_DWIDTH => 64, C_MCH_SPLB_AWIDTH => 32, C_SPLB_SMALLEST_MASTER => 32, C_MCH_NATIVE_DWIDTH => 32, C_MCH_SPLB_CLK_PERIOD_PS => 8000, C_MEM0_BASEADDR => X"9af00000", C_MEM0_HIGHADDR => X"9affffff", C_MEM1_BASEADDR => X"ffffffff", C_MEM1_HIGHADDR => X"00000000", C_MEM2_BASEADDR => X"ffffffff", C_MEM2_HIGHADDR => X"00000000", C_MEM3_BASEADDR => X"ffffffff", C_MEM3_HIGHADDR => X"00000000", C_PAGEMODE_FLASH_0 => 0, C_PAGEMODE_FLASH_1 => 0, C_PAGEMODE_FLASH_2 => 0, C_PAGEMODE_FLASH_3 => 0, C_INCLUDE_NEGEDGE_IOREGS => 0, C_MEM0_WIDTH => 32, C_MEM1_WIDTH => 32, C_MEM2_WIDTH => 32, C_MEM3_WIDTH => 32, C_MAX_MEM_WIDTH => 32, C_INCLUDE_DATAWIDTH_MATCHING_0 => 0, C_INCLUDE_DATAWIDTH_MATCHING_1 => 0, C_INCLUDE_DATAWIDTH_MATCHING_2 => 0, C_INCLUDE_DATAWIDTH_MATCHING_3 => 0, C_SYNCH_MEM_0 => 1, C_SYNCH_PIPEDELAY_0 => 2, C_TCEDV_PS_MEM_0 => 0, C_TAVDV_PS_MEM_0 => 0, C_TPACC_PS_FLASH_0 => 25000, C_THZCE_PS_MEM_0 => 0, C_THZOE_PS_MEM_0 => 0, C_TWC_PS_MEM_0 => 0, C_TWP_PS_MEM_0 => 0, C_TLZWE_PS_MEM_0 => 0, C_SYNCH_MEM_1 => 0, C_SYNCH_PIPEDELAY_1 => 2, C_TCEDV_PS_MEM_1 => 15000, C_TAVDV_PS_MEM_1 => 15000, C_TPACC_PS_FLASH_1 => 25000, C_THZCE_PS_MEM_1 => 7000, C_THZOE_PS_MEM_1 => 7000, C_TWC_PS_MEM_1 => 15000, C_TWP_PS_MEM_1 => 12000, C_TLZWE_PS_MEM_1 => 0, C_SYNCH_MEM_2 => 0, C_SYNCH_PIPEDELAY_2 => 2, C_TCEDV_PS_MEM_2 => 15000, C_TAVDV_PS_MEM_2 => 15000, C_TPACC_PS_FLASH_2 => 25000, C_THZCE_PS_MEM_2 => 7000, C_THZOE_PS_MEM_2 => 7000, C_TWC_PS_MEM_2 => 15000, C_TWP_PS_MEM_2 => 12000, C_TLZWE_PS_MEM_2 => 0, C_SYNCH_MEM_3 => 0, C_SYNCH_PIPEDELAY_3 => 2, C_TCEDV_PS_MEM_3 => 15000, C_TAVDV_PS_MEM_3 => 15000, C_TPACC_PS_FLASH_3 => 25000, C_THZCE_PS_MEM_3 => 7000, C_THZOE_PS_MEM_3 => 7000, C_TWC_PS_MEM_3 => 15000, C_TWP_PS_MEM_3 => 12000, C_TLZWE_PS_MEM_3 => 0, C_MCH0_PROTOCOL => 0, C_MCH0_ACCESSBUF_DEPTH => 16, C_MCH0_RDDATABUF_DEPTH => 16, C_MCH1_PROTOCOL => 0, C_MCH1_ACCESSBUF_DEPTH => 16, C_MCH1_RDDATABUF_DEPTH => 16, C_MCH2_PROTOCOL => 0, C_MCH2_ACCESSBUF_DEPTH => 16, C_MCH2_RDDATABUF_DEPTH => 16, C_MCH3_PROTOCOL => 0, C_MCH3_ACCESSBUF_DEPTH => 16, C_MCH3_RDDATABUF_DEPTH => 16, C_XCL0_LINESIZE => 4, C_XCL0_WRITEXFER => 1, C_XCL1_LINESIZE => 4, C_XCL1_WRITEXFER => 1, C_XCL2_LINESIZE => 4, C_XCL2_WRITEXFER => 1, C_XCL3_LINESIZE => 4, C_XCL3_WRITEXFER => 1 ) port map ( MCH_SPLB_Clk => MCH_SPLB_Clk, RdClk => RdClk, MCH_SPLB_Rst => MCH_SPLB_Rst, MCH0_Access_Control => MCH0_Access_Control, MCH0_Access_Data => MCH0_Access_Data, MCH0_Access_Write => MCH0_Access_Write, MCH0_Access_Full => MCH0_Access_Full, MCH0_ReadData_Control => MCH0_ReadData_Control, MCH0_ReadData_Data => MCH0_ReadData_Data, MCH0_ReadData_Read => MCH0_ReadData_Read, MCH0_ReadData_Exists => MCH0_ReadData_Exists, MCH1_Access_Control => MCH1_Access_Control, MCH1_Access_Data => MCH1_Access_Data, MCH1_Access_Write => MCH1_Access_Write, MCH1_Access_Full => MCH1_Access_Full, MCH1_ReadData_Control => MCH1_ReadData_Control, MCH1_ReadData_Data => MCH1_ReadData_Data, MCH1_ReadData_Read => MCH1_ReadData_Read, MCH1_ReadData_Exists => MCH1_ReadData_Exists, MCH2_Access_Control => MCH2_Access_Control, MCH2_Access_Data => MCH2_Access_Data, MCH2_Access_Write => MCH2_Access_Write, MCH2_Access_Full => MCH2_Access_Full, MCH2_ReadData_Control => MCH2_ReadData_Control, MCH2_ReadData_Data => MCH2_ReadData_Data, MCH2_ReadData_Read => MCH2_ReadData_Read, MCH2_ReadData_Exists => MCH2_ReadData_Exists, MCH3_Access_Control => MCH3_Access_Control, MCH3_Access_Data => MCH3_Access_Data, MCH3_Access_Write => MCH3_Access_Write, MCH3_Access_Full => MCH3_Access_Full, MCH3_ReadData_Control => MCH3_ReadData_Control, MCH3_ReadData_Data => MCH3_ReadData_Data, MCH3_ReadData_Read => MCH3_ReadData_Read, MCH3_ReadData_Exists => MCH3_ReadData_Exists, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Mem_DQ_I => Mem_DQ_I, Mem_DQ_O => Mem_DQ_O, Mem_DQ_T => Mem_DQ_T, Mem_A => Mem_A, Mem_RPN => Mem_RPN, Mem_CEN => Mem_CEN, Mem_OEN => Mem_OEN, Mem_WEN => Mem_WEN, Mem_QWEN => Mem_QWEN, Mem_BEN => Mem_BEN, Mem_CE => Mem_CE, Mem_ADV_LDN => Mem_ADV_LDN, Mem_LBON => Mem_LBON, Mem_CKEN => Mem_CKEN, Mem_RNW => Mem_RNW ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
solution_spartan3/impl/vhdl/nfa_accept_samples_generic_hw_add_32ns_32ns_32_8.vhd
6
15868
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(31 downto 0); b: in std_logic_vector(31 downto 0); s: out std_logic_vector(31 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0 is component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(31 downto 0); signal b_reg : std_logic_vector(31 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(15 downto 12); signal b3_cb : std_logic_vector(15 downto 12); signal a4_cb : std_logic_vector(19 downto 16); signal b4_cb : std_logic_vector(19 downto 16); signal a5_cb : std_logic_vector(23 downto 20); signal b5_cb : std_logic_vector(23 downto 20); signal a6_cb : std_logic_vector(27 downto 24); signal b6_cb : std_logic_vector(27 downto 24); signal a7_cb : std_logic_vector(31 downto 28); signal b7_cb : std_logic_vector(31 downto 28); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; type ramtypei3 is array (3 downto 0) of std_logic_vector(3 downto 0); signal a4_cb_regi4 : ramtypei3; signal b4_cb_regi4 : ramtypei3; type ramtypei4 is array (4 downto 0) of std_logic_vector(3 downto 0); signal a5_cb_regi5 : ramtypei4; signal b5_cb_regi5 : ramtypei4; type ramtypei5 is array (5 downto 0) of std_logic_vector(3 downto 0); signal a6_cb_regi6 : ramtypei5; signal b6_cb_regi6 : ramtypei5; type ramtypei6 is array (6 downto 0) of std_logic_vector(3 downto 0); signal a7_cb_regi7 : ramtypei6; signal b7_cb_regi7 : ramtypei6; -- wires for each full adder sum signal fas : std_logic_vector(31 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout4_co4 : std_logic_vector (0 downto 0); signal faccout5_co5 : std_logic_vector (0 downto 0); signal faccout6_co6 : std_logic_vector (0 downto 0); signal faccout7_co7 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); signal faccout3_co3_reg : std_logic_vector (0 downto 0); signal faccout4_co4_reg : std_logic_vector (0 downto 0); signal faccout5_co5_reg : std_logic_vector (0 downto 0); signal faccout6_co6_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo6 is array (6 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo6; type ramtypeo5 is array (5 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo5; type ramtypeo4 is array (4 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo4; type ramtypeo3 is array (3 downto 0) of std_logic_vector(3 downto 0); signal s3_ca_rego3 : ramtypeo3; type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s4_ca_rego4 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s5_ca_rego5 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s6_ca_rego6 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(31 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(15 downto 12); b3_cb <= b_reg(15 downto 12); a4_cb <= a_reg(19 downto 16); b4_cb <= b_reg(19 downto 16); a5_cb <= a_reg(23 downto 20); b5_cb <= b_reg(23 downto 20); a6_cb <= a_reg(27 downto 24); b6_cb <= b_reg(27 downto 24); a7_cb <= a_reg(31 downto 28); b7_cb <= b_reg(31 downto 28); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a4_cb_regi4 (0) <= a4_cb; b4_cb_regi4 (0) <= b4_cb; a5_cb_regi5 (0) <= a5_cb; b5_cb_regi5 (0) <= b5_cb; a6_cb_regi6 (0) <= a6_cb; b6_cb_regi6 (0) <= b6_cb; a7_cb_regi7 (0) <= a7_cb; b7_cb_regi7 (0) <= b7_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a4_cb_regi4 (1) <= a4_cb_regi4 (0); b4_cb_regi4 (1) <= b4_cb_regi4 (0); a5_cb_regi5 (1) <= a5_cb_regi5 (0); b5_cb_regi5 (1) <= b5_cb_regi5 (0); a6_cb_regi6 (1) <= a6_cb_regi6 (0); b6_cb_regi6 (1) <= b6_cb_regi6 (0); a7_cb_regi7 (1) <= a7_cb_regi7 (0); b7_cb_regi7 (1) <= b7_cb_regi7 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); a4_cb_regi4 (2) <= a4_cb_regi4 (1); b4_cb_regi4 (2) <= b4_cb_regi4 (1); a5_cb_regi5 (2) <= a5_cb_regi5 (1); b5_cb_regi5 (2) <= b5_cb_regi5 (1); a6_cb_regi6 (2) <= a6_cb_regi6 (1); b6_cb_regi6 (2) <= b6_cb_regi6 (1); a7_cb_regi7 (2) <= a7_cb_regi7 (1); b7_cb_regi7 (2) <= b7_cb_regi7 (1); a4_cb_regi4 (3) <= a4_cb_regi4 (2); b4_cb_regi4 (3) <= b4_cb_regi4 (2); a5_cb_regi5 (3) <= a5_cb_regi5 (2); b5_cb_regi5 (3) <= b5_cb_regi5 (2); a6_cb_regi6 (3) <= a6_cb_regi6 (2); b6_cb_regi6 (3) <= b6_cb_regi6 (2); a7_cb_regi7 (3) <= a7_cb_regi7 (2); b7_cb_regi7 (3) <= b7_cb_regi7 (2); a5_cb_regi5 (4) <= a5_cb_regi5 (3); b5_cb_regi5 (4) <= b5_cb_regi5 (3); a6_cb_regi6 (4) <= a6_cb_regi6 (3); b6_cb_regi6 (4) <= b6_cb_regi6 (3); a7_cb_regi7 (4) <= a7_cb_regi7 (3); b7_cb_regi7 (4) <= b7_cb_regi7 (3); a6_cb_regi6 (5) <= a6_cb_regi6 (4); b6_cb_regi6 (5) <= b6_cb_regi6 (4); a7_cb_regi7 (5) <= a7_cb_regi7 (4); b7_cb_regi7 (5) <= b7_cb_regi7 (4); a7_cb_regi7 (6) <= a7_cb_regi7 (5); b7_cb_regi7 (6) <= b7_cb_regi7 (5); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; faccout3_co3_reg <= faccout3_co3; faccout4_co4_reg <= faccout4_co4; faccout5_co5_reg <= faccout5_co5; faccout6_co6_reg <= faccout6_co6; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(15 downto 12), facout => faccout3_co3); u4 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a4_cb_regi4(3), fab => b4_cb_regi4(3), facin => faccout3_co3_reg, fas => fas(19 downto 16), facout => faccout4_co4); u5 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a5_cb_regi5(4), fab => b5_cb_regi5(4), facin => faccout4_co4_reg, fas => fas(23 downto 20), facout => faccout5_co5); u6 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder port map (faa => a6_cb_regi6(5), fab => b6_cb_regi6(5), facin => faccout5_co5_reg, fas => fas(27 downto 24), facout => faccout6_co6); u7 : nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder_f port map (faa => a7_cb_regi7(6), fab => b7_cb_regi7(6), facin => faccout6_co6_reg, fas => fas(31 downto 28), facout => faccout7_co7); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s3_ca_rego3 (0) <= fas(15 downto 12); s4_ca_rego4 (0) <= fas(19 downto 16); s5_ca_rego5 (0) <= fas(23 downto 20); s6_ca_rego6 (0) <= fas(27 downto 24); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s0_ca_rego0 (3) <= s0_ca_rego0 (2); s0_ca_rego0 (4) <= s0_ca_rego0 (3); s0_ca_rego0 (5) <= s0_ca_rego0 (4); s0_ca_rego0 (6) <= s0_ca_rego0 (5); s1_ca_rego1 (1) <= s1_ca_rego1 (0); s1_ca_rego1 (2) <= s1_ca_rego1 (1); s1_ca_rego1 (3) <= s1_ca_rego1 (2); s1_ca_rego1 (4) <= s1_ca_rego1 (3); s1_ca_rego1 (5) <= s1_ca_rego1 (4); s2_ca_rego2 (1) <= s2_ca_rego2 (0); s2_ca_rego2 (2) <= s2_ca_rego2 (1); s2_ca_rego2 (3) <= s2_ca_rego2 (2); s2_ca_rego2 (4) <= s2_ca_rego2 (3); s3_ca_rego3 (1) <= s3_ca_rego3 (0); s3_ca_rego3 (2) <= s3_ca_rego3 (1); s3_ca_rego3 (3) <= s3_ca_rego3 (2); s4_ca_rego4 (1) <= s4_ca_rego4 (0); s4_ca_rego4 (2) <= s4_ca_rego4 (1); s5_ca_rego5 (1) <= s5_ca_rego5 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(6); s_tmp(7 downto 4) <= s1_ca_rego1(5); s_tmp(11 downto 8) <= s2_ca_rego2(4); s_tmp(15 downto 12) <= s3_ca_rego3(3); s_tmp(19 downto 16) <= s4_ca_rego4(2); s_tmp(23 downto 20) <= s5_ca_rego5(1); s_tmp(27 downto 24) <= s6_ca_rego6(0); s_tmp(31 downto 28) <= fas(31 downto 28); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder_f is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 is component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0_U : component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_AddSubnS_0 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/impl/vhdl/bitset_next.vhd
1
25480
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity bitset_next is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (31 downto 0); r_bit : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket_index : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (0 downto 0) ); end; architecture behav of bitset_next is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_true : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal grp_p_bsf32_hw_fu_118_ap_return : STD_LOGIC_VECTOR (4 downto 0); signal reg_123 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_5_fu_143_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_9_1_fu_148_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_11_1_fu_153_p2 : STD_LOGIC_VECTOR (0 downto 0); signal r_bit_read_reg_196 : STD_LOGIC_VECTOR (7 downto 0); signal p_read_1_reg_202 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_202_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_fu_127_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_reg_210 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_210_pp0_it1 : STD_LOGIC_VECTOR (1 downto 0); signal bus_assign_fu_137_p2 : STD_LOGIC_VECTOR (31 downto 0); signal bus_assign_reg_216 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_bus_assign_reg_216_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_5_reg_223 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_9_1_reg_227 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_11_1_reg_231 : STD_LOGIC_VECTOR (0 downto 0); signal grp_p_bsf32_hw_fu_118_bus_r : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_write_assign_phi_fu_58_p8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal agg_result_end_write_assign_phi_fu_73_p8 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2 : STD_LOGIC_VECTOR (1 downto 0); signal agg_result_bucket_index_write_assign_phi_fu_91_p8 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it1 : STD_LOGIC_VECTOR (1 downto 0); signal agg_result_bit_write_assign_trunc3_ext_fu_163_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2 : STD_LOGIC_VECTOR (7 downto 0); signal agg_result_bit_write_assign_phi_fu_107_p8 : STD_LOGIC_VECTOR (7 downto 0); signal agg_result_bit_write_assign_trunc_ext_fu_158_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it1 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_3_fu_131_p2 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_index_write_assign_cast_fu_168_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_113 : BOOLEAN; signal ap_sig_bdd_104 : BOOLEAN; signal ap_sig_bdd_121 : BOOLEAN; signal ap_sig_bdd_125 : BOOLEAN; signal ap_sig_bdd_61 : BOOLEAN; signal ap_sig_bdd_73 : BOOLEAN; signal ap_sig_bdd_59 : BOOLEAN; component p_bsf32_hw IS port ( bus_r : IN STD_LOGIC_VECTOR (31 downto 0); ap_return : OUT STD_LOGIC_VECTOR (4 downto 0) ); end component; begin grp_p_bsf32_hw_fu_118 : component p_bsf32_hw port map ( bus_r => grp_p_bsf32_hw_fu_118_bus_r, ap_return => grp_p_bsf32_hw_fu_118_ap_return); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2 assign process. -- ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_9_1_fu_148_p2)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_9_1_fu_148_p2)) and not((ap_const_lv1_0 = tmp_11_1_fu_153_p2))))) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2 <= r_bit_read_reg_196; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it1; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2 assign process. -- ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_9_1_fu_148_p2)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_9_1_fu_148_p2)) and not((ap_const_lv1_0 = tmp_11_1_fu_153_p2))))) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2 <= ap_const_lv2_2; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it1; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2 assign process. -- ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_sig_bdd_61) then if (ap_sig_bdd_125) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2 <= p_read_1_reg_202; elsif (ap_sig_bdd_121) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2 <= ap_const_lv32_0; elsif ((ap_true = ap_true)) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1; end if; end if; end if; end process; -- ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it2 assign process. -- ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it2_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_bus_assign_reg_216_pp0_it1 <= bus_assign_reg_216; ap_reg_ppstg_p_read_1_reg_202_pp0_it1 <= p_read_1_reg_202; ap_reg_ppstg_tmp_reg_210_pp0_it1 <= tmp_reg_210; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then bus_assign_reg_216 <= bus_assign_fu_137_p2; p_read_1_reg_202 <= p_read; r_bit_read_reg_196 <= r_bit; tmp_reg_210 <= tmp_fu_127_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and (tmp_5_fu_143_p2 = ap_const_lv1_0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_9_1_fu_148_p2)) and (ap_const_lv1_0 = tmp_11_1_fu_153_p2)))) then reg_123 <= grp_p_bsf32_hw_fu_118_ap_return; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_9_1_fu_148_p2)))) then tmp_11_1_reg_231 <= tmp_11_1_fu_153_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then tmp_5_reg_223 <= tmp_5_fu_143_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_5_fu_143_p2 = ap_const_lv1_0)))) then tmp_9_1_reg_227 <= tmp_9_1_fu_148_p2; end if; end if; end process; ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it2(0) <= '1'; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- agg_result_bit_write_assign_phi_fu_107_p8 assign process. -- agg_result_bit_write_assign_phi_fu_107_p8_assign_proc : process(tmp_5_reg_223, agg_result_bit_write_assign_trunc3_ext_fu_163_p1, ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2, agg_result_bit_write_assign_trunc_ext_fu_158_p1, ap_sig_bdd_113, ap_sig_bdd_104) begin if (ap_sig_bdd_104) then if ((ap_const_lv1_0 = tmp_5_reg_223)) then agg_result_bit_write_assign_phi_fu_107_p8 <= agg_result_bit_write_assign_trunc_ext_fu_158_p1; elsif (ap_sig_bdd_113) then agg_result_bit_write_assign_phi_fu_107_p8 <= agg_result_bit_write_assign_trunc3_ext_fu_163_p1; else agg_result_bit_write_assign_phi_fu_107_p8 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2; end if; else agg_result_bit_write_assign_phi_fu_107_p8 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it2; end if; end process; agg_result_bit_write_assign_trunc3_ext_fu_163_p1 <= std_logic_vector(resize(unsigned(reg_123),8)); agg_result_bit_write_assign_trunc_ext_fu_158_p1 <= std_logic_vector(resize(unsigned(reg_123),8)); agg_result_bucket_index_write_assign_cast_fu_168_p1 <= std_logic_vector(resize(unsigned(agg_result_bucket_index_write_assign_phi_fu_91_p8),8)); -- agg_result_bucket_index_write_assign_phi_fu_91_p8 assign process. -- agg_result_bucket_index_write_assign_phi_fu_91_p8_assign_proc : process(ap_reg_ppstg_tmp_reg_210_pp0_it1, tmp_5_reg_223, ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2, ap_sig_bdd_113, ap_sig_bdd_104) begin if (ap_sig_bdd_104) then if ((ap_const_lv1_0 = tmp_5_reg_223)) then agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_reg_ppstg_tmp_reg_210_pp0_it1; elsif (ap_sig_bdd_113) then agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_const_lv2_1; else agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2; end if; else agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it2; end if; end process; -- agg_result_bucket_write_assign_phi_fu_58_p8 assign process. -- agg_result_bucket_write_assign_phi_fu_58_p8_assign_proc : process(ap_reg_ppstg_p_read_1_reg_202_pp0_it1, ap_reg_ppstg_bus_assign_reg_216_pp0_it1, tmp_5_reg_223, ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2, ap_sig_bdd_113, ap_sig_bdd_104) begin if (ap_sig_bdd_104) then if ((ap_const_lv1_0 = tmp_5_reg_223)) then agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_ppstg_bus_assign_reg_216_pp0_it1; elsif (ap_sig_bdd_113) then agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_ppstg_p_read_1_reg_202_pp0_it1; else agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2; end if; else agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it2; end if; end process; -- agg_result_end_write_assign_phi_fu_73_p8 assign process. -- agg_result_end_write_assign_phi_fu_73_p8_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it2, tmp_5_reg_223, tmp_9_1_reg_227, tmp_11_1_reg_231, ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it2) begin if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((ap_const_lv1_0 = tmp_5_reg_223)) and not((ap_const_lv1_0 = tmp_9_1_reg_227)) and (ap_const_lv1_0 = tmp_11_1_reg_231)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (ap_const_lv1_0 = tmp_5_reg_223)))) then agg_result_end_write_assign_phi_fu_73_p8 <= ap_const_lv1_0; else agg_result_end_write_assign_phi_fu_73_p8 <= ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it2; end if; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it1 <= ap_const_lv8_1; ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it1 <= ap_const_lv2_1; ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 <= ap_const_lv32_1; ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 <= ap_const_lv1_1; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return_0 <= agg_result_bit_write_assign_phi_fu_107_p8; ap_return_1 <= agg_result_bucket_index_write_assign_cast_fu_168_p1; ap_return_2 <= agg_result_bucket_write_assign_phi_fu_58_p8; ap_return_3 <= agg_result_end_write_assign_phi_fu_73_p8; -- ap_sig_bdd_104 assign process. -- ap_sig_bdd_104_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it2) begin ap_sig_bdd_104 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)); end process; -- ap_sig_bdd_113 assign process. -- ap_sig_bdd_113_assign_proc : process(tmp_5_reg_223, tmp_9_1_reg_227, tmp_11_1_reg_231) begin ap_sig_bdd_113 <= (not((ap_const_lv1_0 = tmp_5_reg_223)) and not((ap_const_lv1_0 = tmp_9_1_reg_227)) and (ap_const_lv1_0 = tmp_11_1_reg_231)); end process; -- ap_sig_bdd_121 assign process. -- ap_sig_bdd_121_assign_proc : process(tmp_5_fu_143_p2, tmp_9_1_fu_148_p2) begin ap_sig_bdd_121 <= (not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_9_1_fu_148_p2)); end process; -- ap_sig_bdd_125 assign process. -- ap_sig_bdd_125_assign_proc : process(tmp_5_fu_143_p2, tmp_9_1_fu_148_p2, tmp_11_1_fu_153_p2) begin ap_sig_bdd_125 <= (not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_9_1_fu_148_p2)) and not((ap_const_lv1_0 = tmp_11_1_fu_153_p2))); end process; -- ap_sig_bdd_59 assign process. -- ap_sig_bdd_59_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it1) begin ap_sig_bdd_59 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)); end process; -- ap_sig_bdd_61 assign process. -- ap_sig_bdd_61_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce) begin ap_sig_bdd_61 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_73 assign process. -- ap_sig_bdd_73_assign_proc : process(tmp_5_fu_143_p2, tmp_9_1_fu_148_p2, tmp_11_1_fu_153_p2) begin ap_sig_bdd_73 <= (not((tmp_5_fu_143_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_9_1_fu_148_p2)) and (ap_const_lv1_0 = tmp_11_1_fu_153_p2)); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; bus_assign_fu_137_p2 <= (tmp_3_fu_131_p2 and r_bucket); -- grp_p_bsf32_hw_fu_118_bus_r assign process. -- grp_p_bsf32_hw_fu_118_bus_r_assign_proc : process(tmp_5_fu_143_p2, p_read_1_reg_202, bus_assign_reg_216, ap_sig_bdd_73, ap_sig_bdd_59) begin if (ap_sig_bdd_59) then if (ap_sig_bdd_73) then grp_p_bsf32_hw_fu_118_bus_r <= p_read_1_reg_202; elsif ((tmp_5_fu_143_p2 = ap_const_lv1_0)) then grp_p_bsf32_hw_fu_118_bus_r <= bus_assign_reg_216; else grp_p_bsf32_hw_fu_118_bus_r <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else grp_p_bsf32_hw_fu_118_bus_r <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_11_1_fu_153_p2 <= "1" when (p_read_1_reg_202 = ap_const_lv32_0) else "0"; tmp_3_fu_131_p2 <= std_logic_vector(unsigned(r_bucket) + unsigned(ap_const_lv32_FFFFFFFF)); tmp_5_fu_143_p2 <= "1" when (bus_assign_reg_216 = ap_const_lv32_0) else "0"; tmp_9_1_fu_148_p2 <= "1" when (tmp_reg_210 = ap_const_lv2_0) else "0"; tmp_fu_127_p1 <= r_bucket_index(2 - 1 downto 0); end behav;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/sim/vhdl/sample_iterator_get_offset.vhd
1
30918
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sample_iterator_get_offset is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_size : IN STD_LOGIC_VECTOR (31 downto 0); sample_length : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of sample_iterator_get_offset is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it8 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it9 : STD_LOGIC := '0'; signal i_sample_read_reg_131 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_131_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_131_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_131_pp0_it3 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_131_pp0_it4 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_131_pp0_it5 : STD_LOGIC_VECTOR (15 downto 0); signal indices_begin_addr_reg_136 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal indices_stride_addr_read_reg_148 : STD_LOGIC_VECTOR (7 downto 0); signal indices_begin_addr_read_reg_163 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_fu_93_p1 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_116_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_116_p1 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_116_p2 : STD_LOGIC_VECTOR (23 downto 0); signal tmp_8_cast_fu_122_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_116_ce : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal grp_fu_116_p00 : STD_LOGIC_VECTOR (23 downto 0); signal grp_fu_116_p10 : STD_LOGIC_VECTOR (23 downto 0); component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (7 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (23 downto 0) ); end component; begin nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4_U0 : component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4 generic map ( ID => 0, NUM_STAGE => 4, din0_WIDTH => 16, din1_WIDTH => 8, dout_WIDTH => 24) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_116_p0, din1 => grp_fu_116_p1, ce => grp_fu_116_ce, dout => grp_fu_116_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it7 assign process. -- ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it8 assign process. -- ap_reg_ppiten_pp0_it8_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it8 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it8 <= ap_reg_ppiten_pp0_it7; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it9 assign process. -- ap_reg_ppiten_pp0_it9_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it9 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it9 <= ap_reg_ppiten_pp0_it8; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_i_sample_read_reg_131_pp0_it1 <= i_sample_read_reg_131; ap_reg_ppstg_i_sample_read_reg_131_pp0_it2 <= ap_reg_ppstg_i_sample_read_reg_131_pp0_it1; ap_reg_ppstg_i_sample_read_reg_131_pp0_it3 <= ap_reg_ppstg_i_sample_read_reg_131_pp0_it2; ap_reg_ppstg_i_sample_read_reg_131_pp0_it4 <= ap_reg_ppstg_i_sample_read_reg_131_pp0_it3; ap_reg_ppstg_i_sample_read_reg_131_pp0_it5 <= ap_reg_ppstg_i_sample_read_reg_131_pp0_it4; ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(0) <= indices_begin_addr_reg_136(0); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(1) <= indices_begin_addr_reg_136(1); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(2) <= indices_begin_addr_reg_136(2); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(3) <= indices_begin_addr_reg_136(3); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(4) <= indices_begin_addr_reg_136(4); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(5) <= indices_begin_addr_reg_136(5); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(6) <= indices_begin_addr_reg_136(6); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(7) <= indices_begin_addr_reg_136(7); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(8) <= indices_begin_addr_reg_136(8); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(9) <= indices_begin_addr_reg_136(9); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(10) <= indices_begin_addr_reg_136(10); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(11) <= indices_begin_addr_reg_136(11); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(12) <= indices_begin_addr_reg_136(12); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(13) <= indices_begin_addr_reg_136(13); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(14) <= indices_begin_addr_reg_136(14); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(15) <= indices_begin_addr_reg_136(15); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(0) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(0); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(1) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(1); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(2) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(2); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(3) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(3); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(4) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(4); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(5) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(5); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(6) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(6); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(7) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(7); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(8) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(8); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(9) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(9); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(10) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(10); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(11) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(11); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(12) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(12); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(13) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(13); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(14) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(14); ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(15) <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(15); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then i_sample_read_reg_131 <= i_sample; indices_begin_addr_reg_136(0) <= tmp_fu_93_p1(32 - 1 downto 0)(0); indices_begin_addr_reg_136(1) <= tmp_fu_93_p1(32 - 1 downto 0)(1); indices_begin_addr_reg_136(2) <= tmp_fu_93_p1(32 - 1 downto 0)(2); indices_begin_addr_reg_136(3) <= tmp_fu_93_p1(32 - 1 downto 0)(3); indices_begin_addr_reg_136(4) <= tmp_fu_93_p1(32 - 1 downto 0)(4); indices_begin_addr_reg_136(5) <= tmp_fu_93_p1(32 - 1 downto 0)(5); indices_begin_addr_reg_136(6) <= tmp_fu_93_p1(32 - 1 downto 0)(6); indices_begin_addr_reg_136(7) <= tmp_fu_93_p1(32 - 1 downto 0)(7); indices_begin_addr_reg_136(8) <= tmp_fu_93_p1(32 - 1 downto 0)(8); indices_begin_addr_reg_136(9) <= tmp_fu_93_p1(32 - 1 downto 0)(9); indices_begin_addr_reg_136(10) <= tmp_fu_93_p1(32 - 1 downto 0)(10); indices_begin_addr_reg_136(11) <= tmp_fu_93_p1(32 - 1 downto 0)(11); indices_begin_addr_reg_136(12) <= tmp_fu_93_p1(32 - 1 downto 0)(12); indices_begin_addr_reg_136(13) <= tmp_fu_93_p1(32 - 1 downto 0)(13); indices_begin_addr_reg_136(14) <= tmp_fu_93_p1(32 - 1 downto 0)(14); indices_begin_addr_reg_136(15) <= tmp_fu_93_p1(32 - 1 downto 0)(15); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_begin_addr_read_reg_163 <= indices_begin_datain; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_stride_addr_read_reg_148 <= indices_stride_datain; end if; end if; end process; indices_begin_addr_reg_136(31 downto 16) <= "0000000000000000"; ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it1(31 downto 16) <= "0000000000000000"; ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2(31 downto 16) <= "0000000000000000"; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it5 , ap_reg_ppiten_pp0_it8 , indices_stride_rsp_empty_n , indices_begin_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it9) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return <= std_logic_vector(unsigned(tmp_8_cast_fu_122_p1) + unsigned(indices_begin_addr_read_reg_163)); -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- grp_fu_116_ce assign process. -- grp_fu_116_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then grp_fu_116_ce <= ap_const_logic_1; else grp_fu_116_ce <= ap_const_logic_0; end if; end process; grp_fu_116_p0 <= grp_fu_116_p00(16 - 1 downto 0); grp_fu_116_p00 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_i_sample_read_reg_131_pp0_it5),24)); grp_fu_116_p1 <= grp_fu_116_p10(8 - 1 downto 0); grp_fu_116_p10 <= std_logic_vector(resize(unsigned(indices_stride_addr_read_reg_148),24)); indices_begin_address <= ap_reg_ppstg_indices_begin_addr_reg_136_pp0_it2; indices_begin_dataout <= ap_const_lv32_0; indices_begin_req_din <= ap_const_logic_0; -- indices_begin_req_write assign process. -- indices_begin_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_begin_req_write <= ap_const_logic_1; else indices_begin_req_write <= ap_const_logic_0; end if; end process; -- indices_begin_rsp_read assign process. -- indices_begin_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_begin_rsp_read <= ap_const_logic_1; else indices_begin_rsp_read <= ap_const_logic_0; end if; end process; indices_begin_size <= ap_const_lv32_1; indices_samples_address <= ap_const_lv32_0; indices_samples_dataout <= ap_const_lv16_0; indices_samples_req_din <= ap_const_logic_0; indices_samples_req_write <= ap_const_logic_0; indices_samples_rsp_read <= ap_const_logic_0; indices_samples_size <= ap_const_lv32_0; indices_stride_address <= tmp_fu_93_p1(32 - 1 downto 0); indices_stride_dataout <= ap_const_lv8_0; indices_stride_req_din <= ap_const_logic_0; -- indices_stride_req_write assign process. -- indices_stride_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_stride_req_write <= ap_const_logic_1; else indices_stride_req_write <= ap_const_logic_0; end if; end process; -- indices_stride_rsp_read assign process. -- indices_stride_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it8, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it8) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_stride_rsp_read <= ap_const_logic_1; else indices_stride_rsp_read <= ap_const_logic_0; end if; end process; indices_stride_size <= ap_const_lv32_1; tmp_8_cast_fu_122_p1 <= std_logic_vector(resize(unsigned(grp_fu_116_p2),32)); tmp_fu_93_p1 <= std_logic_vector(resize(unsigned(i_index),64)); end behav;
lgpl-3.0
jairov4/accel-oil
solution_spartan3/syn/vhdl/bitset_next.vhd
2
25988
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity bitset_next is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (31 downto 0); r_bit : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket_index : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (0 downto 0); ap_ce : IN STD_LOGIC ); end; architecture behav of bitset_next is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_true : BOOLEAN := true; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; signal grp_p_bsf32_hw_fu_118_ap_return : STD_LOGIC_VECTOR (4 downto 0); signal reg_123 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_3_reg_232 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_24_1_reg_236 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_26_1_reg_240 : STD_LOGIC_VECTOR (0 downto 0); signal r_bucket_read_reg_194 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_r_bucket_read_reg_194_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal r_bit_read_reg_200 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it2 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it3 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it4 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it5 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it6 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it7 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it8 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_r_bit_read_reg_200_pp0_it9 : STD_LOGIC_VECTOR (7 downto 0); signal p_read_1_reg_206 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it4 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it5 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it6 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it7 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_p_read_1_reg_206_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_fu_127_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_reg_214 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it1 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it2 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it3 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it4 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it5 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it6 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it7 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it8 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it9 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_tmp_reg_214_pp0_it10 : STD_LOGIC_VECTOR (1 downto 0); signal grp_fu_131_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_reg_220 : STD_LOGIC_VECTOR (31 downto 0); signal bus_assign_fu_137_p2 : STD_LOGIC_VECTOR (31 downto 0); signal bus_assign_reg_225 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_bus_assign_reg_225_pp0_it9 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_bus_assign_reg_225_pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_fu_141_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_3_reg_232_pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_24_1_fu_146_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_26_1_fu_151_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal grp_p_bsf32_hw_fu_118_bus_r : STD_LOGIC_VECTOR (31 downto 0); signal grp_p_bsf32_hw_fu_118_ap_ce : STD_LOGIC; signal ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_write_assign_phi_fu_58_p8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it10 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it11 : STD_LOGIC_VECTOR (0 downto 0); signal agg_result_end_write_assign_phi_fu_73_p8 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it10 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11 : STD_LOGIC_VECTOR (1 downto 0); signal agg_result_bucket_index_write_assign_phi_fu_91_p8 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it10 : STD_LOGIC_VECTOR (1 downto 0); signal agg_result_bit_write_assign_trunc3_ext_fu_161_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11 : STD_LOGIC_VECTOR (7 downto 0); signal agg_result_bit_write_assign_phi_fu_107_p8 : STD_LOGIC_VECTOR (7 downto 0); signal agg_result_bit_write_assign_trunc_ext_fu_156_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it10 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_131_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_131_p1 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_index_write_assign_cast_fu_166_p1 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_131_ce : STD_LOGIC; signal ap_sig_bdd_139 : BOOLEAN; signal ap_sig_bdd_143 : BOOLEAN; component p_bsf32_hw IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; bus_r : IN STD_LOGIC_VECTOR (31 downto 0); ap_return : OUT STD_LOGIC_VECTOR (4 downto 0); ap_ce : IN STD_LOGIC ); end component; component nfa_accept_samples_generic_hw_add_32ns_32s_32_8 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin grp_p_bsf32_hw_fu_118 : component p_bsf32_hw port map ( ap_clk => ap_clk, ap_rst => ap_rst, bus_r => grp_p_bsf32_hw_fu_118_bus_r, ap_return => grp_p_bsf32_hw_fu_118_ap_return, ap_ce => grp_p_bsf32_hw_fu_118_ap_ce); nfa_accept_samples_generic_hw_add_32ns_32s_32_8_U11 : component nfa_accept_samples_generic_hw_add_32ns_32s_32_8 generic map ( ID => 11, NUM_STAGE => 8, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_131_p0, din1 => grp_fu_131_p1, ce => grp_fu_131_ce, dout => grp_fu_131_p2); -- ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11 assign process. -- ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_ce) and not((tmp_3_reg_232 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_24_1_reg_236)) or ((ap_const_logic_1 = ap_ce) and not((tmp_3_reg_232 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_24_1_reg_236)) and not((ap_const_lv1_0 = tmp_26_1_reg_240))))) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it9; elsif ((ap_const_logic_1 = ap_ce)) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it10; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11 assign process. -- ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_ce) and not((tmp_3_reg_232 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_24_1_reg_236)) or ((ap_const_logic_1 = ap_ce) and not((tmp_3_reg_232 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_24_1_reg_236)) and not((ap_const_lv1_0 = tmp_26_1_reg_240))))) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11 <= ap_const_lv2_2; elsif ((ap_const_logic_1 = ap_ce)) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it10; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11 assign process. -- ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_ce)) then if (ap_sig_bdd_143) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it9; elsif (ap_sig_bdd_139) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11 <= ap_const_lv32_0; elsif ((ap_true = ap_true)) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it10; end if; end if; end if; end process; -- ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it11 assign process. -- ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it11_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_ce)) then ap_reg_ppstg_bus_assign_reg_225_pp0_it10 <= ap_reg_ppstg_bus_assign_reg_225_pp0_it9; ap_reg_ppstg_bus_assign_reg_225_pp0_it9 <= bus_assign_reg_225; ap_reg_ppstg_p_read_1_reg_206_pp0_it1 <= p_read_1_reg_206; ap_reg_ppstg_p_read_1_reg_206_pp0_it10 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it9; ap_reg_ppstg_p_read_1_reg_206_pp0_it2 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it1; ap_reg_ppstg_p_read_1_reg_206_pp0_it3 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it2; ap_reg_ppstg_p_read_1_reg_206_pp0_it4 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it3; ap_reg_ppstg_p_read_1_reg_206_pp0_it5 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it4; ap_reg_ppstg_p_read_1_reg_206_pp0_it6 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it5; ap_reg_ppstg_p_read_1_reg_206_pp0_it7 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it6; ap_reg_ppstg_p_read_1_reg_206_pp0_it8 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it7; ap_reg_ppstg_p_read_1_reg_206_pp0_it9 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it8; ap_reg_ppstg_r_bit_read_reg_200_pp0_it1 <= r_bit_read_reg_200; ap_reg_ppstg_r_bit_read_reg_200_pp0_it2 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it1; ap_reg_ppstg_r_bit_read_reg_200_pp0_it3 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it2; ap_reg_ppstg_r_bit_read_reg_200_pp0_it4 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it3; ap_reg_ppstg_r_bit_read_reg_200_pp0_it5 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it4; ap_reg_ppstg_r_bit_read_reg_200_pp0_it6 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it5; ap_reg_ppstg_r_bit_read_reg_200_pp0_it7 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it6; ap_reg_ppstg_r_bit_read_reg_200_pp0_it8 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it7; ap_reg_ppstg_r_bit_read_reg_200_pp0_it9 <= ap_reg_ppstg_r_bit_read_reg_200_pp0_it8; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it1 <= r_bucket_read_reg_194; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it2 <= ap_reg_ppstg_r_bucket_read_reg_194_pp0_it1; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it3 <= ap_reg_ppstg_r_bucket_read_reg_194_pp0_it2; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it4 <= ap_reg_ppstg_r_bucket_read_reg_194_pp0_it3; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it5 <= ap_reg_ppstg_r_bucket_read_reg_194_pp0_it4; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it6 <= ap_reg_ppstg_r_bucket_read_reg_194_pp0_it5; ap_reg_ppstg_r_bucket_read_reg_194_pp0_it7 <= ap_reg_ppstg_r_bucket_read_reg_194_pp0_it6; ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10 <= tmp_24_1_reg_236; ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10 <= tmp_26_1_reg_240; ap_reg_ppstg_tmp_3_reg_232_pp0_it10 <= tmp_3_reg_232; ap_reg_ppstg_tmp_reg_214_pp0_it1 <= tmp_reg_214; ap_reg_ppstg_tmp_reg_214_pp0_it10 <= ap_reg_ppstg_tmp_reg_214_pp0_it9; ap_reg_ppstg_tmp_reg_214_pp0_it2 <= ap_reg_ppstg_tmp_reg_214_pp0_it1; ap_reg_ppstg_tmp_reg_214_pp0_it3 <= ap_reg_ppstg_tmp_reg_214_pp0_it2; ap_reg_ppstg_tmp_reg_214_pp0_it4 <= ap_reg_ppstg_tmp_reg_214_pp0_it3; ap_reg_ppstg_tmp_reg_214_pp0_it5 <= ap_reg_ppstg_tmp_reg_214_pp0_it4; ap_reg_ppstg_tmp_reg_214_pp0_it6 <= ap_reg_ppstg_tmp_reg_214_pp0_it5; ap_reg_ppstg_tmp_reg_214_pp0_it7 <= ap_reg_ppstg_tmp_reg_214_pp0_it6; ap_reg_ppstg_tmp_reg_214_pp0_it8 <= ap_reg_ppstg_tmp_reg_214_pp0_it7; ap_reg_ppstg_tmp_reg_214_pp0_it9 <= ap_reg_ppstg_tmp_reg_214_pp0_it8; bus_assign_reg_225 <= bus_assign_fu_137_p2; p_read_1_reg_206 <= p_read; r_bit_read_reg_200 <= r_bit; r_bucket_read_reg_194 <= r_bucket; tmp_1_reg_220 <= grp_fu_131_p2; tmp_3_reg_232 <= tmp_3_fu_141_p2; tmp_reg_214 <= tmp_fu_127_p1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_ce) and (tmp_3_reg_232 = ap_const_lv1_0)) or ((ap_const_logic_1 = ap_ce) and not((tmp_3_reg_232 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_24_1_reg_236)) and (ap_const_lv1_0 = tmp_26_1_reg_240)))) then reg_123 <= grp_p_bsf32_hw_fu_118_ap_return; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_ce) and not((ap_const_lv1_0 = tmp_3_fu_141_p2)))) then tmp_24_1_reg_236 <= tmp_24_1_fu_146_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_ce) and not((ap_const_lv1_0 = tmp_3_fu_141_p2)) and not((ap_const_lv1_0 = tmp_24_1_fu_146_p2)))) then tmp_26_1_reg_240 <= tmp_26_1_fu_151_p2; end if; end if; end process; ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it11(0) <= '1'; -- agg_result_bit_write_assign_phi_fu_107_p8 assign process. -- agg_result_bit_write_assign_phi_fu_107_p8_assign_proc : process(ap_reg_ppstg_tmp_3_reg_232_pp0_it10, ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10, ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10, agg_result_bit_write_assign_trunc3_ext_fu_161_p1, ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11, agg_result_bit_write_assign_trunc_ext_fu_156_p1) begin if ((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) then agg_result_bit_write_assign_phi_fu_107_p8 <= agg_result_bit_write_assign_trunc_ext_fu_156_p1; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10)) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10))) then agg_result_bit_write_assign_phi_fu_107_p8 <= agg_result_bit_write_assign_trunc3_ext_fu_161_p1; else agg_result_bit_write_assign_phi_fu_107_p8 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it11; end if; end process; agg_result_bit_write_assign_trunc3_ext_fu_161_p1 <= std_logic_vector(resize(unsigned(reg_123),8)); agg_result_bit_write_assign_trunc_ext_fu_156_p1 <= std_logic_vector(resize(unsigned(reg_123),8)); agg_result_bucket_index_write_assign_cast_fu_166_p1 <= std_logic_vector(resize(unsigned(agg_result_bucket_index_write_assign_phi_fu_91_p8),8)); -- agg_result_bucket_index_write_assign_phi_fu_91_p8 assign process. -- agg_result_bucket_index_write_assign_phi_fu_91_p8_assign_proc : process(ap_reg_ppstg_tmp_reg_214_pp0_it10, ap_reg_ppstg_tmp_3_reg_232_pp0_it10, ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10, ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10, ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11) begin if ((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) then agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_reg_ppstg_tmp_reg_214_pp0_it10; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10)) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10))) then agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_const_lv2_1; else agg_result_bucket_index_write_assign_phi_fu_91_p8 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it11; end if; end process; -- agg_result_bucket_write_assign_phi_fu_58_p8 assign process. -- agg_result_bucket_write_assign_phi_fu_58_p8_assign_proc : process(ap_reg_ppstg_p_read_1_reg_206_pp0_it10, ap_reg_ppstg_bus_assign_reg_225_pp0_it10, ap_reg_ppstg_tmp_3_reg_232_pp0_it10, ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10, ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10, ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11) begin if ((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) then agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_ppstg_bus_assign_reg_225_pp0_it10; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10)) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10))) then agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_ppstg_p_read_1_reg_206_pp0_it10; else agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it11; end if; end process; -- agg_result_end_write_assign_phi_fu_73_p8 assign process. -- agg_result_end_write_assign_phi_fu_73_p8_assign_proc : process(ap_reg_ppstg_tmp_3_reg_232_pp0_it10, ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10, ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10, ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it11) begin if (((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_3_reg_232_pp0_it10)) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_24_1_reg_236_pp0_it10)) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_26_1_reg_240_pp0_it10)))) then agg_result_end_write_assign_phi_fu_73_p8 <= ap_const_lv1_0; else agg_result_end_write_assign_phi_fu_73_p8 <= ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it11; end if; end process; ap_reg_phiprechg_agg_result_bit_write_assign_reg_104pp0_it10 <= ap_const_lv8_1; ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_87pp0_it10 <= ap_const_lv2_1; ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it10 <= ap_const_lv32_1; ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it10 <= ap_const_lv1_1; ap_return_0 <= agg_result_bit_write_assign_phi_fu_107_p8; ap_return_1 <= agg_result_bucket_index_write_assign_cast_fu_166_p1; ap_return_2 <= agg_result_bucket_write_assign_phi_fu_58_p8; ap_return_3 <= agg_result_end_write_assign_phi_fu_73_p8; -- ap_sig_bdd_139 assign process. -- ap_sig_bdd_139_assign_proc : process(tmp_3_reg_232, tmp_24_1_reg_236) begin ap_sig_bdd_139 <= (not((tmp_3_reg_232 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_24_1_reg_236)); end process; -- ap_sig_bdd_143 assign process. -- ap_sig_bdd_143_assign_proc : process(tmp_3_reg_232, tmp_24_1_reg_236, tmp_26_1_reg_240) begin ap_sig_bdd_143 <= (not((tmp_3_reg_232 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_24_1_reg_236)) and not((ap_const_lv1_0 = tmp_26_1_reg_240))); end process; bus_assign_fu_137_p2 <= (tmp_1_reg_220 and ap_reg_ppstg_r_bucket_read_reg_194_pp0_it7); -- grp_fu_131_ce assign process. -- grp_fu_131_ce_assign_proc : process(ap_ce) begin if (not((ap_const_logic_1 = ap_ce))) then grp_fu_131_ce <= ap_const_logic_0; else grp_fu_131_ce <= ap_const_logic_1; end if; end process; grp_fu_131_p0 <= r_bucket; grp_fu_131_p1 <= ap_const_lv32_FFFFFFFF; -- grp_p_bsf32_hw_fu_118_ap_ce assign process. -- grp_p_bsf32_hw_fu_118_ap_ce_assign_proc : process(ap_ce, tmp_3_reg_232, tmp_24_1_reg_236, tmp_26_1_reg_240, tmp_3_fu_141_p2, tmp_24_1_fu_146_p2, tmp_26_1_fu_151_p2) begin if (((ap_const_logic_1 = ap_ce) and ((tmp_3_reg_232 = ap_const_lv1_0) or (not((tmp_3_reg_232 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_24_1_reg_236)) and (ap_const_lv1_0 = tmp_26_1_reg_240)) or (ap_const_lv1_0 = tmp_3_fu_141_p2) or (not((ap_const_lv1_0 = tmp_3_fu_141_p2)) and not((ap_const_lv1_0 = tmp_24_1_fu_146_p2)) and (ap_const_lv1_0 = tmp_26_1_fu_151_p2))))) then grp_p_bsf32_hw_fu_118_ap_ce <= ap_const_logic_1; else grp_p_bsf32_hw_fu_118_ap_ce <= ap_const_logic_0; end if; end process; -- grp_p_bsf32_hw_fu_118_bus_r assign process. -- grp_p_bsf32_hw_fu_118_bus_r_assign_proc : process(ap_reg_ppstg_p_read_1_reg_206_pp0_it8, bus_assign_reg_225, tmp_3_fu_141_p2, tmp_24_1_fu_146_p2, tmp_26_1_fu_151_p2) begin if ((not((ap_const_lv1_0 = tmp_3_fu_141_p2)) and not((ap_const_lv1_0 = tmp_24_1_fu_146_p2)) and (ap_const_lv1_0 = tmp_26_1_fu_151_p2))) then grp_p_bsf32_hw_fu_118_bus_r <= ap_reg_ppstg_p_read_1_reg_206_pp0_it8; elsif ((ap_const_lv1_0 = tmp_3_fu_141_p2)) then grp_p_bsf32_hw_fu_118_bus_r <= bus_assign_reg_225; else grp_p_bsf32_hw_fu_118_bus_r <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; tmp_24_1_fu_146_p2 <= "1" when (ap_reg_ppstg_tmp_reg_214_pp0_it8 = ap_const_lv2_0) else "0"; tmp_26_1_fu_151_p2 <= "1" when (ap_reg_ppstg_p_read_1_reg_206_pp0_it8 = ap_const_lv32_0) else "0"; tmp_3_fu_141_p2 <= "1" when (bus_assign_reg_225 = ap_const_lv32_0) else "0"; tmp_fu_127_p1 <= r_bucket_index(2 - 1 downto 0); end behav;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/syn/vhdl/nfa_accept_samples_generic_hw_add_64ns_64ns_64_16.vhd
6
35870
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(63 downto 0); b: in std_logic_vector(63 downto 0); s: out std_logic_vector(63 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2 is component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(63 downto 0); signal b_reg : std_logic_vector(63 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(15 downto 12); signal b3_cb : std_logic_vector(15 downto 12); signal a4_cb : std_logic_vector(19 downto 16); signal b4_cb : std_logic_vector(19 downto 16); signal a5_cb : std_logic_vector(23 downto 20); signal b5_cb : std_logic_vector(23 downto 20); signal a6_cb : std_logic_vector(27 downto 24); signal b6_cb : std_logic_vector(27 downto 24); signal a7_cb : std_logic_vector(31 downto 28); signal b7_cb : std_logic_vector(31 downto 28); signal a8_cb : std_logic_vector(35 downto 32); signal b8_cb : std_logic_vector(35 downto 32); signal a9_cb : std_logic_vector(39 downto 36); signal b9_cb : std_logic_vector(39 downto 36); signal a10_cb : std_logic_vector(43 downto 40); signal b10_cb : std_logic_vector(43 downto 40); signal a11_cb : std_logic_vector(47 downto 44); signal b11_cb : std_logic_vector(47 downto 44); signal a12_cb : std_logic_vector(51 downto 48); signal b12_cb : std_logic_vector(51 downto 48); signal a13_cb : std_logic_vector(55 downto 52); signal b13_cb : std_logic_vector(55 downto 52); signal a14_cb : std_logic_vector(59 downto 56); signal b14_cb : std_logic_vector(59 downto 56); signal a15_cb : std_logic_vector(63 downto 60); signal b15_cb : std_logic_vector(63 downto 60); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; type ramtypei3 is array (3 downto 0) of std_logic_vector(3 downto 0); signal a4_cb_regi4 : ramtypei3; signal b4_cb_regi4 : ramtypei3; type ramtypei4 is array (4 downto 0) of std_logic_vector(3 downto 0); signal a5_cb_regi5 : ramtypei4; signal b5_cb_regi5 : ramtypei4; type ramtypei5 is array (5 downto 0) of std_logic_vector(3 downto 0); signal a6_cb_regi6 : ramtypei5; signal b6_cb_regi6 : ramtypei5; type ramtypei6 is array (6 downto 0) of std_logic_vector(3 downto 0); signal a7_cb_regi7 : ramtypei6; signal b7_cb_regi7 : ramtypei6; type ramtypei7 is array (7 downto 0) of std_logic_vector(3 downto 0); signal a8_cb_regi8 : ramtypei7; signal b8_cb_regi8 : ramtypei7; type ramtypei8 is array (8 downto 0) of std_logic_vector(3 downto 0); signal a9_cb_regi9 : ramtypei8; signal b9_cb_regi9 : ramtypei8; type ramtypei9 is array (9 downto 0) of std_logic_vector(3 downto 0); signal a10_cb_regi10 : ramtypei9; signal b10_cb_regi10 : ramtypei9; type ramtypei10 is array (10 downto 0) of std_logic_vector(3 downto 0); signal a11_cb_regi11 : ramtypei10; signal b11_cb_regi11 : ramtypei10; type ramtypei11 is array (11 downto 0) of std_logic_vector(3 downto 0); signal a12_cb_regi12 : ramtypei11; signal b12_cb_regi12 : ramtypei11; type ramtypei12 is array (12 downto 0) of std_logic_vector(3 downto 0); signal a13_cb_regi13 : ramtypei12; signal b13_cb_regi13 : ramtypei12; type ramtypei13 is array (13 downto 0) of std_logic_vector(3 downto 0); signal a14_cb_regi14 : ramtypei13; signal b14_cb_regi14 : ramtypei13; type ramtypei14 is array (14 downto 0) of std_logic_vector(3 downto 0); signal a15_cb_regi15 : ramtypei14; signal b15_cb_regi15 : ramtypei14; -- wires for each full adder sum signal fas : std_logic_vector(63 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout4_co4 : std_logic_vector (0 downto 0); signal faccout5_co5 : std_logic_vector (0 downto 0); signal faccout6_co6 : std_logic_vector (0 downto 0); signal faccout7_co7 : std_logic_vector (0 downto 0); signal faccout8_co8 : std_logic_vector (0 downto 0); signal faccout9_co9 : std_logic_vector (0 downto 0); signal faccout10_co10 : std_logic_vector (0 downto 0); signal faccout11_co11 : std_logic_vector (0 downto 0); signal faccout12_co12 : std_logic_vector (0 downto 0); signal faccout13_co13 : std_logic_vector (0 downto 0); signal faccout14_co14 : std_logic_vector (0 downto 0); signal faccout15_co15 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); signal faccout3_co3_reg : std_logic_vector (0 downto 0); signal faccout4_co4_reg : std_logic_vector (0 downto 0); signal faccout5_co5_reg : std_logic_vector (0 downto 0); signal faccout6_co6_reg : std_logic_vector (0 downto 0); signal faccout7_co7_reg : std_logic_vector (0 downto 0); signal faccout8_co8_reg : std_logic_vector (0 downto 0); signal faccout9_co9_reg : std_logic_vector (0 downto 0); signal faccout10_co10_reg : std_logic_vector (0 downto 0); signal faccout11_co11_reg : std_logic_vector (0 downto 0); signal faccout12_co12_reg : std_logic_vector (0 downto 0); signal faccout13_co13_reg : std_logic_vector (0 downto 0); signal faccout14_co14_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo14 is array (14 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo14; type ramtypeo13 is array (13 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo13; type ramtypeo12 is array (12 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo12; type ramtypeo11 is array (11 downto 0) of std_logic_vector(3 downto 0); signal s3_ca_rego3 : ramtypeo11; type ramtypeo10 is array (10 downto 0) of std_logic_vector(3 downto 0); signal s4_ca_rego4 : ramtypeo10; type ramtypeo9 is array (9 downto 0) of std_logic_vector(3 downto 0); signal s5_ca_rego5 : ramtypeo9; type ramtypeo8 is array (8 downto 0) of std_logic_vector(3 downto 0); signal s6_ca_rego6 : ramtypeo8; type ramtypeo7 is array (7 downto 0) of std_logic_vector(3 downto 0); signal s7_ca_rego7 : ramtypeo7; type ramtypeo6 is array (6 downto 0) of std_logic_vector(3 downto 0); signal s8_ca_rego8 : ramtypeo6; type ramtypeo5 is array (5 downto 0) of std_logic_vector(3 downto 0); signal s9_ca_rego9 : ramtypeo5; type ramtypeo4 is array (4 downto 0) of std_logic_vector(3 downto 0); signal s10_ca_rego10 : ramtypeo4; type ramtypeo3 is array (3 downto 0) of std_logic_vector(3 downto 0); signal s11_ca_rego11 : ramtypeo3; type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s12_ca_rego12 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s13_ca_rego13 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s14_ca_rego14 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(63 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(15 downto 12); b3_cb <= b_reg(15 downto 12); a4_cb <= a_reg(19 downto 16); b4_cb <= b_reg(19 downto 16); a5_cb <= a_reg(23 downto 20); b5_cb <= b_reg(23 downto 20); a6_cb <= a_reg(27 downto 24); b6_cb <= b_reg(27 downto 24); a7_cb <= a_reg(31 downto 28); b7_cb <= b_reg(31 downto 28); a8_cb <= a_reg(35 downto 32); b8_cb <= b_reg(35 downto 32); a9_cb <= a_reg(39 downto 36); b9_cb <= b_reg(39 downto 36); a10_cb <= a_reg(43 downto 40); b10_cb <= b_reg(43 downto 40); a11_cb <= a_reg(47 downto 44); b11_cb <= b_reg(47 downto 44); a12_cb <= a_reg(51 downto 48); b12_cb <= b_reg(51 downto 48); a13_cb <= a_reg(55 downto 52); b13_cb <= b_reg(55 downto 52); a14_cb <= a_reg(59 downto 56); b14_cb <= b_reg(59 downto 56); a15_cb <= a_reg(63 downto 60); b15_cb <= b_reg(63 downto 60); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a4_cb_regi4 (0) <= a4_cb; b4_cb_regi4 (0) <= b4_cb; a5_cb_regi5 (0) <= a5_cb; b5_cb_regi5 (0) <= b5_cb; a6_cb_regi6 (0) <= a6_cb; b6_cb_regi6 (0) <= b6_cb; a7_cb_regi7 (0) <= a7_cb; b7_cb_regi7 (0) <= b7_cb; a8_cb_regi8 (0) <= a8_cb; b8_cb_regi8 (0) <= b8_cb; a9_cb_regi9 (0) <= a9_cb; b9_cb_regi9 (0) <= b9_cb; a10_cb_regi10 (0) <= a10_cb; b10_cb_regi10 (0) <= b10_cb; a11_cb_regi11 (0) <= a11_cb; b11_cb_regi11 (0) <= b11_cb; a12_cb_regi12 (0) <= a12_cb; b12_cb_regi12 (0) <= b12_cb; a13_cb_regi13 (0) <= a13_cb; b13_cb_regi13 (0) <= b13_cb; a14_cb_regi14 (0) <= a14_cb; b14_cb_regi14 (0) <= b14_cb; a15_cb_regi15 (0) <= a15_cb; b15_cb_regi15 (0) <= b15_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a4_cb_regi4 (1) <= a4_cb_regi4 (0); b4_cb_regi4 (1) <= b4_cb_regi4 (0); a5_cb_regi5 (1) <= a5_cb_regi5 (0); b5_cb_regi5 (1) <= b5_cb_regi5 (0); a6_cb_regi6 (1) <= a6_cb_regi6 (0); b6_cb_regi6 (1) <= b6_cb_regi6 (0); a7_cb_regi7 (1) <= a7_cb_regi7 (0); b7_cb_regi7 (1) <= b7_cb_regi7 (0); a8_cb_regi8 (1) <= a8_cb_regi8 (0); b8_cb_regi8 (1) <= b8_cb_regi8 (0); a9_cb_regi9 (1) <= a9_cb_regi9 (0); b9_cb_regi9 (1) <= b9_cb_regi9 (0); a10_cb_regi10 (1) <= a10_cb_regi10 (0); b10_cb_regi10 (1) <= b10_cb_regi10 (0); a11_cb_regi11 (1) <= a11_cb_regi11 (0); b11_cb_regi11 (1) <= b11_cb_regi11 (0); a12_cb_regi12 (1) <= a12_cb_regi12 (0); b12_cb_regi12 (1) <= b12_cb_regi12 (0); a13_cb_regi13 (1) <= a13_cb_regi13 (0); b13_cb_regi13 (1) <= b13_cb_regi13 (0); a14_cb_regi14 (1) <= a14_cb_regi14 (0); b14_cb_regi14 (1) <= b14_cb_regi14 (0); a15_cb_regi15 (1) <= a15_cb_regi15 (0); b15_cb_regi15 (1) <= b15_cb_regi15 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); a4_cb_regi4 (2) <= a4_cb_regi4 (1); b4_cb_regi4 (2) <= b4_cb_regi4 (1); a5_cb_regi5 (2) <= a5_cb_regi5 (1); b5_cb_regi5 (2) <= b5_cb_regi5 (1); a6_cb_regi6 (2) <= a6_cb_regi6 (1); b6_cb_regi6 (2) <= b6_cb_regi6 (1); a7_cb_regi7 (2) <= a7_cb_regi7 (1); b7_cb_regi7 (2) <= b7_cb_regi7 (1); a8_cb_regi8 (2) <= a8_cb_regi8 (1); b8_cb_regi8 (2) <= b8_cb_regi8 (1); a9_cb_regi9 (2) <= a9_cb_regi9 (1); b9_cb_regi9 (2) <= b9_cb_regi9 (1); a10_cb_regi10 (2) <= a10_cb_regi10 (1); b10_cb_regi10 (2) <= b10_cb_regi10 (1); a11_cb_regi11 (2) <= a11_cb_regi11 (1); b11_cb_regi11 (2) <= b11_cb_regi11 (1); a12_cb_regi12 (2) <= a12_cb_regi12 (1); b12_cb_regi12 (2) <= b12_cb_regi12 (1); a13_cb_regi13 (2) <= a13_cb_regi13 (1); b13_cb_regi13 (2) <= b13_cb_regi13 (1); a14_cb_regi14 (2) <= a14_cb_regi14 (1); b14_cb_regi14 (2) <= b14_cb_regi14 (1); a15_cb_regi15 (2) <= a15_cb_regi15 (1); b15_cb_regi15 (2) <= b15_cb_regi15 (1); a4_cb_regi4 (3) <= a4_cb_regi4 (2); b4_cb_regi4 (3) <= b4_cb_regi4 (2); a5_cb_regi5 (3) <= a5_cb_regi5 (2); b5_cb_regi5 (3) <= b5_cb_regi5 (2); a6_cb_regi6 (3) <= a6_cb_regi6 (2); b6_cb_regi6 (3) <= b6_cb_regi6 (2); a7_cb_regi7 (3) <= a7_cb_regi7 (2); b7_cb_regi7 (3) <= b7_cb_regi7 (2); a8_cb_regi8 (3) <= a8_cb_regi8 (2); b8_cb_regi8 (3) <= b8_cb_regi8 (2); a9_cb_regi9 (3) <= a9_cb_regi9 (2); b9_cb_regi9 (3) <= b9_cb_regi9 (2); a10_cb_regi10 (3) <= a10_cb_regi10 (2); b10_cb_regi10 (3) <= b10_cb_regi10 (2); a11_cb_regi11 (3) <= a11_cb_regi11 (2); b11_cb_regi11 (3) <= b11_cb_regi11 (2); a12_cb_regi12 (3) <= a12_cb_regi12 (2); b12_cb_regi12 (3) <= b12_cb_regi12 (2); a13_cb_regi13 (3) <= a13_cb_regi13 (2); b13_cb_regi13 (3) <= b13_cb_regi13 (2); a14_cb_regi14 (3) <= a14_cb_regi14 (2); b14_cb_regi14 (3) <= b14_cb_regi14 (2); a15_cb_regi15 (3) <= a15_cb_regi15 (2); b15_cb_regi15 (3) <= b15_cb_regi15 (2); a5_cb_regi5 (4) <= a5_cb_regi5 (3); b5_cb_regi5 (4) <= b5_cb_regi5 (3); a6_cb_regi6 (4) <= a6_cb_regi6 (3); b6_cb_regi6 (4) <= b6_cb_regi6 (3); a7_cb_regi7 (4) <= a7_cb_regi7 (3); b7_cb_regi7 (4) <= b7_cb_regi7 (3); a8_cb_regi8 (4) <= a8_cb_regi8 (3); b8_cb_regi8 (4) <= b8_cb_regi8 (3); a9_cb_regi9 (4) <= a9_cb_regi9 (3); b9_cb_regi9 (4) <= b9_cb_regi9 (3); a10_cb_regi10 (4) <= a10_cb_regi10 (3); b10_cb_regi10 (4) <= b10_cb_regi10 (3); a11_cb_regi11 (4) <= a11_cb_regi11 (3); b11_cb_regi11 (4) <= b11_cb_regi11 (3); a12_cb_regi12 (4) <= a12_cb_regi12 (3); b12_cb_regi12 (4) <= b12_cb_regi12 (3); a13_cb_regi13 (4) <= a13_cb_regi13 (3); b13_cb_regi13 (4) <= b13_cb_regi13 (3); a14_cb_regi14 (4) <= a14_cb_regi14 (3); b14_cb_regi14 (4) <= b14_cb_regi14 (3); a15_cb_regi15 (4) <= a15_cb_regi15 (3); b15_cb_regi15 (4) <= b15_cb_regi15 (3); a6_cb_regi6 (5) <= a6_cb_regi6 (4); b6_cb_regi6 (5) <= b6_cb_regi6 (4); a7_cb_regi7 (5) <= a7_cb_regi7 (4); b7_cb_regi7 (5) <= b7_cb_regi7 (4); a8_cb_regi8 (5) <= a8_cb_regi8 (4); b8_cb_regi8 (5) <= b8_cb_regi8 (4); a9_cb_regi9 (5) <= a9_cb_regi9 (4); b9_cb_regi9 (5) <= b9_cb_regi9 (4); a10_cb_regi10 (5) <= a10_cb_regi10 (4); b10_cb_regi10 (5) <= b10_cb_regi10 (4); a11_cb_regi11 (5) <= a11_cb_regi11 (4); b11_cb_regi11 (5) <= b11_cb_regi11 (4); a12_cb_regi12 (5) <= a12_cb_regi12 (4); b12_cb_regi12 (5) <= b12_cb_regi12 (4); a13_cb_regi13 (5) <= a13_cb_regi13 (4); b13_cb_regi13 (5) <= b13_cb_regi13 (4); a14_cb_regi14 (5) <= a14_cb_regi14 (4); b14_cb_regi14 (5) <= b14_cb_regi14 (4); a15_cb_regi15 (5) <= a15_cb_regi15 (4); b15_cb_regi15 (5) <= b15_cb_regi15 (4); a7_cb_regi7 (6) <= a7_cb_regi7 (5); b7_cb_regi7 (6) <= b7_cb_regi7 (5); a8_cb_regi8 (6) <= a8_cb_regi8 (5); b8_cb_regi8 (6) <= b8_cb_regi8 (5); a9_cb_regi9 (6) <= a9_cb_regi9 (5); b9_cb_regi9 (6) <= b9_cb_regi9 (5); a10_cb_regi10 (6) <= a10_cb_regi10 (5); b10_cb_regi10 (6) <= b10_cb_regi10 (5); a11_cb_regi11 (6) <= a11_cb_regi11 (5); b11_cb_regi11 (6) <= b11_cb_regi11 (5); a12_cb_regi12 (6) <= a12_cb_regi12 (5); b12_cb_regi12 (6) <= b12_cb_regi12 (5); a13_cb_regi13 (6) <= a13_cb_regi13 (5); b13_cb_regi13 (6) <= b13_cb_regi13 (5); a14_cb_regi14 (6) <= a14_cb_regi14 (5); b14_cb_regi14 (6) <= b14_cb_regi14 (5); a15_cb_regi15 (6) <= a15_cb_regi15 (5); b15_cb_regi15 (6) <= b15_cb_regi15 (5); a8_cb_regi8 (7) <= a8_cb_regi8 (6); b8_cb_regi8 (7) <= b8_cb_regi8 (6); a9_cb_regi9 (7) <= a9_cb_regi9 (6); b9_cb_regi9 (7) <= b9_cb_regi9 (6); a10_cb_regi10 (7) <= a10_cb_regi10 (6); b10_cb_regi10 (7) <= b10_cb_regi10 (6); a11_cb_regi11 (7) <= a11_cb_regi11 (6); b11_cb_regi11 (7) <= b11_cb_regi11 (6); a12_cb_regi12 (7) <= a12_cb_regi12 (6); b12_cb_regi12 (7) <= b12_cb_regi12 (6); a13_cb_regi13 (7) <= a13_cb_regi13 (6); b13_cb_regi13 (7) <= b13_cb_regi13 (6); a14_cb_regi14 (7) <= a14_cb_regi14 (6); b14_cb_regi14 (7) <= b14_cb_regi14 (6); a15_cb_regi15 (7) <= a15_cb_regi15 (6); b15_cb_regi15 (7) <= b15_cb_regi15 (6); a9_cb_regi9 (8) <= a9_cb_regi9 (7); b9_cb_regi9 (8) <= b9_cb_regi9 (7); a10_cb_regi10 (8) <= a10_cb_regi10 (7); b10_cb_regi10 (8) <= b10_cb_regi10 (7); a11_cb_regi11 (8) <= a11_cb_regi11 (7); b11_cb_regi11 (8) <= b11_cb_regi11 (7); a12_cb_regi12 (8) <= a12_cb_regi12 (7); b12_cb_regi12 (8) <= b12_cb_regi12 (7); a13_cb_regi13 (8) <= a13_cb_regi13 (7); b13_cb_regi13 (8) <= b13_cb_regi13 (7); a14_cb_regi14 (8) <= a14_cb_regi14 (7); b14_cb_regi14 (8) <= b14_cb_regi14 (7); a15_cb_regi15 (8) <= a15_cb_regi15 (7); b15_cb_regi15 (8) <= b15_cb_regi15 (7); a10_cb_regi10 (9) <= a10_cb_regi10 (8); b10_cb_regi10 (9) <= b10_cb_regi10 (8); a11_cb_regi11 (9) <= a11_cb_regi11 (8); b11_cb_regi11 (9) <= b11_cb_regi11 (8); a12_cb_regi12 (9) <= a12_cb_regi12 (8); b12_cb_regi12 (9) <= b12_cb_regi12 (8); a13_cb_regi13 (9) <= a13_cb_regi13 (8); b13_cb_regi13 (9) <= b13_cb_regi13 (8); a14_cb_regi14 (9) <= a14_cb_regi14 (8); b14_cb_regi14 (9) <= b14_cb_regi14 (8); a15_cb_regi15 (9) <= a15_cb_regi15 (8); b15_cb_regi15 (9) <= b15_cb_regi15 (8); a11_cb_regi11 (10) <= a11_cb_regi11 (9); b11_cb_regi11 (10) <= b11_cb_regi11 (9); a12_cb_regi12 (10) <= a12_cb_regi12 (9); b12_cb_regi12 (10) <= b12_cb_regi12 (9); a13_cb_regi13 (10) <= a13_cb_regi13 (9); b13_cb_regi13 (10) <= b13_cb_regi13 (9); a14_cb_regi14 (10) <= a14_cb_regi14 (9); b14_cb_regi14 (10) <= b14_cb_regi14 (9); a15_cb_regi15 (10) <= a15_cb_regi15 (9); b15_cb_regi15 (10) <= b15_cb_regi15 (9); a12_cb_regi12 (11) <= a12_cb_regi12 (10); b12_cb_regi12 (11) <= b12_cb_regi12 (10); a13_cb_regi13 (11) <= a13_cb_regi13 (10); b13_cb_regi13 (11) <= b13_cb_regi13 (10); a14_cb_regi14 (11) <= a14_cb_regi14 (10); b14_cb_regi14 (11) <= b14_cb_regi14 (10); a15_cb_regi15 (11) <= a15_cb_regi15 (10); b15_cb_regi15 (11) <= b15_cb_regi15 (10); a13_cb_regi13 (12) <= a13_cb_regi13 (11); b13_cb_regi13 (12) <= b13_cb_regi13 (11); a14_cb_regi14 (12) <= a14_cb_regi14 (11); b14_cb_regi14 (12) <= b14_cb_regi14 (11); a15_cb_regi15 (12) <= a15_cb_regi15 (11); b15_cb_regi15 (12) <= b15_cb_regi15 (11); a14_cb_regi14 (13) <= a14_cb_regi14 (12); b14_cb_regi14 (13) <= b14_cb_regi14 (12); a15_cb_regi15 (13) <= a15_cb_regi15 (12); b15_cb_regi15 (13) <= b15_cb_regi15 (12); a15_cb_regi15 (14) <= a15_cb_regi15 (13); b15_cb_regi15 (14) <= b15_cb_regi15 (13); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; faccout3_co3_reg <= faccout3_co3; faccout4_co4_reg <= faccout4_co4; faccout5_co5_reg <= faccout5_co5; faccout6_co6_reg <= faccout6_co6; faccout7_co7_reg <= faccout7_co7; faccout8_co8_reg <= faccout8_co8; faccout9_co9_reg <= faccout9_co9; faccout10_co10_reg <= faccout10_co10; faccout11_co11_reg <= faccout11_co11; faccout12_co12_reg <= faccout12_co12; faccout13_co13_reg <= faccout13_co13; faccout14_co14_reg <= faccout14_co14; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(15 downto 12), facout => faccout3_co3); u4 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a4_cb_regi4(3), fab => b4_cb_regi4(3), facin => faccout3_co3_reg, fas => fas(19 downto 16), facout => faccout4_co4); u5 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a5_cb_regi5(4), fab => b5_cb_regi5(4), facin => faccout4_co4_reg, fas => fas(23 downto 20), facout => faccout5_co5); u6 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a6_cb_regi6(5), fab => b6_cb_regi6(5), facin => faccout5_co5_reg, fas => fas(27 downto 24), facout => faccout6_co6); u7 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a7_cb_regi7(6), fab => b7_cb_regi7(6), facin => faccout6_co6_reg, fas => fas(31 downto 28), facout => faccout7_co7); u8 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a8_cb_regi8(7), fab => b8_cb_regi8(7), facin => faccout7_co7_reg, fas => fas(35 downto 32), facout => faccout8_co8); u9 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a9_cb_regi9(8), fab => b9_cb_regi9(8), facin => faccout8_co8_reg, fas => fas(39 downto 36), facout => faccout9_co9); u10 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a10_cb_regi10(9), fab => b10_cb_regi10(9), facin => faccout9_co9_reg, fas => fas(43 downto 40), facout => faccout10_co10); u11 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a11_cb_regi11(10), fab => b11_cb_regi11(10), facin => faccout10_co10_reg, fas => fas(47 downto 44), facout => faccout11_co11); u12 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a12_cb_regi12(11), fab => b12_cb_regi12(11), facin => faccout11_co11_reg, fas => fas(51 downto 48), facout => faccout12_co12); u13 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a13_cb_regi13(12), fab => b13_cb_regi13(12), facin => faccout12_co12_reg, fas => fas(55 downto 52), facout => faccout13_co13); u14 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder port map (faa => a14_cb_regi14(13), fab => b14_cb_regi14(13), facin => faccout13_co13_reg, fas => fas(59 downto 56), facout => faccout14_co14); u15 : nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder_f port map (faa => a15_cb_regi15(14), fab => b15_cb_regi15(14), facin => faccout14_co14_reg, fas => fas(63 downto 60), facout => faccout15_co15); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s3_ca_rego3 (0) <= fas(15 downto 12); s4_ca_rego4 (0) <= fas(19 downto 16); s5_ca_rego5 (0) <= fas(23 downto 20); s6_ca_rego6 (0) <= fas(27 downto 24); s7_ca_rego7 (0) <= fas(31 downto 28); s8_ca_rego8 (0) <= fas(35 downto 32); s9_ca_rego9 (0) <= fas(39 downto 36); s10_ca_rego10 (0) <= fas(43 downto 40); s11_ca_rego11 (0) <= fas(47 downto 44); s12_ca_rego12 (0) <= fas(51 downto 48); s13_ca_rego13 (0) <= fas(55 downto 52); s14_ca_rego14 (0) <= fas(59 downto 56); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s0_ca_rego0 (3) <= s0_ca_rego0 (2); s0_ca_rego0 (4) <= s0_ca_rego0 (3); s0_ca_rego0 (5) <= s0_ca_rego0 (4); s0_ca_rego0 (6) <= s0_ca_rego0 (5); s0_ca_rego0 (7) <= s0_ca_rego0 (6); s0_ca_rego0 (8) <= s0_ca_rego0 (7); s0_ca_rego0 (9) <= s0_ca_rego0 (8); s0_ca_rego0 (10) <= s0_ca_rego0 (9); s0_ca_rego0 (11) <= s0_ca_rego0 (10); s0_ca_rego0 (12) <= s0_ca_rego0 (11); s0_ca_rego0 (13) <= s0_ca_rego0 (12); s0_ca_rego0 (14) <= s0_ca_rego0 (13); s1_ca_rego1 (1) <= s1_ca_rego1 (0); s1_ca_rego1 (2) <= s1_ca_rego1 (1); s1_ca_rego1 (3) <= s1_ca_rego1 (2); s1_ca_rego1 (4) <= s1_ca_rego1 (3); s1_ca_rego1 (5) <= s1_ca_rego1 (4); s1_ca_rego1 (6) <= s1_ca_rego1 (5); s1_ca_rego1 (7) <= s1_ca_rego1 (6); s1_ca_rego1 (8) <= s1_ca_rego1 (7); s1_ca_rego1 (9) <= s1_ca_rego1 (8); s1_ca_rego1 (10) <= s1_ca_rego1 (9); s1_ca_rego1 (11) <= s1_ca_rego1 (10); s1_ca_rego1 (12) <= s1_ca_rego1 (11); s1_ca_rego1 (13) <= s1_ca_rego1 (12); s2_ca_rego2 (1) <= s2_ca_rego2 (0); s2_ca_rego2 (2) <= s2_ca_rego2 (1); s2_ca_rego2 (3) <= s2_ca_rego2 (2); s2_ca_rego2 (4) <= s2_ca_rego2 (3); s2_ca_rego2 (5) <= s2_ca_rego2 (4); s2_ca_rego2 (6) <= s2_ca_rego2 (5); s2_ca_rego2 (7) <= s2_ca_rego2 (6); s2_ca_rego2 (8) <= s2_ca_rego2 (7); s2_ca_rego2 (9) <= s2_ca_rego2 (8); s2_ca_rego2 (10) <= s2_ca_rego2 (9); s2_ca_rego2 (11) <= s2_ca_rego2 (10); s2_ca_rego2 (12) <= s2_ca_rego2 (11); s3_ca_rego3 (1) <= s3_ca_rego3 (0); s3_ca_rego3 (2) <= s3_ca_rego3 (1); s3_ca_rego3 (3) <= s3_ca_rego3 (2); s3_ca_rego3 (4) <= s3_ca_rego3 (3); s3_ca_rego3 (5) <= s3_ca_rego3 (4); s3_ca_rego3 (6) <= s3_ca_rego3 (5); s3_ca_rego3 (7) <= s3_ca_rego3 (6); s3_ca_rego3 (8) <= s3_ca_rego3 (7); s3_ca_rego3 (9) <= s3_ca_rego3 (8); s3_ca_rego3 (10) <= s3_ca_rego3 (9); s3_ca_rego3 (11) <= s3_ca_rego3 (10); s4_ca_rego4 (1) <= s4_ca_rego4 (0); s4_ca_rego4 (2) <= s4_ca_rego4 (1); s4_ca_rego4 (3) <= s4_ca_rego4 (2); s4_ca_rego4 (4) <= s4_ca_rego4 (3); s4_ca_rego4 (5) <= s4_ca_rego4 (4); s4_ca_rego4 (6) <= s4_ca_rego4 (5); s4_ca_rego4 (7) <= s4_ca_rego4 (6); s4_ca_rego4 (8) <= s4_ca_rego4 (7); s4_ca_rego4 (9) <= s4_ca_rego4 (8); s4_ca_rego4 (10) <= s4_ca_rego4 (9); s5_ca_rego5 (1) <= s5_ca_rego5 (0); s5_ca_rego5 (2) <= s5_ca_rego5 (1); s5_ca_rego5 (3) <= s5_ca_rego5 (2); s5_ca_rego5 (4) <= s5_ca_rego5 (3); s5_ca_rego5 (5) <= s5_ca_rego5 (4); s5_ca_rego5 (6) <= s5_ca_rego5 (5); s5_ca_rego5 (7) <= s5_ca_rego5 (6); s5_ca_rego5 (8) <= s5_ca_rego5 (7); s5_ca_rego5 (9) <= s5_ca_rego5 (8); s6_ca_rego6 (1) <= s6_ca_rego6 (0); s6_ca_rego6 (2) <= s6_ca_rego6 (1); s6_ca_rego6 (3) <= s6_ca_rego6 (2); s6_ca_rego6 (4) <= s6_ca_rego6 (3); s6_ca_rego6 (5) <= s6_ca_rego6 (4); s6_ca_rego6 (6) <= s6_ca_rego6 (5); s6_ca_rego6 (7) <= s6_ca_rego6 (6); s6_ca_rego6 (8) <= s6_ca_rego6 (7); s7_ca_rego7 (1) <= s7_ca_rego7 (0); s7_ca_rego7 (2) <= s7_ca_rego7 (1); s7_ca_rego7 (3) <= s7_ca_rego7 (2); s7_ca_rego7 (4) <= s7_ca_rego7 (3); s7_ca_rego7 (5) <= s7_ca_rego7 (4); s7_ca_rego7 (6) <= s7_ca_rego7 (5); s7_ca_rego7 (7) <= s7_ca_rego7 (6); s8_ca_rego8 (1) <= s8_ca_rego8 (0); s8_ca_rego8 (2) <= s8_ca_rego8 (1); s8_ca_rego8 (3) <= s8_ca_rego8 (2); s8_ca_rego8 (4) <= s8_ca_rego8 (3); s8_ca_rego8 (5) <= s8_ca_rego8 (4); s8_ca_rego8 (6) <= s8_ca_rego8 (5); s9_ca_rego9 (1) <= s9_ca_rego9 (0); s9_ca_rego9 (2) <= s9_ca_rego9 (1); s9_ca_rego9 (3) <= s9_ca_rego9 (2); s9_ca_rego9 (4) <= s9_ca_rego9 (3); s9_ca_rego9 (5) <= s9_ca_rego9 (4); s10_ca_rego10 (1) <= s10_ca_rego10 (0); s10_ca_rego10 (2) <= s10_ca_rego10 (1); s10_ca_rego10 (3) <= s10_ca_rego10 (2); s10_ca_rego10 (4) <= s10_ca_rego10 (3); s11_ca_rego11 (1) <= s11_ca_rego11 (0); s11_ca_rego11 (2) <= s11_ca_rego11 (1); s11_ca_rego11 (3) <= s11_ca_rego11 (2); s12_ca_rego12 (1) <= s12_ca_rego12 (0); s12_ca_rego12 (2) <= s12_ca_rego12 (1); s13_ca_rego13 (1) <= s13_ca_rego13 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(14); s_tmp(7 downto 4) <= s1_ca_rego1(13); s_tmp(11 downto 8) <= s2_ca_rego2(12); s_tmp(15 downto 12) <= s3_ca_rego3(11); s_tmp(19 downto 16) <= s4_ca_rego4(10); s_tmp(23 downto 20) <= s5_ca_rego5(9); s_tmp(27 downto 24) <= s6_ca_rego6(8); s_tmp(31 downto 28) <= s7_ca_rego7(7); s_tmp(35 downto 32) <= s8_ca_rego8(6); s_tmp(39 downto 36) <= s9_ca_rego9(5); s_tmp(43 downto 40) <= s10_ca_rego10(4); s_tmp(47 downto 44) <= s11_ca_rego11(3); s_tmp(51 downto 48) <= s12_ca_rego12(2); s_tmp(55 downto 52) <= s13_ca_rego13(1); s_tmp(59 downto 56) <= s14_ca_rego14(0); s_tmp(63 downto 60) <= fas(63 downto 60); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder_f is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_64ns_64ns_64_16 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_64ns_64ns_64_16 is component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2_U : component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_AddSubnS_2 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_spartan6/impl/vhdl/nfa_accept_samples_generic_hw_add_14ns_14ns_14_4.vhd
3
9512
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(13 downto 0); b: in std_logic_vector(13 downto 0); s: out std_logic_vector(13 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (2-1 downto 0); fab : IN STD_LOGIC_VECTOR (2-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (2-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(13 downto 0); signal b_reg : std_logic_vector(13 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(13 downto 12); signal b3_cb : std_logic_vector(13 downto 12); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(1 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; -- wires for each full adder sum signal fas : std_logic_vector(13 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(13 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(13 downto 12); b3_cb <= b_reg(13 downto 12); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(13 downto 12), facout => faccout3_co3); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s1_ca_rego1 (1) <= s1_ca_rego1 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(2); s_tmp(7 downto 4) <= s1_ca_rego1(1); s_tmp(11 downto 8) <= s2_ca_rego2(0); s_tmp(13 downto 12) <= fas(13 downto 12); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f is generic(N : natural :=2); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_U : component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/syn/vhdl/nfa_accept_samples_generic_hw_add_32ns_32s_32_8.vhd
6
15847
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(31 downto 0); b: in std_logic_vector(31 downto 0); s: out std_logic_vector(31 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1 is component nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(31 downto 0); signal b_reg : std_logic_vector(31 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(15 downto 12); signal b3_cb : std_logic_vector(15 downto 12); signal a4_cb : std_logic_vector(19 downto 16); signal b4_cb : std_logic_vector(19 downto 16); signal a5_cb : std_logic_vector(23 downto 20); signal b5_cb : std_logic_vector(23 downto 20); signal a6_cb : std_logic_vector(27 downto 24); signal b6_cb : std_logic_vector(27 downto 24); signal a7_cb : std_logic_vector(31 downto 28); signal b7_cb : std_logic_vector(31 downto 28); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; type ramtypei3 is array (3 downto 0) of std_logic_vector(3 downto 0); signal a4_cb_regi4 : ramtypei3; signal b4_cb_regi4 : ramtypei3; type ramtypei4 is array (4 downto 0) of std_logic_vector(3 downto 0); signal a5_cb_regi5 : ramtypei4; signal b5_cb_regi5 : ramtypei4; type ramtypei5 is array (5 downto 0) of std_logic_vector(3 downto 0); signal a6_cb_regi6 : ramtypei5; signal b6_cb_regi6 : ramtypei5; type ramtypei6 is array (6 downto 0) of std_logic_vector(3 downto 0); signal a7_cb_regi7 : ramtypei6; signal b7_cb_regi7 : ramtypei6; -- wires for each full adder sum signal fas : std_logic_vector(31 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout4_co4 : std_logic_vector (0 downto 0); signal faccout5_co5 : std_logic_vector (0 downto 0); signal faccout6_co6 : std_logic_vector (0 downto 0); signal faccout7_co7 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); signal faccout3_co3_reg : std_logic_vector (0 downto 0); signal faccout4_co4_reg : std_logic_vector (0 downto 0); signal faccout5_co5_reg : std_logic_vector (0 downto 0); signal faccout6_co6_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo6 is array (6 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo6; type ramtypeo5 is array (5 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo5; type ramtypeo4 is array (4 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo4; type ramtypeo3 is array (3 downto 0) of std_logic_vector(3 downto 0); signal s3_ca_rego3 : ramtypeo3; type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s4_ca_rego4 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s5_ca_rego5 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s6_ca_rego6 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(31 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(15 downto 12); b3_cb <= b_reg(15 downto 12); a4_cb <= a_reg(19 downto 16); b4_cb <= b_reg(19 downto 16); a5_cb <= a_reg(23 downto 20); b5_cb <= b_reg(23 downto 20); a6_cb <= a_reg(27 downto 24); b6_cb <= b_reg(27 downto 24); a7_cb <= a_reg(31 downto 28); b7_cb <= b_reg(31 downto 28); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a4_cb_regi4 (0) <= a4_cb; b4_cb_regi4 (0) <= b4_cb; a5_cb_regi5 (0) <= a5_cb; b5_cb_regi5 (0) <= b5_cb; a6_cb_regi6 (0) <= a6_cb; b6_cb_regi6 (0) <= b6_cb; a7_cb_regi7 (0) <= a7_cb; b7_cb_regi7 (0) <= b7_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a4_cb_regi4 (1) <= a4_cb_regi4 (0); b4_cb_regi4 (1) <= b4_cb_regi4 (0); a5_cb_regi5 (1) <= a5_cb_regi5 (0); b5_cb_regi5 (1) <= b5_cb_regi5 (0); a6_cb_regi6 (1) <= a6_cb_regi6 (0); b6_cb_regi6 (1) <= b6_cb_regi6 (0); a7_cb_regi7 (1) <= a7_cb_regi7 (0); b7_cb_regi7 (1) <= b7_cb_regi7 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); a4_cb_regi4 (2) <= a4_cb_regi4 (1); b4_cb_regi4 (2) <= b4_cb_regi4 (1); a5_cb_regi5 (2) <= a5_cb_regi5 (1); b5_cb_regi5 (2) <= b5_cb_regi5 (1); a6_cb_regi6 (2) <= a6_cb_regi6 (1); b6_cb_regi6 (2) <= b6_cb_regi6 (1); a7_cb_regi7 (2) <= a7_cb_regi7 (1); b7_cb_regi7 (2) <= b7_cb_regi7 (1); a4_cb_regi4 (3) <= a4_cb_regi4 (2); b4_cb_regi4 (3) <= b4_cb_regi4 (2); a5_cb_regi5 (3) <= a5_cb_regi5 (2); b5_cb_regi5 (3) <= b5_cb_regi5 (2); a6_cb_regi6 (3) <= a6_cb_regi6 (2); b6_cb_regi6 (3) <= b6_cb_regi6 (2); a7_cb_regi7 (3) <= a7_cb_regi7 (2); b7_cb_regi7 (3) <= b7_cb_regi7 (2); a5_cb_regi5 (4) <= a5_cb_regi5 (3); b5_cb_regi5 (4) <= b5_cb_regi5 (3); a6_cb_regi6 (4) <= a6_cb_regi6 (3); b6_cb_regi6 (4) <= b6_cb_regi6 (3); a7_cb_regi7 (4) <= a7_cb_regi7 (3); b7_cb_regi7 (4) <= b7_cb_regi7 (3); a6_cb_regi6 (5) <= a6_cb_regi6 (4); b6_cb_regi6 (5) <= b6_cb_regi6 (4); a7_cb_regi7 (5) <= a7_cb_regi7 (4); b7_cb_regi7 (5) <= b7_cb_regi7 (4); a7_cb_regi7 (6) <= a7_cb_regi7 (5); b7_cb_regi7 (6) <= b7_cb_regi7 (5); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; faccout3_co3_reg <= faccout3_co3; faccout4_co4_reg <= faccout4_co4; faccout5_co5_reg <= faccout5_co5; faccout6_co6_reg <= faccout6_co6; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(15 downto 12), facout => faccout3_co3); u4 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a4_cb_regi4(3), fab => b4_cb_regi4(3), facin => faccout3_co3_reg, fas => fas(19 downto 16), facout => faccout4_co4); u5 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a5_cb_regi5(4), fab => b5_cb_regi5(4), facin => faccout4_co4_reg, fas => fas(23 downto 20), facout => faccout5_co5); u6 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder port map (faa => a6_cb_regi6(5), fab => b6_cb_regi6(5), facin => faccout5_co5_reg, fas => fas(27 downto 24), facout => faccout6_co6); u7 : nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder_f port map (faa => a7_cb_regi7(6), fab => b7_cb_regi7(6), facin => faccout6_co6_reg, fas => fas(31 downto 28), facout => faccout7_co7); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s3_ca_rego3 (0) <= fas(15 downto 12); s4_ca_rego4 (0) <= fas(19 downto 16); s5_ca_rego5 (0) <= fas(23 downto 20); s6_ca_rego6 (0) <= fas(27 downto 24); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s0_ca_rego0 (3) <= s0_ca_rego0 (2); s0_ca_rego0 (4) <= s0_ca_rego0 (3); s0_ca_rego0 (5) <= s0_ca_rego0 (4); s0_ca_rego0 (6) <= s0_ca_rego0 (5); s1_ca_rego1 (1) <= s1_ca_rego1 (0); s1_ca_rego1 (2) <= s1_ca_rego1 (1); s1_ca_rego1 (3) <= s1_ca_rego1 (2); s1_ca_rego1 (4) <= s1_ca_rego1 (3); s1_ca_rego1 (5) <= s1_ca_rego1 (4); s2_ca_rego2 (1) <= s2_ca_rego2 (0); s2_ca_rego2 (2) <= s2_ca_rego2 (1); s2_ca_rego2 (3) <= s2_ca_rego2 (2); s2_ca_rego2 (4) <= s2_ca_rego2 (3); s3_ca_rego3 (1) <= s3_ca_rego3 (0); s3_ca_rego3 (2) <= s3_ca_rego3 (1); s3_ca_rego3 (3) <= s3_ca_rego3 (2); s4_ca_rego4 (1) <= s4_ca_rego4 (0); s4_ca_rego4 (2) <= s4_ca_rego4 (1); s5_ca_rego5 (1) <= s5_ca_rego5 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(6); s_tmp(7 downto 4) <= s1_ca_rego1(5); s_tmp(11 downto 8) <= s2_ca_rego2(4); s_tmp(15 downto 12) <= s3_ca_rego3(3); s_tmp(19 downto 16) <= s4_ca_rego4(2); s_tmp(23 downto 20) <= s5_ca_rego5(1); s_tmp(27 downto 24) <= s6_ca_rego6(0); s_tmp(31 downto 28) <= fas(31 downto 28); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder_f is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_32ns_32s_32_8 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_32ns_32s_32_8 is component nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1_U : component nfa_accept_samples_generic_hw_add_32ns_32s_32_8_AddSubnS_1 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/sim/vhdl/nfa_get_initials.vhd
4
15083
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_initials is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_initials is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "1"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal nfa_initials_buckets_read_reg_63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_136 : BOOLEAN; signal ap_sig_bdd_67 : BOOLEAN; signal ap_sig_bdd_135 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then nfa_initials_buckets_read_reg_63 <= nfa_initials_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_reg_ppiten_pp0_it2 , ap_reg_ppiten_pp0_it3 , nfa_initials_buckets_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if (not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it3, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_initials_buckets_read_reg_63; ap_return_1 <= nfa_initials_buckets_datain; -- ap_sig_bdd_135 assign process. -- ap_sig_bdd_135_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_135 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_136 assign process. -- ap_sig_bdd_136_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it3, nfa_initials_buckets_rsp_empty_n) begin ap_sig_bdd_136 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))); end process; -- ap_sig_bdd_67 assign process. -- ap_sig_bdd_67_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it2, nfa_initials_buckets_rsp_empty_n) begin ap_sig_bdd_67 <= ((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_initials_buckets_address assign process. -- nfa_initials_buckets_address_assign_proc : process(ap_sig_bdd_136, ap_sig_bdd_67, ap_sig_bdd_135) begin if (ap_sig_bdd_135) then if (ap_sig_bdd_67) then nfa_initials_buckets_address <= ap_const_lv64_1(32 - 1 downto 0); elsif (ap_sig_bdd_136) then nfa_initials_buckets_address <= ap_const_lv32_0; else nfa_initials_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_initials_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_initials_buckets_dataout <= ap_const_lv32_0; nfa_initials_buckets_req_din <= ap_const_logic_0; -- nfa_initials_buckets_req_write assign process. -- nfa_initials_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))))) then nfa_initials_buckets_req_write <= ap_const_logic_1; else nfa_initials_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_initials_buckets_rsp_read assign process. -- nfa_initials_buckets_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))))) then nfa_initials_buckets_rsp_read <= ap_const_logic_1; else nfa_initials_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_initials_buckets_size <= ap_const_lv32_1; end behav;
lgpl-3.0
jairov4/accel-oil
solution_spartan6/syn/vhdl/sample_iterator_get_offset.vhd
1
35975
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sample_iterator_get_offset is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_size : IN STD_LOGIC_VECTOR (31 downto 0); sample_length : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of sample_iterator_get_offset is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it8 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it9 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it10 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it11 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it12 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it13 : STD_LOGIC := '0'; signal i_sample_read_reg_130 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_130_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_fu_93_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_reg_135 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_reg_135_pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_reg_135_pp0_it2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppstg_tmp_reg_135_pp0_it3 : STD_LOGIC_VECTOR (31 downto 0); signal indices_stride_addr_read_reg_145 : STD_LOGIC_VECTOR (7 downto 0); signal indices_begin_addr_read_reg_165 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_110_p2 : STD_LOGIC_VECTOR (23 downto 0); signal tmp_1_reg_170 : STD_LOGIC_VECTOR (23 downto 0); signal grp_fu_110_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_110_p1 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_125_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_125_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_110_ce : STD_LOGIC; signal grp_fu_125_p2 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_125_ce : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal grp_fu_110_p00 : STD_LOGIC_VECTOR (23 downto 0); signal grp_fu_110_p10 : STD_LOGIC_VECTOR (23 downto 0); component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (7 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (23 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4_U0 : component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_4 generic map ( ID => 0, NUM_STAGE => 4, din0_WIDTH => 16, din1_WIDTH => 8, dout_WIDTH => 24) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_110_p0, din1 => grp_fu_110_p1, ce => grp_fu_110_ce, dout => grp_fu_110_p2); nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_U1 : component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 generic map ( ID => 1, NUM_STAGE => 8, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_125_p0, din1 => grp_fu_125_p1, ce => grp_fu_125_ce, dout => grp_fu_125_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it10 assign process. -- ap_reg_ppiten_pp0_it10_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it10 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it10 <= ap_reg_ppiten_pp0_it9; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it11 assign process. -- ap_reg_ppiten_pp0_it11_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it11 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it11 <= ap_reg_ppiten_pp0_it10; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it12 assign process. -- ap_reg_ppiten_pp0_it12_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it12 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it12 <= ap_reg_ppiten_pp0_it11; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it13 assign process. -- ap_reg_ppiten_pp0_it13_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it13 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it13 <= ap_reg_ppiten_pp0_it12; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it7 assign process. -- ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it8 assign process. -- ap_reg_ppiten_pp0_it8_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it8 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it8 <= ap_reg_ppiten_pp0_it7; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it9 assign process. -- ap_reg_ppiten_pp0_it9_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it9 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it9 <= ap_reg_ppiten_pp0_it8; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_i_sample_read_reg_130_pp0_it1 <= i_sample_read_reg_130; ap_reg_ppstg_tmp_reg_135_pp0_it1(0) <= tmp_reg_135(0); ap_reg_ppstg_tmp_reg_135_pp0_it1(1) <= tmp_reg_135(1); ap_reg_ppstg_tmp_reg_135_pp0_it1(2) <= tmp_reg_135(2); ap_reg_ppstg_tmp_reg_135_pp0_it1(3) <= tmp_reg_135(3); ap_reg_ppstg_tmp_reg_135_pp0_it1(4) <= tmp_reg_135(4); ap_reg_ppstg_tmp_reg_135_pp0_it1(5) <= tmp_reg_135(5); ap_reg_ppstg_tmp_reg_135_pp0_it1(6) <= tmp_reg_135(6); ap_reg_ppstg_tmp_reg_135_pp0_it1(7) <= tmp_reg_135(7); ap_reg_ppstg_tmp_reg_135_pp0_it1(8) <= tmp_reg_135(8); ap_reg_ppstg_tmp_reg_135_pp0_it1(9) <= tmp_reg_135(9); ap_reg_ppstg_tmp_reg_135_pp0_it1(10) <= tmp_reg_135(10); ap_reg_ppstg_tmp_reg_135_pp0_it1(11) <= tmp_reg_135(11); ap_reg_ppstg_tmp_reg_135_pp0_it1(12) <= tmp_reg_135(12); ap_reg_ppstg_tmp_reg_135_pp0_it1(13) <= tmp_reg_135(13); ap_reg_ppstg_tmp_reg_135_pp0_it1(14) <= tmp_reg_135(14); ap_reg_ppstg_tmp_reg_135_pp0_it1(15) <= tmp_reg_135(15); ap_reg_ppstg_tmp_reg_135_pp0_it2(0) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(0); ap_reg_ppstg_tmp_reg_135_pp0_it2(1) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(1); ap_reg_ppstg_tmp_reg_135_pp0_it2(2) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(2); ap_reg_ppstg_tmp_reg_135_pp0_it2(3) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(3); ap_reg_ppstg_tmp_reg_135_pp0_it2(4) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(4); ap_reg_ppstg_tmp_reg_135_pp0_it2(5) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(5); ap_reg_ppstg_tmp_reg_135_pp0_it2(6) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(6); ap_reg_ppstg_tmp_reg_135_pp0_it2(7) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(7); ap_reg_ppstg_tmp_reg_135_pp0_it2(8) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(8); ap_reg_ppstg_tmp_reg_135_pp0_it2(9) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(9); ap_reg_ppstg_tmp_reg_135_pp0_it2(10) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(10); ap_reg_ppstg_tmp_reg_135_pp0_it2(11) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(11); ap_reg_ppstg_tmp_reg_135_pp0_it2(12) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(12); ap_reg_ppstg_tmp_reg_135_pp0_it2(13) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(13); ap_reg_ppstg_tmp_reg_135_pp0_it2(14) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(14); ap_reg_ppstg_tmp_reg_135_pp0_it2(15) <= ap_reg_ppstg_tmp_reg_135_pp0_it1(15); ap_reg_ppstg_tmp_reg_135_pp0_it3(0) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(0); ap_reg_ppstg_tmp_reg_135_pp0_it3(1) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(1); ap_reg_ppstg_tmp_reg_135_pp0_it3(2) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(2); ap_reg_ppstg_tmp_reg_135_pp0_it3(3) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(3); ap_reg_ppstg_tmp_reg_135_pp0_it3(4) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(4); ap_reg_ppstg_tmp_reg_135_pp0_it3(5) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(5); ap_reg_ppstg_tmp_reg_135_pp0_it3(6) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(6); ap_reg_ppstg_tmp_reg_135_pp0_it3(7) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(7); ap_reg_ppstg_tmp_reg_135_pp0_it3(8) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(8); ap_reg_ppstg_tmp_reg_135_pp0_it3(9) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(9); ap_reg_ppstg_tmp_reg_135_pp0_it3(10) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(10); ap_reg_ppstg_tmp_reg_135_pp0_it3(11) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(11); ap_reg_ppstg_tmp_reg_135_pp0_it3(12) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(12); ap_reg_ppstg_tmp_reg_135_pp0_it3(13) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(13); ap_reg_ppstg_tmp_reg_135_pp0_it3(14) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(14); ap_reg_ppstg_tmp_reg_135_pp0_it3(15) <= ap_reg_ppstg_tmp_reg_135_pp0_it2(15); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then i_sample_read_reg_130 <= i_sample; tmp_reg_135(0) <= tmp_fu_93_p1(0); tmp_reg_135(1) <= tmp_fu_93_p1(1); tmp_reg_135(2) <= tmp_fu_93_p1(2); tmp_reg_135(3) <= tmp_fu_93_p1(3); tmp_reg_135(4) <= tmp_fu_93_p1(4); tmp_reg_135(5) <= tmp_fu_93_p1(5); tmp_reg_135(6) <= tmp_fu_93_p1(6); tmp_reg_135(7) <= tmp_fu_93_p1(7); tmp_reg_135(8) <= tmp_fu_93_p1(8); tmp_reg_135(9) <= tmp_fu_93_p1(9); tmp_reg_135(10) <= tmp_fu_93_p1(10); tmp_reg_135(11) <= tmp_fu_93_p1(11); tmp_reg_135(12) <= tmp_fu_93_p1(12); tmp_reg_135(13) <= tmp_fu_93_p1(13); tmp_reg_135(14) <= tmp_fu_93_p1(14); tmp_reg_135(15) <= tmp_fu_93_p1(15); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_begin_addr_read_reg_165 <= indices_begin_datain; tmp_1_reg_170 <= grp_fu_110_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_stride_addr_read_reg_145 <= indices_stride_datain; end if; end if; end process; tmp_reg_135(31 downto 16) <= "0000000000000000"; ap_reg_ppstg_tmp_reg_135_pp0_it1(31 downto 16) <= "0000000000000000"; ap_reg_ppstg_tmp_reg_135_pp0_it2(31 downto 16) <= "0000000000000000"; ap_reg_ppstg_tmp_reg_135_pp0_it3(31 downto 16) <= "0000000000000000"; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_reg_ppiten_pp0_it5 , indices_stride_rsp_empty_n , indices_begin_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it13, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it13) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, ap_reg_ppiten_pp0_it10, ap_reg_ppiten_pp0_it11, ap_reg_ppiten_pp0_it12, ap_reg_ppiten_pp0_it13) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it10) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it11) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it12) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it13))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return <= grp_fu_125_p2; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, ap_reg_ppiten_pp0_it10, ap_reg_ppiten_pp0_it11, ap_reg_ppiten_pp0_it12) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it10) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it11) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it12) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- grp_fu_110_ce assign process. -- grp_fu_110_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then grp_fu_110_ce <= ap_const_logic_1; else grp_fu_110_ce <= ap_const_logic_0; end if; end process; grp_fu_110_p0 <= grp_fu_110_p00(16 - 1 downto 0); grp_fu_110_p00 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_i_sample_read_reg_130_pp0_it1),24)); grp_fu_110_p1 <= grp_fu_110_p10(8 - 1 downto 0); grp_fu_110_p10 <= std_logic_vector(resize(unsigned(indices_stride_addr_read_reg_145),24)); -- grp_fu_125_ce assign process. -- grp_fu_125_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then grp_fu_125_ce <= ap_const_logic_1; else grp_fu_125_ce <= ap_const_logic_0; end if; end process; grp_fu_125_p0 <= std_logic_vector(resize(unsigned(tmp_1_reg_170),32)); grp_fu_125_p1 <= indices_begin_addr_read_reg_165; indices_begin_address <= ap_reg_ppstg_tmp_reg_135_pp0_it3; indices_begin_dataout <= ap_const_lv32_0; indices_begin_req_din <= ap_const_logic_0; -- indices_begin_req_write assign process. -- indices_begin_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it4) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_begin_req_write <= ap_const_logic_1; else indices_begin_req_write <= ap_const_logic_0; end if; end process; -- indices_begin_rsp_read assign process. -- indices_begin_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_begin_rsp_read <= ap_const_logic_1; else indices_begin_rsp_read <= ap_const_logic_0; end if; end process; indices_begin_size <= ap_const_lv32_1; indices_samples_address <= ap_const_lv32_0; indices_samples_dataout <= ap_const_lv16_0; indices_samples_req_din <= ap_const_logic_0; indices_samples_req_write <= ap_const_logic_0; indices_samples_rsp_read <= ap_const_logic_0; indices_samples_size <= ap_const_lv32_0; indices_stride_address <= tmp_fu_93_p1; indices_stride_dataout <= ap_const_lv8_0; indices_stride_req_din <= ap_const_logic_0; -- indices_stride_req_write assign process. -- indices_stride_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_stride_req_write <= ap_const_logic_1; else indices_stride_req_write <= ap_const_logic_0; end if; end process; -- indices_stride_rsp_read assign process. -- indices_stride_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, indices_stride_rsp_empty_n, indices_begin_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (indices_stride_rsp_empty_n = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_begin_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_stride_rsp_read <= ap_const_logic_1; else indices_stride_rsp_read <= ap_const_logic_0; end if; end process; indices_stride_size <= ap_const_lv32_1; tmp_fu_93_p1 <= std_logic_vector(resize(unsigned(i_index),32)); end behav;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/vhdl/bitset_next.vhd
2
20856
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity bitset_next is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (31 downto 0); r_bit : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket_index : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (0 downto 0) ); end; architecture behav of bitset_next is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal tmp_fu_131_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_reg_214 : STD_LOGIC_VECTOR (1 downto 0); signal bus_assign_fu_141_p2 : STD_LOGIC_VECTOR (31 downto 0); signal bus_assign_reg_219 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_fu_147_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_3_reg_225 : STD_LOGIC_VECTOR (0 downto 0); signal agg_result_bit_write_assign_trunc3_ext_fu_165_p1 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_25_1_fu_153_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_27_1_fu_159_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i1_p_bsf32_hw_fu_120_bus_r : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i1_p_bsf32_hw_fu_120_ap_return : STD_LOGIC_VECTOR (4 downto 0); signal tmp_i_p_bsf32_hw_fu_126_bus_r : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i_p_bsf32_hw_fu_126_ap_return : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it0 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_write_assign_phi_fu_58_p8 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it0 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal agg_result_end_write_assign_phi_fu_74_p8 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it0 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1 : STD_LOGIC_VECTOR (1 downto 0); signal agg_result_bucket_index_write_assign_phi_fu_93_p8 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it0 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1 : STD_LOGIC_VECTOR (7 downto 0); signal agg_result_bit_write_assign_phi_fu_109_p8 : STD_LOGIC_VECTOR (7 downto 0); signal agg_result_bit_write_assign_trunc_ext_fu_169_p1 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_1_fu_135_p2 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_index_write_assign_cast_fu_174_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; component p_bsf32_hw IS port ( bus_r : IN STD_LOGIC_VECTOR (31 downto 0); ap_return : OUT STD_LOGIC_VECTOR (4 downto 0) ); end component; begin tmp_i1_p_bsf32_hw_fu_120 : component p_bsf32_hw port map ( bus_r => tmp_i1_p_bsf32_hw_fu_120_bus_r, ap_return => tmp_i1_p_bsf32_hw_fu_120_ap_return); tmp_i_p_bsf32_hw_fu_126 : component p_bsf32_hw port map ( bus_r => tmp_i_p_bsf32_hw_fu_126_bus_r, ap_return => tmp_i_p_bsf32_hw_fu_126_ap_return); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1 assign process. -- ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_25_1_fu_153_p2)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and not((ap_const_lv1_0 = tmp_27_1_fu_159_p2))))) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1 <= r_bit; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and (ap_const_lv1_0 = tmp_27_1_fu_159_p2))) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1 <= agg_result_bit_write_assign_trunc3_ext_fu_165_p1; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it0; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1 assign process. -- ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_25_1_fu_153_p2)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and not((ap_const_lv1_0 = tmp_27_1_fu_159_p2))))) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1 <= ap_const_lv2_2; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and (ap_const_lv1_0 = tmp_27_1_fu_159_p2))) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1 <= ap_const_lv2_1; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it0; end if; end if; end process; -- ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 assign process. -- ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_25_1_fu_153_p2))) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 <= ap_const_lv32_0; elsif ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and (ap_const_lv1_0 = tmp_27_1_fu_159_p2)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and not((ap_const_lv1_0 = tmp_27_1_fu_159_p2))))) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 <= p_read; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it0; end if; end if; end process; -- ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 assign process. -- ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and (ap_const_lv1_0 = tmp_25_1_fu_153_p2)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and not((ap_const_lv1_0 = tmp_27_1_fu_159_p2))))) then ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 <= ap_const_lv1_1; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce) and not((tmp_3_fu_147_p2 = ap_const_lv1_0)) and not((ap_const_lv1_0 = tmp_25_1_fu_153_p2)) and (ap_const_lv1_0 = tmp_27_1_fu_159_p2))) then ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 <= ap_const_lv1_0; elsif (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1 <= ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then bus_assign_reg_219 <= bus_assign_fu_141_p2; tmp_3_reg_225 <= tmp_3_fu_147_p2; tmp_reg_214 <= tmp_fu_131_p1; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- agg_result_bit_write_assign_phi_fu_109_p8 assign process. -- agg_result_bit_write_assign_phi_fu_109_p8_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it1, tmp_3_reg_225, ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1, agg_result_bit_write_assign_trunc_ext_fu_169_p1) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (tmp_3_reg_225 = ap_const_lv1_0))) then agg_result_bit_write_assign_phi_fu_109_p8 <= agg_result_bit_write_assign_trunc_ext_fu_169_p1; else agg_result_bit_write_assign_phi_fu_109_p8 <= ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it1; end if; end process; agg_result_bit_write_assign_trunc3_ext_fu_165_p1 <= std_logic_vector(resize(unsigned(tmp_i1_p_bsf32_hw_fu_120_ap_return),8)); agg_result_bit_write_assign_trunc_ext_fu_169_p1 <= std_logic_vector(resize(unsigned(tmp_i_p_bsf32_hw_fu_126_ap_return),8)); agg_result_bucket_index_write_assign_cast_fu_174_p1 <= std_logic_vector(resize(unsigned(agg_result_bucket_index_write_assign_phi_fu_93_p8),8)); -- agg_result_bucket_index_write_assign_phi_fu_93_p8 assign process. -- agg_result_bucket_index_write_assign_phi_fu_93_p8_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it1, tmp_reg_214, tmp_3_reg_225, ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (tmp_3_reg_225 = ap_const_lv1_0))) then agg_result_bucket_index_write_assign_phi_fu_93_p8 <= tmp_reg_214; else agg_result_bucket_index_write_assign_phi_fu_93_p8 <= ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it1; end if; end process; -- agg_result_bucket_write_assign_phi_fu_58_p8 assign process. -- agg_result_bucket_write_assign_phi_fu_58_p8_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it1, bus_assign_reg_219, tmp_3_reg_225, ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (tmp_3_reg_225 = ap_const_lv1_0))) then agg_result_bucket_write_assign_phi_fu_58_p8 <= bus_assign_reg_219; else agg_result_bucket_write_assign_phi_fu_58_p8 <= ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it1; end if; end process; -- agg_result_end_write_assign_phi_fu_74_p8 assign process. -- agg_result_end_write_assign_phi_fu_74_p8_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it1, tmp_3_reg_225, ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (tmp_3_reg_225 = ap_const_lv1_0))) then agg_result_end_write_assign_phi_fu_74_p8 <= ap_const_lv1_0; else agg_result_end_write_assign_phi_fu_74_p8 <= ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it1; end if; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_phiprechg_agg_result_bit_write_assign_reg_106pp0_it0 <= ap_const_lv8_1; ap_reg_phiprechg_agg_result_bucket_index_write_assign_reg_88pp0_it0 <= ap_const_lv2_1; ap_reg_phiprechg_agg_result_bucket_write_assign_reg_54pp0_it0 <= ap_const_lv32_1; ap_reg_phiprechg_agg_result_end_write_assign_reg_69pp0_it0 <= ap_const_lv1_1; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return_0 <= agg_result_bit_write_assign_phi_fu_109_p8; ap_return_1 <= agg_result_bucket_index_write_assign_cast_fu_174_p1; ap_return_2 <= agg_result_bucket_write_assign_phi_fu_58_p8; ap_return_3 <= agg_result_end_write_assign_phi_fu_74_p8; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; bus_assign_fu_141_p2 <= (tmp_1_fu_135_p2 and r_bucket); tmp_1_fu_135_p2 <= std_logic_vector(unsigned(r_bucket) + unsigned(ap_const_lv32_FFFFFFFF)); tmp_25_1_fu_153_p2 <= "1" when (tmp_fu_131_p1 = ap_const_lv2_0) else "0"; tmp_27_1_fu_159_p2 <= "1" when (p_read = ap_const_lv32_0) else "0"; tmp_3_fu_147_p2 <= "1" when (bus_assign_fu_141_p2 = ap_const_lv32_0) else "0"; tmp_fu_131_p1 <= r_bucket_index(2 - 1 downto 0); tmp_i1_p_bsf32_hw_fu_120_bus_r <= p_read; tmp_i_p_bsf32_hw_fu_126_bus_r <= bus_assign_reg_219; end behav;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/synhdl/vhdl/nfa_finals_buckets_if.vhd
2
21268
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_finals_buckets_if is generic( MPMC_BASE_ADDRESS : std_logic_vector := X"00000000"; USER_DATA_WIDTH : integer := 32; USER_ADDR_SHIFT : integer := 2 -- log2(byte_count_of_data_width) ); port( --/////////////////////////////////////////////////////////////////////////////// --// MPMC Port Interface - Bus is prefixed with NPI_ NPI_clk : in std_logic; NPI_reset : in std_logic; NPI_Addr : out std_logic_vector(31 downto 0); NPI_AddrReq : out std_logic; NPI_AddrAck : in std_logic; NPI_RNW : out std_logic; NPI_Size : out std_logic_vector(3 downto 0); NPI_WrFIFO_Data : out std_logic_vector(63 downto 0); NPI_WrFIFO_BE : out std_logic_vector(7 downto 0); NPI_WrFIFO_Push : out std_logic; NPI_RdFIFO_Data : in std_logic_vector(63 downto 0); NPI_RdFIFO_Pop : out std_logic; NPI_RdFIFO_RdWdAddr : in std_logic_vector(3 downto 0); NPI_WrFIFO_Empty : in std_logic; NPI_WrFIFO_AlmostFull : in std_logic; NPI_WrFIFO_Flush : out std_logic; NPI_RdFIFO_Empty : in std_logic; NPI_RdFIFO_Flush : out std_logic; NPI_RdFIFO_Latency : in std_logic_vector(1 downto 0); NPI_RdModWr : out std_logic; NPI_InitDone : in std_logic; -- signals from user logic ap_clk : in std_logic; ap_reset : in std_logic; USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read data to user_logic USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS USER_size : in std_logic_vector(31 downto 0); -- burst size of word USER_req_nRW : in std_logic; -- req type 0: Read, 1: write USER_req_full_n : out std_logic; -- req Fifo full USER_req_push : in std_logic; -- req Fifo push (new request in) USER_rsp_empty_n: out std_logic; -- return data FIFO empty USER_rsp_pop : in std_logic -- return data FIFO pop ); end entity; architecture arch_nfa_finals_buckets_if OF nfa_finals_buckets_if IS component nfa_finals_buckets_if_async_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; component nfa_finals_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; component nfa_finals_buckets_if_ap_fifo_af is generic ( DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 6; DEPTH : integer := 64; ALMOST_FULL_MARGIN : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; constant C_PI_ADDR_WIDTH : integer := 32; constant C_PI_DATA_WIDTH : integer := 64; constant C_PI_BE_WIDTH : integer := 8; constant C_PI_RDWDADDR_WIDTH: integer := 4; constant RSW : integer := 7; -- req size width constant REQ_FIFO_DATA_WIDTH : integer := 1+32+RSW+USER_DATA_WIDTH; -- nRW+addr+size+wr_data constant REQ_FIFO_ADDR_WIDTH : integer := 3; constant REQ_FIFO_DEPTH : integer := 8; type req_state_type is (RESET, FETCH_REQ, REQ, WD_SINGLE, WD_BURST1, WD_BURST2, WD_BURST_REQ); signal req_cs, req_ns : req_state_type; type rdata_state_type is (RESET, IDLE, RDATA); signal rdata_cs, rdata_ns : rdata_state_type; -- User interface signal User_size_local : STD_LOGIC_VECTOR(RSW-1 downto 0); signal User_address_local : STD_LOGIC_VECTOR(31 downto 0); -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_dout_req_nRW : STD_LOGIC; signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_fifo_dout_req_size : STD_LOGIC_VECTOR(RSW-1 downto 0); signal req_fifo_dout_wr_data : STD_LOGIC_VECTOR(USER_DATA_WIDTH-1 downto 0); signal req_reg_en : STD_LOGIC; signal nRW_reg : STD_LOGIC; signal address_reg : STD_LOGIC_VECTOR(31 downto 0); signal size_reg : STD_LOGIC_VECTOR(RSW-1 downto 0); -- internal request information signal req_nRW : STD_LOGIC; signal req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_size : STD_LOGIC_VECTOR(RSW-1 downto 0); signal req_BE : STD_LOGIC_VECTOR(C_PI_BE_WIDTH-1 downto 0); signal req_WrData_low : STD_LOGIC_VECTOR(USER_DATA_WIDTH-1 downto 0); signal req_WrData_wdAddr : STD_LOGIC; signal req_WrData_reg_en : STD_LOGIC; signal req_WrData_push : STD_LOGIC; signal req_WrData_BE : STD_LOGIC_VECTOR(C_PI_BE_WIDTH-1 downto 0); signal req_valid : STD_LOGIC; -- burst write signal burst_write_reg_en : STD_LOGIC; signal burst_write_count : STD_LOGIC_VECTOR(5 downto 0); -- max 32 * 64 bits -- burst read signal burst_read_reg_en : STD_LOGIC; signal burst_read_count : STD_LOGIC_VECTOR(RSW-1 downto 0); signal burst_read_wdAddr : STD_LOGIC; -- rsp FIFO constant RSP_FIFO_DATA_WIDTH : integer := RSW + 1; -- req_size + addr(2) constant RSP_FIFO_ADDR_WIDTH : integer := 2; constant RSP_FIFO_DEPTH : integer := 4; -- MPMC limitation signal rsp_fifo_empty_n : STD_LOGIC; signal rsp_fifo_pop : STD_LOGIC; signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH-1 downto 0); signal rsp_fifo_full_n : STD_LOGIC; signal rsp_fifo_push : STD_LOGIC; signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH-1 downto 0); -- internal rdata pop logic signal rdata_pop, rdata_pop_reg1, rdata_pop_reg2: STD_LOGIC; -- rd FIFO: input: MPMC data out, output: user async fifo signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); signal rd_fifo_dout_endian : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); -- rd user FIFO: async fifo to user signal rd_user_fifo_empty_n : STD_LOGIC; signal rd_user_fifo_pop : STD_LOGIC; signal rd_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_user_fifo_full_n : STD_LOGIC; signal rd_user_fifo_push : STD_LOGIC; signal rd_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); begin -- NPI interface NPI_WrFIFO_Flush <= '0'; NPI_RdFIFO_Flush <= '0'; NPI_RdModWr <= '0'; NPI_AddrReq <= req_valid; NPI_Addr <= address_reg; NPI_RNW <= not nRW_reg; NPI_WrFIFO_Push <= req_WrData_push; NPI_WrFIFO_BE <= req_WrData_BE; NPI_RdFIFO_Pop <= rdata_pop; process (req_WrData_wdAddr, req_WrData_low, req_fifo_dout_wr_data) begin NPI_WrFIFO_Data <= (others => '0'); if (req_WrData_wdAddr = '0') then NPI_WrFIFO_Data(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH) <= req_fifo_dout_wr_data; NPI_WrFIFO_Data(USER_DATA_WIDTH-1 downto 0) <= req_WrData_low; else NPI_WrFIFO_Data(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH) <= req_WrData_low; NPI_WrFIFO_Data(USER_DATA_WIDTH-1 downto 0) <= req_fifo_dout_wr_data; end if; end process; process (size_reg) begin NPI_Size <= (others => '0'); if (size_reg = "0000100") then --4w NPI_Size <= "0001"; elsif (size_reg = "0001000") then --8w NPI_Size <= "0010"; elsif (size_reg = "0010000") then --16w NPI_Size <= "0011"; elsif (size_reg = "0100000") then --32w NPI_Size <= "0100"; elsif (size_reg = "1000000") then --64w NPI_Size <= "0101"; end if; end process; -- User interface USER_req_full_n <= req_fifo_full_n; USER_rsp_empty_n <= rd_user_fifo_empty_n; USER_RdData <= rd_user_fifo_dout; rd_user_fifo_pop <= USER_rsp_pop; USER_size_local <= User_size(RSW-1 downto 0) when User_size(RSW-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0,RSW) else CONV_STD_LOGIC_VECTOR(1,RSW); USER_address_local(31 downto USER_ADDR_SHIFT) <= USER_address(31-USER_ADDR_SHIFT downto 0); USER_address_local(USER_ADDR_SHIFT-1 downto 0) <= (others => '0'); -- reqest fifo logics req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= USER_address_local+MPMC_BASE_ADDRESS; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH-1-32-RSW) <= USER_size_local(RSW-1 downto 0); req_fifo_din(USER_DATA_WIDTH -1 downto 0) <= USER_WrData; req_fifo_push <= USER_req_push; U_nfa_finals_buckets_if_req_fifo: component nfa_finals_buckets_if_async_fifo generic map( DATA_WIDTH => REQ_FIFO_DATA_WIDTH, ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH, DEPTH => REQ_FIFO_DEPTH) port map( clk_w => ap_clk, clk_r => NPI_clk, reset => NPI_reset, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1); req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32); req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-RSW); process(req_fifo_dout) variable i,j: integer; begin -- change byte endian to big endian for i in 0 to USER_DATA_WIDTH/8-1 loop j := USER_DATA_WIDTH/8 -1 -i; req_fifo_dout_wr_data(i*8+7 downto i*8) <= req_fifo_dout(j*8+7 downto j*8); end loop; end process; p_req_fifo_out_reg: process (NPI_clk, NPI_reset) variable i,j: integer; begin if (NPI_reset = '1') then nRW_reg <= '0'; address_reg <= (others => '0'); size_reg <= (others => '0'); elsif (NPI_clk'event and NPI_clk = '1') then if (req_reg_en = '1') then nRW_reg <= req_fifo_dout_req_nRW; address_reg <= req_fifo_dout_req_address; size_reg <= req_fifo_dout_req_size; end if; end if; end process; -- write and burst write will be controlled by state machine due to MPMC limitation -- read and burst read will have seperate return data phase logic for a pipelined access p_state_trans: process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then req_cs <= RESET; elsif (NPI_clk'event and NPI_clk = '1') then req_cs <= req_ns; end if; end process; -- CAUTION: NPI_AddrAck is a combinational output of NPI_AddrReq -- do not make NPI_AddrReq(req_valid) depends on NPI_AddrAck p_state_output: process (req_cs, NPI_InitDone, req_fifo_empty_n, req_fifo_dout_req_nRW, NPI_AddrAck, rsp_fifo_full_n, nRW_reg, size_reg, burst_write_count, req_WrData_wdAddr, req_fifo_dout_req_size, NPI_WrFIFO_AlmostFull) begin req_ns <= FETCH_REQ; req_reg_en <= '0'; req_fifo_pop <= '0'; rsp_fifo_push <= '0'; req_WrData_reg_en <= '0'; burst_write_reg_en <= '0'; req_valid <= '0'; req_WrData_push <= '0'; req_WrData_BE <= "11111111"; case req_cs is when RESET => req_ns <= RESET; if (NPI_InitDone = '1') then req_ns <= FETCH_REQ; end if; when FETCH_REQ => req_ns <= FETCH_REQ; if (req_fifo_empty_n = '1') then if (req_fifo_dout_req_nRW = '1') then req_reg_en <= '1'; req_ns <= REQ; elsif (rsp_fifo_full_n = '1') then req_reg_en <= '1'; req_fifo_pop <= '1'; rsp_fifo_push <= '1'; req_ns <= REQ; end if; end if; when REQ => req_ns <= REQ; if (nRW_reg = '0') then req_valid <= '1'; if (NPI_AddrAck = '1') then req_ns <= FETCH_REQ; end if; elsif (nRW_reg = '1' and size_reg = CONV_STD_LOGIC_VECTOR(1,RSW)) then req_valid <= '1'; if (NPI_AddrAck = '1') then req_WrData_reg_en <= '1'; req_ns <= WD_SINGLE; end if; elsif (nRW_reg = '1' and size_reg /= CONV_STD_LOGIC_VECTOR(1,RSW)) then burst_write_reg_en <= '1'; req_ns <= WD_BURST1; end if; when WD_SINGLE => req_ns <= WD_SINGLE; if (NPI_WrFIFO_AlmostFull = '0') then req_WrData_push <= '1'; req_fifo_pop <= '1'; req_ns <= FETCH_REQ; end if; if (req_WrData_wdAddr = '0') then req_WrData_BE <= "00001111"; else req_WrData_BE <= "11110000"; end if; when WD_BURST1 => req_ns <= WD_BURST1; if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; req_WrData_reg_en <= '1'; req_ns <= WD_BURST2; end if; when WD_BURST2 => req_ns <= WD_BURST2; if (req_fifo_empty_n = '1' and NPI_WrFIFO_AlmostFull = '0') then req_fifo_pop <= '1'; req_WrData_push <= '1'; if (burst_write_count /= "000001") then -- not last word req_ns <= WD_BURST1; else req_ns <= WD_BURST_REQ; end if; end if; when WD_BURST_REQ => req_ns <= WD_BURST_REQ; req_valid <= '1'; if (NPI_AddrAck = '1') then req_ns <= FETCH_REQ; end if; when others => null; end case; end process; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then req_WrData_low <= (others =>'0'); req_WrData_wdAddr <= '0'; burst_write_count <= (others =>'0'); elsif (NPI_clk'event and NPI_clk = '1') then if (req_WrData_reg_en = '1') then req_WrData_low <= req_fifo_dout_wr_data; req_WrData_wdAddr <= req_fifo_dout_req_address(2); end if; if (burst_write_reg_en = '1') then burst_write_count <= req_fifo_dout_req_size(RSW-1 downto RSW-6); elsif (req_WrData_push = '1') then burst_write_count <= burst_write_count-1; end if; end if; end process; -- below is the response (read data) part U_nfa_finals_buckets_if_rsp_fifo: component nfa_finals_buckets_if_ap_fifo generic map( DATA_WIDTH => RSP_FIFO_DATA_WIDTH, ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH, DEPTH => RSP_FIFO_DEPTH) port map( clk => NPI_clk, reset => NPI_reset, if_empty_n => rsp_fifo_empty_n, if_read => rsp_fifo_pop, if_dout => rsp_fifo_dout, if_full_n => rsp_fifo_full_n, if_write => rsp_fifo_push, if_din => rsp_fifo_din ); rsp_fifo_din(RSP_FIFO_DATA_WIDTH-1 downto 1) <= req_fifo_dout_req_size; rsp_fifo_din(0) <= req_fifo_dout_req_address(2); rdata_pop <= (not NPI_RdFIFO_Empty) and rd_fifo_full_n; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then rdata_pop_reg1 <= '0'; rdata_pop_reg2 <= '0'; elsif (NPI_clk'event and NPI_clk = '1') then rdata_pop_reg1 <= rdata_pop; rdata_pop_reg2 <= rdata_pop_reg1; end if; end process; process (NPI_RdFIFO_Latency, rdata_pop, rdata_pop_reg1, rdata_pop_reg2) begin if (NPI_RdFIFO_Latency = "00") then rd_fifo_push <= rdata_pop; elsif (NPI_RdFIFO_Latency = "01") then rd_fifo_push <= rdata_pop_reg1; else rd_fifo_push <= rdata_pop_reg2; end if; end process; rd_fifo_din <= NPI_RdFIFO_Data; -- 1. this fifo provide two 64w burst storage -- 2. with almost full signal for MPMC has potential 2 latency from pop to data -- 3. can't replace this fifo with asyn fifo which doesn't support almost_full U_nfa_finals_buckets_if_rd_fifo: component nfa_finals_buckets_if_ap_fifo_af generic map( DATA_WIDTH => C_PI_DATA_WIDTH, ADDR_WIDTH => 6, DEPTH => 64, ALMOST_FULL_MARGIN => 2) port map( clk => NPI_clk, reset => NPI_reset, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, -- this is almost_full signal if_write => rd_fifo_push, if_din => rd_fifo_din ); process(rd_fifo_dout) variable i,j : integer; begin -- change byte endian to big endian for i in 0 to C_PI_BE_WIDTH-1 loop j := C_PI_BE_WIDTH-1 -i; rd_fifo_dout_endian(i*8+7 downto i*8) <= rd_fifo_dout(j*8+7 downto j*8); end loop; end process; p_rdata_state_trans: process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then rdata_cs <= RESET; elsif (NPI_clk'event and NPI_clk = '1') then rdata_cs <= rdata_ns; end if; end process; p_rdata_ns_gen: process (rdata_cs, NPI_InitDone, rsp_fifo_empty_n, burst_read_count) begin rdata_ns <= RESET; case rdata_cs is when RESET => if (NPI_InitDone = '1') then rdata_ns <= IDLE; end if; when IDLE => rdata_ns <= IDLE; if (rsp_fifo_empty_n = '1') then rdata_ns <= RDATA; end if; when RDATA => rdata_ns <= RDATA; if (burst_read_count = CONV_STD_LOGIC_VECTOR(0,RSW)) then rdata_ns <= IDLE; end if; when others => null; end case; end process; p_rdata_state_output: process (rdata_cs, rsp_fifo_empty_n, burst_read_count, rd_fifo_empty_n, rd_user_fifo_full_n) begin burst_read_reg_en <= '0'; rd_fifo_pop <= '0'; rd_user_fifo_push <= '0'; rsp_fifo_pop <= '0'; case rdata_cs is when RESET => null; when IDLE => if (rsp_fifo_empty_n = '1') then burst_read_reg_en <= '1'; end if; when RDATA => if (burst_read_count /= CONV_STD_LOGIC_VECTOR(0,RSW) and rd_fifo_empty_n = '1' and rd_user_fifo_full_n = '1') then if (burst_read_count(0) = '1') then rd_fifo_pop <= '1'; end if; rd_user_fifo_push <= '1'; end if; if (burst_read_count = CONV_STD_LOGIC_VECTOR(0, RSW) ) then rsp_fifo_pop <= '1'; end if; when others => null; end case; end process; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then burst_read_count <= (others =>'0'); elsif (NPI_clk'event and NPI_clk = '1') then if (burst_read_reg_en = '1') then burst_read_count <= rsp_fifo_dout(RSP_FIFO_DATA_WIDTH-1 downto RSP_FIFO_DATA_WIDTH-RSW); burst_read_wdAddr <= rsp_fifo_dout(0); elsif (rd_user_fifo_push = '1') then burst_read_count <= burst_read_count -1; burst_read_wdAddr <= not burst_read_wdAddr; end if; end if; end process; rd_user_fifo_din <= rd_fifo_dout_endian(USER_DATA_WIDTH-1 downto 0) when burst_read_wdAddr = '1' else rd_fifo_dout_endian(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH); U_nfa_finals_buckets_if_rd_user_fifo: component nfa_finals_buckets_if_async_fifo generic map( DATA_WIDTH => USER_DATA_WIDTH, ADDR_WIDTH => 3, DEPTH => 8) port map( clk_w => NPI_clk, clk_r => ap_clk, reset => NPI_reset, if_empty_n => rd_user_fifo_empty_n, if_read => rd_user_fifo_pop, if_dout => rd_user_fifo_dout, if_full_n => rd_user_fifo_full_n, if_write => rd_user_fifo_push, if_din => rd_user_fifo_din ); end arch_nfa_finals_buckets_if;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/simhdl/vhdl/nfa_finals_buckets_if.vhd
2
21268
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_finals_buckets_if is generic( MPMC_BASE_ADDRESS : std_logic_vector := X"00000000"; USER_DATA_WIDTH : integer := 32; USER_ADDR_SHIFT : integer := 2 -- log2(byte_count_of_data_width) ); port( --/////////////////////////////////////////////////////////////////////////////// --// MPMC Port Interface - Bus is prefixed with NPI_ NPI_clk : in std_logic; NPI_reset : in std_logic; NPI_Addr : out std_logic_vector(31 downto 0); NPI_AddrReq : out std_logic; NPI_AddrAck : in std_logic; NPI_RNW : out std_logic; NPI_Size : out std_logic_vector(3 downto 0); NPI_WrFIFO_Data : out std_logic_vector(63 downto 0); NPI_WrFIFO_BE : out std_logic_vector(7 downto 0); NPI_WrFIFO_Push : out std_logic; NPI_RdFIFO_Data : in std_logic_vector(63 downto 0); NPI_RdFIFO_Pop : out std_logic; NPI_RdFIFO_RdWdAddr : in std_logic_vector(3 downto 0); NPI_WrFIFO_Empty : in std_logic; NPI_WrFIFO_AlmostFull : in std_logic; NPI_WrFIFO_Flush : out std_logic; NPI_RdFIFO_Empty : in std_logic; NPI_RdFIFO_Flush : out std_logic; NPI_RdFIFO_Latency : in std_logic_vector(1 downto 0); NPI_RdModWr : out std_logic; NPI_InitDone : in std_logic; -- signals from user logic ap_clk : in std_logic; ap_reset : in std_logic; USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read data to user_logic USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS USER_size : in std_logic_vector(31 downto 0); -- burst size of word USER_req_nRW : in std_logic; -- req type 0: Read, 1: write USER_req_full_n : out std_logic; -- req Fifo full USER_req_push : in std_logic; -- req Fifo push (new request in) USER_rsp_empty_n: out std_logic; -- return data FIFO empty USER_rsp_pop : in std_logic -- return data FIFO pop ); end entity; architecture arch_nfa_finals_buckets_if OF nfa_finals_buckets_if IS component nfa_finals_buckets_if_async_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; component nfa_finals_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 3; DEPTH : integer := 8); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; component nfa_finals_buckets_if_ap_fifo_af is generic ( DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 6; DEPTH : integer := 64; ALMOST_FULL_MARGIN : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC); end component; constant C_PI_ADDR_WIDTH : integer := 32; constant C_PI_DATA_WIDTH : integer := 64; constant C_PI_BE_WIDTH : integer := 8; constant C_PI_RDWDADDR_WIDTH: integer := 4; constant RSW : integer := 7; -- req size width constant REQ_FIFO_DATA_WIDTH : integer := 1+32+RSW+USER_DATA_WIDTH; -- nRW+addr+size+wr_data constant REQ_FIFO_ADDR_WIDTH : integer := 3; constant REQ_FIFO_DEPTH : integer := 8; type req_state_type is (RESET, FETCH_REQ, REQ, WD_SINGLE, WD_BURST1, WD_BURST2, WD_BURST_REQ); signal req_cs, req_ns : req_state_type; type rdata_state_type is (RESET, IDLE, RDATA); signal rdata_cs, rdata_ns : rdata_state_type; -- User interface signal User_size_local : STD_LOGIC_VECTOR(RSW-1 downto 0); signal User_address_local : STD_LOGIC_VECTOR(31 downto 0); -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_dout_req_nRW : STD_LOGIC; signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_fifo_dout_req_size : STD_LOGIC_VECTOR(RSW-1 downto 0); signal req_fifo_dout_wr_data : STD_LOGIC_VECTOR(USER_DATA_WIDTH-1 downto 0); signal req_reg_en : STD_LOGIC; signal nRW_reg : STD_LOGIC; signal address_reg : STD_LOGIC_VECTOR(31 downto 0); signal size_reg : STD_LOGIC_VECTOR(RSW-1 downto 0); -- internal request information signal req_nRW : STD_LOGIC; signal req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_size : STD_LOGIC_VECTOR(RSW-1 downto 0); signal req_BE : STD_LOGIC_VECTOR(C_PI_BE_WIDTH-1 downto 0); signal req_WrData_low : STD_LOGIC_VECTOR(USER_DATA_WIDTH-1 downto 0); signal req_WrData_wdAddr : STD_LOGIC; signal req_WrData_reg_en : STD_LOGIC; signal req_WrData_push : STD_LOGIC; signal req_WrData_BE : STD_LOGIC_VECTOR(C_PI_BE_WIDTH-1 downto 0); signal req_valid : STD_LOGIC; -- burst write signal burst_write_reg_en : STD_LOGIC; signal burst_write_count : STD_LOGIC_VECTOR(5 downto 0); -- max 32 * 64 bits -- burst read signal burst_read_reg_en : STD_LOGIC; signal burst_read_count : STD_LOGIC_VECTOR(RSW-1 downto 0); signal burst_read_wdAddr : STD_LOGIC; -- rsp FIFO constant RSP_FIFO_DATA_WIDTH : integer := RSW + 1; -- req_size + addr(2) constant RSP_FIFO_ADDR_WIDTH : integer := 2; constant RSP_FIFO_DEPTH : integer := 4; -- MPMC limitation signal rsp_fifo_empty_n : STD_LOGIC; signal rsp_fifo_pop : STD_LOGIC; signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH-1 downto 0); signal rsp_fifo_full_n : STD_LOGIC; signal rsp_fifo_push : STD_LOGIC; signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH-1 downto 0); -- internal rdata pop logic signal rdata_pop, rdata_pop_reg1, rdata_pop_reg2: STD_LOGIC; -- rd FIFO: input: MPMC data out, output: user async fifo signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); signal rd_fifo_dout_endian : STD_LOGIC_VECTOR(C_PI_DATA_WIDTH -1 downto 0); -- rd user FIFO: async fifo to user signal rd_user_fifo_empty_n : STD_LOGIC; signal rd_user_fifo_pop : STD_LOGIC; signal rd_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_user_fifo_full_n : STD_LOGIC; signal rd_user_fifo_push : STD_LOGIC; signal rd_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); begin -- NPI interface NPI_WrFIFO_Flush <= '0'; NPI_RdFIFO_Flush <= '0'; NPI_RdModWr <= '0'; NPI_AddrReq <= req_valid; NPI_Addr <= address_reg; NPI_RNW <= not nRW_reg; NPI_WrFIFO_Push <= req_WrData_push; NPI_WrFIFO_BE <= req_WrData_BE; NPI_RdFIFO_Pop <= rdata_pop; process (req_WrData_wdAddr, req_WrData_low, req_fifo_dout_wr_data) begin NPI_WrFIFO_Data <= (others => '0'); if (req_WrData_wdAddr = '0') then NPI_WrFIFO_Data(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH) <= req_fifo_dout_wr_data; NPI_WrFIFO_Data(USER_DATA_WIDTH-1 downto 0) <= req_WrData_low; else NPI_WrFIFO_Data(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH) <= req_WrData_low; NPI_WrFIFO_Data(USER_DATA_WIDTH-1 downto 0) <= req_fifo_dout_wr_data; end if; end process; process (size_reg) begin NPI_Size <= (others => '0'); if (size_reg = "0000100") then --4w NPI_Size <= "0001"; elsif (size_reg = "0001000") then --8w NPI_Size <= "0010"; elsif (size_reg = "0010000") then --16w NPI_Size <= "0011"; elsif (size_reg = "0100000") then --32w NPI_Size <= "0100"; elsif (size_reg = "1000000") then --64w NPI_Size <= "0101"; end if; end process; -- User interface USER_req_full_n <= req_fifo_full_n; USER_rsp_empty_n <= rd_user_fifo_empty_n; USER_RdData <= rd_user_fifo_dout; rd_user_fifo_pop <= USER_rsp_pop; USER_size_local <= User_size(RSW-1 downto 0) when User_size(RSW-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0,RSW) else CONV_STD_LOGIC_VECTOR(1,RSW); USER_address_local(31 downto USER_ADDR_SHIFT) <= USER_address(31-USER_ADDR_SHIFT downto 0); USER_address_local(USER_ADDR_SHIFT-1 downto 0) <= (others => '0'); -- reqest fifo logics req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= USER_address_local+MPMC_BASE_ADDRESS; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH-1-32-RSW) <= USER_size_local(RSW-1 downto 0); req_fifo_din(USER_DATA_WIDTH -1 downto 0) <= USER_WrData; req_fifo_push <= USER_req_push; U_nfa_finals_buckets_if_req_fifo: component nfa_finals_buckets_if_async_fifo generic map( DATA_WIDTH => REQ_FIFO_DATA_WIDTH, ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH, DEPTH => REQ_FIFO_DEPTH) port map( clk_w => ap_clk, clk_r => NPI_clk, reset => NPI_reset, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1); req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32); req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-RSW); process(req_fifo_dout) variable i,j: integer; begin -- change byte endian to big endian for i in 0 to USER_DATA_WIDTH/8-1 loop j := USER_DATA_WIDTH/8 -1 -i; req_fifo_dout_wr_data(i*8+7 downto i*8) <= req_fifo_dout(j*8+7 downto j*8); end loop; end process; p_req_fifo_out_reg: process (NPI_clk, NPI_reset) variable i,j: integer; begin if (NPI_reset = '1') then nRW_reg <= '0'; address_reg <= (others => '0'); size_reg <= (others => '0'); elsif (NPI_clk'event and NPI_clk = '1') then if (req_reg_en = '1') then nRW_reg <= req_fifo_dout_req_nRW; address_reg <= req_fifo_dout_req_address; size_reg <= req_fifo_dout_req_size; end if; end if; end process; -- write and burst write will be controlled by state machine due to MPMC limitation -- read and burst read will have seperate return data phase logic for a pipelined access p_state_trans: process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then req_cs <= RESET; elsif (NPI_clk'event and NPI_clk = '1') then req_cs <= req_ns; end if; end process; -- CAUTION: NPI_AddrAck is a combinational output of NPI_AddrReq -- do not make NPI_AddrReq(req_valid) depends on NPI_AddrAck p_state_output: process (req_cs, NPI_InitDone, req_fifo_empty_n, req_fifo_dout_req_nRW, NPI_AddrAck, rsp_fifo_full_n, nRW_reg, size_reg, burst_write_count, req_WrData_wdAddr, req_fifo_dout_req_size, NPI_WrFIFO_AlmostFull) begin req_ns <= FETCH_REQ; req_reg_en <= '0'; req_fifo_pop <= '0'; rsp_fifo_push <= '0'; req_WrData_reg_en <= '0'; burst_write_reg_en <= '0'; req_valid <= '0'; req_WrData_push <= '0'; req_WrData_BE <= "11111111"; case req_cs is when RESET => req_ns <= RESET; if (NPI_InitDone = '1') then req_ns <= FETCH_REQ; end if; when FETCH_REQ => req_ns <= FETCH_REQ; if (req_fifo_empty_n = '1') then if (req_fifo_dout_req_nRW = '1') then req_reg_en <= '1'; req_ns <= REQ; elsif (rsp_fifo_full_n = '1') then req_reg_en <= '1'; req_fifo_pop <= '1'; rsp_fifo_push <= '1'; req_ns <= REQ; end if; end if; when REQ => req_ns <= REQ; if (nRW_reg = '0') then req_valid <= '1'; if (NPI_AddrAck = '1') then req_ns <= FETCH_REQ; end if; elsif (nRW_reg = '1' and size_reg = CONV_STD_LOGIC_VECTOR(1,RSW)) then req_valid <= '1'; if (NPI_AddrAck = '1') then req_WrData_reg_en <= '1'; req_ns <= WD_SINGLE; end if; elsif (nRW_reg = '1' and size_reg /= CONV_STD_LOGIC_VECTOR(1,RSW)) then burst_write_reg_en <= '1'; req_ns <= WD_BURST1; end if; when WD_SINGLE => req_ns <= WD_SINGLE; if (NPI_WrFIFO_AlmostFull = '0') then req_WrData_push <= '1'; req_fifo_pop <= '1'; req_ns <= FETCH_REQ; end if; if (req_WrData_wdAddr = '0') then req_WrData_BE <= "00001111"; else req_WrData_BE <= "11110000"; end if; when WD_BURST1 => req_ns <= WD_BURST1; if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; req_WrData_reg_en <= '1'; req_ns <= WD_BURST2; end if; when WD_BURST2 => req_ns <= WD_BURST2; if (req_fifo_empty_n = '1' and NPI_WrFIFO_AlmostFull = '0') then req_fifo_pop <= '1'; req_WrData_push <= '1'; if (burst_write_count /= "000001") then -- not last word req_ns <= WD_BURST1; else req_ns <= WD_BURST_REQ; end if; end if; when WD_BURST_REQ => req_ns <= WD_BURST_REQ; req_valid <= '1'; if (NPI_AddrAck = '1') then req_ns <= FETCH_REQ; end if; when others => null; end case; end process; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then req_WrData_low <= (others =>'0'); req_WrData_wdAddr <= '0'; burst_write_count <= (others =>'0'); elsif (NPI_clk'event and NPI_clk = '1') then if (req_WrData_reg_en = '1') then req_WrData_low <= req_fifo_dout_wr_data; req_WrData_wdAddr <= req_fifo_dout_req_address(2); end if; if (burst_write_reg_en = '1') then burst_write_count <= req_fifo_dout_req_size(RSW-1 downto RSW-6); elsif (req_WrData_push = '1') then burst_write_count <= burst_write_count-1; end if; end if; end process; -- below is the response (read data) part U_nfa_finals_buckets_if_rsp_fifo: component nfa_finals_buckets_if_ap_fifo generic map( DATA_WIDTH => RSP_FIFO_DATA_WIDTH, ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH, DEPTH => RSP_FIFO_DEPTH) port map( clk => NPI_clk, reset => NPI_reset, if_empty_n => rsp_fifo_empty_n, if_read => rsp_fifo_pop, if_dout => rsp_fifo_dout, if_full_n => rsp_fifo_full_n, if_write => rsp_fifo_push, if_din => rsp_fifo_din ); rsp_fifo_din(RSP_FIFO_DATA_WIDTH-1 downto 1) <= req_fifo_dout_req_size; rsp_fifo_din(0) <= req_fifo_dout_req_address(2); rdata_pop <= (not NPI_RdFIFO_Empty) and rd_fifo_full_n; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then rdata_pop_reg1 <= '0'; rdata_pop_reg2 <= '0'; elsif (NPI_clk'event and NPI_clk = '1') then rdata_pop_reg1 <= rdata_pop; rdata_pop_reg2 <= rdata_pop_reg1; end if; end process; process (NPI_RdFIFO_Latency, rdata_pop, rdata_pop_reg1, rdata_pop_reg2) begin if (NPI_RdFIFO_Latency = "00") then rd_fifo_push <= rdata_pop; elsif (NPI_RdFIFO_Latency = "01") then rd_fifo_push <= rdata_pop_reg1; else rd_fifo_push <= rdata_pop_reg2; end if; end process; rd_fifo_din <= NPI_RdFIFO_Data; -- 1. this fifo provide two 64w burst storage -- 2. with almost full signal for MPMC has potential 2 latency from pop to data -- 3. can't replace this fifo with asyn fifo which doesn't support almost_full U_nfa_finals_buckets_if_rd_fifo: component nfa_finals_buckets_if_ap_fifo_af generic map( DATA_WIDTH => C_PI_DATA_WIDTH, ADDR_WIDTH => 6, DEPTH => 64, ALMOST_FULL_MARGIN => 2) port map( clk => NPI_clk, reset => NPI_reset, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, -- this is almost_full signal if_write => rd_fifo_push, if_din => rd_fifo_din ); process(rd_fifo_dout) variable i,j : integer; begin -- change byte endian to big endian for i in 0 to C_PI_BE_WIDTH-1 loop j := C_PI_BE_WIDTH-1 -i; rd_fifo_dout_endian(i*8+7 downto i*8) <= rd_fifo_dout(j*8+7 downto j*8); end loop; end process; p_rdata_state_trans: process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then rdata_cs <= RESET; elsif (NPI_clk'event and NPI_clk = '1') then rdata_cs <= rdata_ns; end if; end process; p_rdata_ns_gen: process (rdata_cs, NPI_InitDone, rsp_fifo_empty_n, burst_read_count) begin rdata_ns <= RESET; case rdata_cs is when RESET => if (NPI_InitDone = '1') then rdata_ns <= IDLE; end if; when IDLE => rdata_ns <= IDLE; if (rsp_fifo_empty_n = '1') then rdata_ns <= RDATA; end if; when RDATA => rdata_ns <= RDATA; if (burst_read_count = CONV_STD_LOGIC_VECTOR(0,RSW)) then rdata_ns <= IDLE; end if; when others => null; end case; end process; p_rdata_state_output: process (rdata_cs, rsp_fifo_empty_n, burst_read_count, rd_fifo_empty_n, rd_user_fifo_full_n) begin burst_read_reg_en <= '0'; rd_fifo_pop <= '0'; rd_user_fifo_push <= '0'; rsp_fifo_pop <= '0'; case rdata_cs is when RESET => null; when IDLE => if (rsp_fifo_empty_n = '1') then burst_read_reg_en <= '1'; end if; when RDATA => if (burst_read_count /= CONV_STD_LOGIC_VECTOR(0,RSW) and rd_fifo_empty_n = '1' and rd_user_fifo_full_n = '1') then if (burst_read_count(0) = '1') then rd_fifo_pop <= '1'; end if; rd_user_fifo_push <= '1'; end if; if (burst_read_count = CONV_STD_LOGIC_VECTOR(0, RSW) ) then rsp_fifo_pop <= '1'; end if; when others => null; end case; end process; process (NPI_clk, NPI_reset) begin if (NPI_reset = '1') then burst_read_count <= (others =>'0'); elsif (NPI_clk'event and NPI_clk = '1') then if (burst_read_reg_en = '1') then burst_read_count <= rsp_fifo_dout(RSP_FIFO_DATA_WIDTH-1 downto RSP_FIFO_DATA_WIDTH-RSW); burst_read_wdAddr <= rsp_fifo_dout(0); elsif (rd_user_fifo_push = '1') then burst_read_count <= burst_read_count -1; burst_read_wdAddr <= not burst_read_wdAddr; end if; end if; end process; rd_user_fifo_din <= rd_fifo_dout_endian(USER_DATA_WIDTH-1 downto 0) when burst_read_wdAddr = '1' else rd_fifo_dout_endian(C_PI_DATA_WIDTH-1 downto USER_DATA_WIDTH); U_nfa_finals_buckets_if_rd_user_fifo: component nfa_finals_buckets_if_async_fifo generic map( DATA_WIDTH => USER_DATA_WIDTH, ADDR_WIDTH => 3, DEPTH => 8) port map( clk_w => NPI_clk, clk_r => ap_clk, reset => NPI_reset, if_empty_n => rd_user_fifo_empty_n, if_read => rd_user_fifo_pop, if_dout => rd_user_fifo_dout, if_full_n => rd_user_fifo_full_n, if_write => rd_user_fifo_push, if_din => rd_user_fifo_din ); end arch_nfa_finals_buckets_if;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/impl/pcores/nfa_accept_samples_generic_hw_top_v1_01_a/simhdl/vhdl/nfa_initials_buckets_if.vhd
2
27940
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_initials_buckets_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3; USER_DATA_WIDTH : integer := 32; USER_DATA_WIDTH_2N : integer := 32; USER_ADDR_SHIFT : integer := 2; -- log2(byte_count_of_data_width) REMOTE_DESTINATION_ADDRESS : std_logic_vector(0 to 31):= X"00000000" ); port ( -- Bus protocol ports, do not add to or delete MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_UABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); M_wrBurst : out std_logic; M_rdBurst : out std_logic; PLB_MAddrAck : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); PLB_MRearbitrate : in std_logic; PLB_MTimeout : in std_logic; PLB_MBusy : in std_logic; PLB_MRdErr : in std_logic; PLB_MWrErr : in std_logic; PLB_MIRQ : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRdDAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MWrBTerm : in std_logic; -- signals from user logic USER_RdData : out std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus read return data to user_logic USER_WrData : in std_logic_vector(USER_DATA_WIDTH - 1 downto 0); -- Bus write data USER_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS USER_size : in std_logic_vector(31 downto 0); -- burst size of word USER_req_nRW : in std_logic; -- req type 0: Read, 1: write USER_req_full_n : out std_logic; -- req Fifo full USER_req_push : in std_logic; -- req Fifo push (new request in) USER_rsp_empty_n : out std_logic; -- return data FIFO empty USER_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of MPLB_Clk : signal is "Clk"; attribute SIGIS of MPLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of nfa_initials_buckets_if is component nfa_initials_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0) ); end component; component nfa_initials_buckets_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3); port ( -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- word offset from BASE_ADDRESS BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8 -1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n : out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); end component; -- type state_type is (IDLE, ); -- signal cs, ns : st_type; constant PLB_BW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := C_PLB_DWIDTH/8; constant USER_DATA_BYTE_COUNT : integer := USER_DATA_WIDTH_2N/8; constant REQ_FIFO_DATA_WIDTH : integer := 1 + 32 + 32 + USER_DATA_WIDTH_2N; -- nRW + addr + size + wr_data constant REQ_FIFO_ADDR_WIDTH : integer := 5; constant REQ_FIFO_DEPTH : integer := 32; constant ALIGN_DATA_WIDTH : integer := USER_DATA_WIDTH_2N + PLB_BW; constant ALIGN_DATA_BE_WIDTH : integer := (USER_DATA_WIDTH_2N + PLB_BW)/8; signal user_phy_address : STD_LOGIC_VECTOR(31 downto 0); -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_DATA_WIDTH - 1 downto 0); signal user_WrData_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N-1 downto 0); signal req_fifo_dout_req_nRW : STD_LOGIC; signal req_fifo_dout_req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_fifo_dout_req_size, req_fifo_dout_req_size_normalize : STD_LOGIC_VECTOR(31 downto 0); -- internal request information signal req_nRW : STD_LOGIC; signal req_address : STD_LOGIC_VECTOR(31 downto 0); signal req_size, burst_size : STD_LOGIC_VECTOR(31 downto 0); signal req_size_user : STD_LOGIC_VECTOR(31 downto 0); signal req_BE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT-1 downto 0); signal req_WrData : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0); signal req_WrData_BE : STD_LOGIC_VECTOR(ALIGN_DATA_BE_WIDTH -1 downto 0); signal req_WrData_byte_p : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0); signal req_valid, req_SOP, req_EOP_user, req_EOP : STD_LOGIC; signal req_burst_write_counter : STD_LOGIC_VECTOR(31 downto 0); signal req_burst_mode, req_last_burst: STD_LOGIC; -- interface to PLB_master_if module signal PLB_master_if_req_full_n : STD_LOGIC; signal PLB_master_if_req_push : STD_LOGIC; signal PLB_master_if_dataout : STD_LOGIC_VECTOR(PLB_BW-1 downto 0); signal PLB_master_if_rsp_nRW : STD_LOGIC; signal PLB_master_if_rsp_empty_n : STD_LOGIC; signal PLB_master_if_rsp_pop : STD_LOGIC; signal USER_size_local: STD_LOGIC_VECTOR(31 downto 0); -- rsp FIFO constant RSP_FIFO_DATA_WIDTH : integer := PLB_ADDR_SHIFT + 32; -- addr + size constant RSP_FIFO_ADDR_WIDTH : integer := 6; constant RSP_FIFO_DEPTH : integer := 64; signal rsp_fifo_empty_n : STD_LOGIC; signal rsp_fifo_pop : STD_LOGIC; signal rsp_fifo_dout : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0); signal rsp_fifo_full_n : STD_LOGIC; signal rsp_fifo_push : STD_LOGIC; signal rsp_fifo_din : STD_LOGIC_VECTOR(RSP_FIFO_DATA_WIDTH -1 downto 0); signal rsp_valid, rsp_SOP : STD_LOGIC; signal rsp_addr : STD_LOGIC_VECTOR(PLB_ADDR_SHIFT-1 downto 0); signal rsp_size : STD_LOGIC_VECTOR(31 downto 0); signal rsp_rd_data : STD_LOGIC_VECTOR(ALIGN_DATA_WIDTH -1 downto 0); signal rsp_rd_data_byte_count : STD_LOGIC_VECTOR(4 downto 0); -- rd data user FIFO signal rd_data_user_fifo_empty_n : STD_LOGIC; signal rd_data_user_fifo_pop : STD_LOGIC; signal rd_data_user_fifo_dout : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_data_user_fifo_full_n : STD_LOGIC; signal rd_data_user_fifo_push : STD_LOGIC; signal rd_data_user_fifo_din : STD_LOGIC_VECTOR(USER_DATA_WIDTH -1 downto 0); signal rd_data_user_fifo_din_2N : STD_LOGIC_VECTOR(USER_DATA_WIDTH_2N -1 downto 0); signal BE_ALL_ONE : STD_LOGIC_VECTOR(PLB_BYTE_COUNT -1 downto 0); begin BE_ALL_ONE <= (others => '1'); M_UABus <= (others => '0'); M_TAttribute <= (others => '0'); -- interface to user logic user_phy_address(31 downto USER_ADDR_SHIFT) <= REMOTE_DESTINATION_ADDRESS(0 to C_PLB_AWIDTH - USER_ADDR_SHIFT -1) + USER_address(31 -USER_ADDR_SHIFT downto 0); user_phy_address(USER_ADDR_SHIFT-1 downto 0) <= REMOTE_DESTINATION_ADDRESS(C_PLB_AWIDTH - USER_ADDR_SHIFT to C_PLB_AWIDTH -1); USER_size_local <= X"00000001" when conv_integer(USER_size(31 downto 1)) = 0 else USER_size; USER_req_full_n <= req_fifo_full_n; process(USER_WrData) variable i: integer; begin user_WrData_2N <= (others=> '0'); for i in 0 to USER_WrData'length -1 loop user_WrData_2N (USER_DATA_WIDTH_2N-1 -i) <= USER_WrData(i); end loop; end process; req_fifo_din(REQ_FIFO_DATA_WIDTH-1) <= USER_req_nRW; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32) <= user_phy_address; req_fifo_din(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32) <= USER_size_local; req_fifo_din(USER_DATA_WIDTH_2N -1 downto 0) <= user_WrData_2N(USER_DATA_WIDTH_2N-1 downto 0); req_fifo_push <= USER_req_push; U_nfa_initials_buckets_if_req_fifo: component nfa_initials_buckets_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_DATA_WIDTH, ADDR_WIDTH => REQ_FIFO_ADDR_WIDTH, DEPTH => REQ_FIFO_DEPTH) port map( clk => MPLB_Clk, reset => MPLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_dout_req_nRW <= req_fifo_dout(REQ_FIFO_DATA_WIDTH -1); req_fifo_dout_req_size <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-32-1 downto REQ_FIFO_DATA_WIDTH -1-32-32); req_fifo_dout_req_address <= req_fifo_dout(REQ_FIFO_DATA_WIDTH-1-1 downto REQ_FIFO_DATA_WIDTH -1-32); req_fifo_dout_req_size_normalize(31 downto USER_ADDR_SHIFT) <= req_fifo_dout_req_size(31-USER_ADDR_SHIFT downto 0); req_fifo_dout_req_size_normalize(USER_ADDR_SHIFT-1 downto 0) <= (others => '0'); process(req_fifo_empty_n, req_valid) begin req_fifo_pop <= '0'; if (req_fifo_empty_n = '1' and req_valid = '0') then -- lunch next request req_fifo_pop <= '1'; end if; end process; process (MPLB_Clk, MPLB_Rst) variable offset: integer; begin if (MPLB_Rst = '1') then req_nRW <= '0'; burst_size <= (others => '0'); req_size_user <= (others => '0'); req_address <= (others => '0'); req_WrData <= (others => '0'); -- set possible MSB to ZERO req_WrData_BE <= (others => '0'); -- set possible MSB to ZERO req_WrData_byte_p <= (others => '0'); -- set possible MSB to ZERO req_valid <= '0'; req_EOP <= '0'; req_burst_write_counter <= (others => '0'); req_burst_mode <= '0'; elsif (MPLB_Clk'event and MPLB_Clk = '1') then if (req_fifo_pop = '1') then -- lunch next request req_valid <= '1'; if (req_burst_mode = '0') then if (req_fifo_dout_req_nRW = '0') then if (req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT) and req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0,PLB_ADDR_SHIFT)) then burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT); elsif (('0'&req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) + ('0'&req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) <= PLB_BYTE_COUNT) then burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 1; else burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT) + 2; end if; else burst_size <= X"00000001"; -- single by default if (req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT+1) /= CONV_STD_LOGIC_VECTOR(0,31-PLB_ADDR_SHIFT)) then -- may burst burst_size(31 downto 32-PLB_ADDR_SHIFT) <= (others=>'0'); -- burst_size for write operation if (req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) = CONV_STD_LOGIC_VECTOR(0, PLB_ADDR_SHIFT)) or (conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)) + conv_integer(req_fifo_dout_req_size_normalize(PLB_ADDR_SHIFT-1 downto 0)) >= PLB_BYTE_COUNT) then burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT); else burst_size(31-PLB_ADDR_SHIFT downto 0) <= req_fifo_dout_req_size_normalize(31 downto PLB_ADDR_SHIFT)-1; end if; end if; end if; offset := conv_integer(req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0)); if (req_fifo_dout_req_nRW = '1') then req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0); req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1'); end if; req_size_user <= req_fifo_dout_req_size; -- for read operation req_nRW <= req_fifo_dout_req_nRW; req_EOP <= '1'; req_address <= req_fifo_dout_req_address; req_burst_write_counter <= req_fifo_dout_req_size; req_WrData_byte_p <= req_fifo_dout_req_address(PLB_ADDR_SHIFT-1 downto 0) + USER_DATA_BYTE_COUNT; if (req_fifo_dout_req_nRW = '1' and req_fifo_dout_req_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_mode <= '1'; req_EOP <= '0'; end if; else -- in a burst write process req_burst_write_counter <= req_burst_write_counter -1; offset := conv_integer(req_WrData_byte_p); req_WrData(USER_DATA_WIDTH_2N +offset*8 -1 downto offset*8) <= req_fifo_dout(USER_DATA_WIDTH_2N -1 downto 0); req_WrData_BE(USER_DATA_BYTE_COUNT+offset-1 downto offset) <= (others => '1'); req_WrData_byte_p <= req_WrData_byte_p + USER_DATA_BYTE_COUNT; if (req_last_burst = '1') then req_burst_mode <= '0'; req_EOP <= '1'; end if; end if; elsif (req_valid = '1') then if (req_nRW = '0' and PLB_master_if_req_push = '1') then req_valid <= '0'; elsif (req_nRW = '1') then if (req_EOP = '1' and PLB_master_if_req_push = '1') then -- last burst request if (req_WrData_BE(ALIGN_DATA_BE_WIDTH-1 downto PLB_BYTE_COUNT) = CONV_STD_LOGIC_VECTOR(0, ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT)) then req_valid <= '0'; req_EOP <= '0'; req_WrData <= (others=>'0'); req_WrData_BE <= (others => '0'); else req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0'); req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW); req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0'); req_WrData_BE(ALIGN_DATA_BE_WIDTH -PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT); req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1; req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0'); end if; elsif (req_EOP = '0') then if (req_WrData_BE(PLB_BYTE_COUNT-1) = '0') then req_valid <= '0'; elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' and PLB_master_if_req_push = '1') then req_WrData(USER_DATA_WIDTH_2N + PLB_BW -1 downto USER_DATA_WIDTH_2N) <= (others => '0'); req_WrData(USER_DATA_WIDTH_2N -1 downto 0) <= req_WrData(USER_DATA_WIDTH_2N +PLB_BW -1 downto PLB_BW); req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT) <= (others => '0'); req_WrData_BE(ALIGN_DATA_BE_WIDTH-PLB_BYTE_COUNT-1 downto 0) <= req_WrData_BE(ALIGN_DATA_BE_WIDTH -1 downto PLB_BYTE_COUNT); req_address(31 downto PLB_ADDR_SHIFT) <= req_address(31 downto PLB_ADDR_SHIFT) +1; req_address(PLB_ADDR_SHIFT-1 downto 0) <= (others=>'0'); end if; end if; end if; end if; end if; end process; req_last_burst <= '1' when (req_burst_mode = '1' and req_burst_write_counter(31 downto 0) = X"00000002") else '0'; process(req_nRW, req_WrData_BE, burst_size) begin req_size <= (others => '0'); if (req_nRW = '0') then req_size <= burst_size; elsif (req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) = BE_ALL_ONE) then req_size <= burst_size; else req_size <= X"00000001"; end if; end process; process(req_valid, PLB_master_if_req_full_n, req_nRW, req_WrData_BE) begin PLB_master_if_req_push <= '0'; if (req_valid = '1' and PLB_master_if_req_full_n = '1') then if (req_nRW = '0') then PLB_master_if_req_push <= '1'; -- only push when the last byte been push elsif (req_WrData_BE(PLB_BYTE_COUNT-1) = '1' or (req_EOP = '1' and req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) /= CONV_STD_LOGIC_VECTOR(0, PLB_BYTE_COUNT))) then PLB_master_if_req_push <= '1'; -- only push when the last byte been push end if; end if; end process; req_BE <= req_WrData_BE(PLB_BYTE_COUNT-1 downto 0) when req_nRW = '1' else (others => '1'); U_nfa_initials_buckets_if_plb_master_if: component nfa_initials_buckets_if_plb_master_if generic map( C_PLB_AWIDTH => C_PLB_AWIDTH, C_PLB_DWIDTH => C_PLB_DWIDTH, PLB_ADDR_SHIFT => PLB_ADDR_SHIFT) port map ( -- Bus protocol ports, do not add to or delete PLB_Clk => MPLB_Clk, PLB_Rst => MPLB_Rst, M_abort => M_abort, M_ABus => M_ABus, M_BE => M_BE, M_busLock => M_busLock, M_lockErr => M_lockErr, M_MSize => M_MSize, M_priority => M_priority, M_rdBurst => M_rdBurst, M_request => M_request, M_RNW => M_RNW, M_size => M_size, M_type => M_type, M_wrBurst => M_wrBurst, M_wrDBus => M_wrDBus, PLB_MBusy => PLB_MBusy, PLB_MWrBTerm => PLB_MWrBTerm, PLB_MWrDAck => PLB_MWrDAck, PLB_MAddrAck => PLB_MAddrAck, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MRdDAck => PLB_MRdDAck, PLB_MRdDBus => PLB_MRdDBus, PLB_MRdWdAddr => PLB_MRdWdAddr, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MSSize => PLB_MSSize, -- signals from user logic BUS_RdData => PLB_master_if_dataout, BUS_WrData => req_WrData(PLB_BW-1 downto 0), BUS_address => req_address, BUS_size => req_size, BUS_req_nRW => req_nRW, BUS_req_BE => req_BE, BUS_req_full_n => PLB_master_if_req_full_n, BUS_req_push => PLB_master_if_req_push, BUS_rsp_nRW => PLB_master_if_rsp_nRW, BUS_rsp_empty_n => PLB_master_if_rsp_empty_n, BUS_rsp_pop => PLB_master_if_rsp_pop ); -- below is the response (bus read data) part U_nfa_initials_buckets_if_rsp_fifo: component nfa_initials_buckets_if_ap_fifo generic map( DATA_WIDTH => RSP_FIFO_DATA_WIDTH, ADDR_WIDTH => RSP_FIFO_ADDR_WIDTH, DEPTH => RSP_FIFO_DEPTH) port map( clk => MPLB_Clk, reset => MPLB_Rst, if_empty_n => rsp_fifo_empty_n, if_read => rsp_fifo_pop, if_dout => rsp_fifo_dout, if_full_n => rsp_fifo_full_n, if_write => rsp_fifo_push, if_din => rsp_fifo_din ); rsp_fifo_din(32+PLB_ADDR_SHIFT-1 downto 32) <= req_address(PLB_ADDR_SHIFT-1 downto 0); rsp_fifo_din(31 downto 0) <= req_size_user; rsp_fifo_push <= PLB_master_if_req_push and (not req_nRW); process (rsp_valid, PLB_master_if_rsp_empty_n, rsp_rd_data_byte_count) begin PLB_master_if_rsp_pop <= '0'; -- fetch data to rsp_rd_data until enough bytes if (rsp_valid = '1' and PLB_master_if_rsp_empty_n = '1' and CONV_INTEGER(rsp_rd_data_byte_count) < USER_DATA_BYTE_COUNT) then PLB_master_if_rsp_pop <= '1'; end if; end process; process (MPLB_Clk, MPLB_Rst) begin if (MPLB_Rst = '1') then rsp_valid <= '0'; rsp_addr <= (others=> '0'); rsp_size <= (others=> '0'); rsp_SOP <= '1'; rsp_rd_data_byte_count <= (others => '0'); rsp_rd_data <= (others=>'0'); rsp_fifo_pop <= '0'; elsif (MPLB_Clk'event and MPLB_Clk = '1') then rsp_fifo_pop <= '0'; if (rsp_valid = '0' and rsp_fifo_empty_n = '1') then rsp_valid <= '1'; rsp_addr <= rsp_fifo_dout(32+PLB_ADDR_SHIFT-1 downto 32); rsp_size <= rsp_fifo_dout(31 downto 0); rsp_fifo_pop <= '1'; rsp_rd_data_byte_count <= (others=>'0'); rsp_SOP <= '1'; end if; -- fetch data to rsp_rd_data until enough bytes if (PLB_master_if_rsp_pop = '1') then rsp_rd_data(USER_DATA_WIDTH_2N-1 downto 0) <= rsp_rd_data(USER_DATA_WIDTH_2N + PLB_BW -1 downto PLB_BW); rsp_rd_data(USER_DATA_WIDTH_2N +PLB_BW -1 downto USER_DATA_WIDTH_2N) <= PLB_master_if_dataout; if (rsp_SOP = '1') then rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT - rsp_addr; rsp_SOP <= '0'; else rsp_rd_data_byte_count <= rsp_rd_data_byte_count + PLB_BYTE_COUNT; end if; end if; -- write one unit of data to USER LOGIC if (rd_data_user_fifo_push = '1') then rsp_size <= rsp_size -1; rsp_rd_data_byte_count <= rsp_rd_data_byte_count - USER_DATA_BYTE_COUNT; rsp_addr <= rsp_addr + USER_DATA_BYTE_COUNT; if (rsp_size = X"00000001") then rsp_valid <= '0'; end if; end if; end if; end process; process(rsp_addr, rsp_rd_data,rsp_valid, rd_data_user_fifo_full_n, rsp_rd_data_byte_count, rd_data_user_fifo_din_2N) variable i: integer; begin case CONV_INTEGER(rsp_addr) is when 0 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +32 -1 downto 32); when 1 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +40 -1 downto 40); when 2 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +48 -1 downto 48); when 3 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +56 -1 downto 56); when 4 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +64 -1 downto 64); when 5 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +8 -1 downto 8); when 6 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +16 -1 downto 16); when 7 => rd_data_user_fifo_din_2N <= rsp_rd_data(USER_DATA_WIDTH_2N +24 -1 downto 24); when others => null; end case; for i in 0 to USER_DATA_WIDTH -1 loop rd_data_user_fifo_din(i) <= rd_data_user_fifo_din_2N(USER_DATA_WIDTH_2N-1-i); end loop; rd_data_user_fifo_push <= '0'; if (rsp_valid = '1' and rd_data_user_fifo_full_n = '1' and CONV_INTEGER(rsp_rd_data_byte_count)>= USER_DATA_BYTE_COUNT) then rd_data_user_fifo_push <= '1'; end if; end process; U_nfa_initials_buckets_if_rd_data_user_fifo: component nfa_initials_buckets_if_ap_fifo generic map( DATA_WIDTH => USER_DATA_WIDTH, ADDR_WIDTH => 5, DEPTH => 32) port map( clk => MPLB_Clk, reset => MPLB_Rst, if_empty_n => rd_data_user_fifo_empty_n, if_read => USER_rsp_pop, if_dout => rd_data_user_fifo_dout, if_full_n => rd_data_user_fifo_full_n, if_write => rd_data_user_fifo_push, if_din => rd_data_user_fifo_din ); USER_RdData <= rd_data_user_fifo_dout; USER_rsp_empty_n <= rd_data_user_fifo_empty_n; end IMP;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/hdl/elaborate/clock_generator_0_v4_03_a/hdl/vhdl/clock_generator.vhd
1
37679
------------------------------------------------------------------------------ -- C:/Users/JairoAndres/Documents/Vivado/oil_plainc_hls/impl/impl_test_single/hdl/elaborate/clock_generator_0_v4_03_a/hdl/vhdl/clock_generator.vhd ------------------------------------------------------------------------------ -- ClkGen Wrapper HDL file generated by ClkGen's TCL generator library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; library Unisim; use Unisim.vcomponents.all; library clock_generator_v4_03_a; use clock_generator_v4_03_a.all; entity clock_generator is generic ( C_FAMILY : string := "virtex5" ; C_DEVICE : string := "5vlx50t"; C_PACKAGE : string := "ff1136"; C_SPEEDGRADE : string := "-2"; C_CLK_GEN : string := "PASSED" ); port ( -- clock generation CLKIN : in std_logic; CLKOUT0 : out std_logic; CLKOUT1 : out std_logic; CLKOUT2 : out std_logic; CLKOUT3 : out std_logic; CLKOUT4 : out std_logic; CLKOUT5 : out std_logic; CLKOUT6 : out std_logic; CLKOUT7 : out std_logic; CLKOUT8 : out std_logic; CLKOUT9 : out std_logic; CLKOUT10 : out std_logic; CLKOUT11 : out std_logic; CLKOUT12 : out std_logic; CLKOUT13 : out std_logic; CLKOUT14 : out std_logic; CLKOUT15 : out std_logic; -- external feedback CLKFBIN : in std_logic; CLKFBOUT : out std_logic; -- variable phase shift PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; PSDONE : out std_logic; -- reset RST : in std_logic; LOCKED : out std_logic ); end clock_generator; architecture STRUCTURE of clock_generator is ---------------------------------------------------------------------------- -- Components ( copy from entity, exact the same in low level parameters ) ---------------------------------------------------------------------------- component pll_module is generic ( C_BANDWIDTH : string := "OPTIMIZED"; C_CLKFBOUT_MULT : integer := 1; C_CLKFBOUT_PHASE : real := 0.0; C_CLKIN1_PERIOD : real := 0.000; -- C_CLKIN2_PERIOD : real := 0.000; C_CLKOUT0_DIVIDE : integer := 1; C_CLKOUT0_DUTY_CYCLE : real := 0.5; C_CLKOUT0_PHASE : real := 0.0; C_CLKOUT1_DIVIDE : integer := 1; C_CLKOUT1_DUTY_CYCLE : real := 0.5; C_CLKOUT1_PHASE : real := 0.0; C_CLKOUT2_DIVIDE : integer := 1; C_CLKOUT2_DUTY_CYCLE : real := 0.5; C_CLKOUT2_PHASE : real := 0.0; C_CLKOUT3_DIVIDE : integer := 1; C_CLKOUT3_DUTY_CYCLE : real := 0.5; C_CLKOUT3_PHASE : real := 0.0; C_CLKOUT4_DIVIDE : integer := 1; C_CLKOUT4_DUTY_CYCLE : real := 0.5; C_CLKOUT4_PHASE : real := 0.0; C_CLKOUT5_DIVIDE : integer := 1; C_CLKOUT5_DUTY_CYCLE : real := 0.5; C_CLKOUT5_PHASE : real := 0.0; C_COMPENSATION : string := "SYSTEM_SYNCHRONOUS"; C_DIVCLK_DIVIDE : integer := 1; -- C_EN_REL : boolean := false; -- C_PLL_PMCD_MODE : boolean := false; C_REF_JITTER : real := 0.100; C_RESET_ON_LOSS_OF_LOCK : boolean := false; C_RST_DEASSERT_CLK : string := "CLKIN1"; C_CLKOUT0_DESKEW_ADJUST : string := "NONE"; C_CLKOUT1_DESKEW_ADJUST : string := "NONE"; C_CLKOUT2_DESKEW_ADJUST : string := "NONE"; C_CLKOUT3_DESKEW_ADJUST : string := "NONE"; C_CLKOUT4_DESKEW_ADJUST : string := "NONE"; C_CLKOUT5_DESKEW_ADJUST : string := "NONE"; C_CLKFBOUT_DESKEW_ADJUST : string := "NONE"; C_CLKIN1_BUF : boolean := false; -- C_CLKIN2_BUF : boolean := false; C_CLKFBOUT_BUF : boolean := false; C_CLKOUT0_BUF : boolean := false; C_CLKOUT1_BUF : boolean := false; C_CLKOUT2_BUF : boolean := false; C_CLKOUT3_BUF : boolean := false; C_CLKOUT4_BUF : boolean := false; C_CLKOUT5_BUF : boolean := false; C_EXT_RESET_HIGH : integer := 1; C_FAMILY : string := "spartan6" ); port ( CLKFBDCM : out std_logic; CLKFBOUT : out std_logic; CLKOUT0 : out std_logic; CLKOUT1 : out std_logic; CLKOUT2 : out std_logic; CLKOUT3 : out std_logic; CLKOUT4 : out std_logic; CLKOUT5 : out std_logic; CLKOUTDCM0 : out std_logic; CLKOUTDCM1 : out std_logic; CLKOUTDCM2 : out std_logic; CLKOUTDCM3 : out std_logic; CLKOUTDCM4 : out std_logic; CLKOUTDCM5 : out std_logic; -- DO : out std_logic_vector (15 downto 0); -- DRDY : out std_logic; LOCKED : out std_logic; CLKFBIN : in std_logic; CLKIN1 : in std_logic; -- CLKIN2 : in std_logic; -- CLKINSEL : in std_logic; -- DADDR : in std_logic_vector (4 downto 0); -- DCLK : in std_logic; -- DEN : in std_logic; -- DI : in std_logic_vector (15 downto 0); -- DWE : in std_logic; -- REL : in std_logic; RST : in std_logic ); end component; ---------------------------------------------------------------------------- -- Functions ---------------------------------------------------------------------------- -- Note : The string functions are put here to remove dependency to other pcore level libraries function UpperCase_Char(char : character) return character is begin -- If char is not an upper case letter then return char if char < 'a' or char > 'z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'a' => return 'A'; when 'b' => return 'B'; when 'c' => return 'C'; when 'd' => return 'D'; when 'e' => return 'E'; when 'f' => return 'F'; when 'g' => return 'G'; when 'h' => return 'H'; when 'i' => return 'I'; when 'j' => return 'J'; when 'k' => return 'K'; when 'l' => return 'L'; when 'm' => return 'M'; when 'n' => return 'N'; when 'o' => return 'O'; when 'p' => return 'P'; when 'q' => return 'Q'; when 'r' => return 'R'; when 's' => return 'S'; when 't' => return 'T'; when 'u' => return 'U'; when 'v' => return 'V'; when 'w' => return 'W'; when 'x' => return 'X'; when 'y' => return 'Y'; when 'z' => return 'Z'; when others => return char; end case; end UpperCase_Char; function UpperCase_String (s : string) return string is variable res : string(s'range); begin -- function LoweerCase_String for I in s'range loop res(I) := UpperCase_Char(s(I)); end loop; -- I return res; end function UpperCase_String; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal function equalString( str1, str2 : string ) return boolean is constant len1 : integer := str1'length; constant len2 : integer := str2'length; variable equal : boolean := true; begin if not (len1 = len2) then equal := false; else for i in str1'range loop if not (UpperCase_Char(str1(i)) = UpperCase_Char(str2(i))) then equal := false; end if; end loop; end if; return equal; end equalString; ---------------------------------------------------------------------------- -- Signals ---------------------------------------------------------------------------- -- signals: gnd signal net_gnd0 : std_logic; signal net_gnd1 : std_logic_vector(0 to 0); signal net_gnd16 : std_logic_vector(0 to 15); -- signals: vdd signal net_vdd0 : std_logic; -- signals : PLL0 wrapper signal SIG_PLL0_CLKFBDCM : std_logic; signal SIG_PLL0_CLKFBOUT : std_logic; signal SIG_PLL0_CLKOUT0 : std_logic; signal SIG_PLL0_CLKOUT1 : std_logic; signal SIG_PLL0_CLKOUT2 : std_logic; signal SIG_PLL0_CLKOUT3 : std_logic; signal SIG_PLL0_CLKOUT4 : std_logic; signal SIG_PLL0_CLKOUT5 : std_logic; signal SIG_PLL0_CLKOUTDCM0 : std_logic; signal SIG_PLL0_CLKOUTDCM1 : std_logic; signal SIG_PLL0_CLKOUTDCM2 : std_logic; signal SIG_PLL0_CLKOUTDCM3 : std_logic; signal SIG_PLL0_CLKOUTDCM4 : std_logic; signal SIG_PLL0_CLKOUTDCM5 : std_logic; signal SIG_PLL0_LOCKED : std_logic; signal SIG_PLL0_CLKFBIN : std_logic; signal SIG_PLL0_CLKIN1 : std_logic; signal SIG_PLL0_RST : std_logic; signal SIG_PLL0_CLKFBOUT_BUF : std_logic; signal SIG_PLL0_CLKOUT0_BUF : std_logic; signal SIG_PLL0_CLKOUT1_BUF : std_logic; signal SIG_PLL0_CLKOUT2_BUF : std_logic; signal SIG_PLL0_CLKOUT3_BUF : std_logic; signal SIG_PLL0_CLKOUT4_BUF : std_logic; signal SIG_PLL0_CLKOUT5_BUF : std_logic; begin ---------------------------------------------------------------------------- -- GND and VCC signals ---------------------------------------------------------------------------- net_gnd0 <= '0'; net_gnd1(0 to 0) <= B"0"; net_gnd16(0 to 15) <= B"0000000000000000"; net_vdd0 <= '1'; ---------------------------------------------------------------------------- -- DCM wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLL wrappers ---------------------------------------------------------------------------- -- PLL0 wrapper PLL0_INST : pll_module generic map ( C_BANDWIDTH => "OPTIMIZED", C_CLKFBOUT_MULT => 12, C_CLKFBOUT_PHASE => 0.0, C_CLKIN1_PERIOD => 10.000000, C_CLKOUT0_DIVIDE => 24, C_CLKOUT0_DUTY_CYCLE => 0.5, C_CLKOUT0_PHASE => 0.0000, C_CLKOUT1_DIVIDE => 1, C_CLKOUT1_DUTY_CYCLE => 0.5, C_CLKOUT1_PHASE => 0.0, C_CLKOUT2_DIVIDE => 1, C_CLKOUT2_DUTY_CYCLE => 0.5, C_CLKOUT2_PHASE => 0.0, C_CLKOUT3_DIVIDE => 1, C_CLKOUT3_DUTY_CYCLE => 0.5, C_CLKOUT3_PHASE => 0.0, C_CLKOUT4_DIVIDE => 1, C_CLKOUT4_DUTY_CYCLE => 0.5, C_CLKOUT4_PHASE => 0.0, C_CLKOUT5_DIVIDE => 1, C_CLKOUT5_DUTY_CYCLE => 0.5, C_CLKOUT5_PHASE => 0.0, C_COMPENSATION => "SYSTEM_SYNCHRONOUS", C_DIVCLK_DIVIDE => 1, C_REF_JITTER => 0.100, C_RESET_ON_LOSS_OF_LOCK => false, C_RST_DEASSERT_CLK => "CLKIN1", C_CLKOUT0_DESKEW_ADJUST => "NONE", C_CLKOUT1_DESKEW_ADJUST => "NONE", C_CLKOUT2_DESKEW_ADJUST => "NONE", C_CLKOUT3_DESKEW_ADJUST => "NONE", C_CLKOUT4_DESKEW_ADJUST => "NONE", C_CLKOUT5_DESKEW_ADJUST => "NONE", C_CLKFBOUT_DESKEW_ADJUST => "NONE", C_CLKIN1_BUF => false, C_CLKFBOUT_BUF => false, C_CLKOUT0_BUF => false, C_CLKOUT1_BUF => false, C_CLKOUT2_BUF => false, C_CLKOUT3_BUF => false, C_CLKOUT4_BUF => false, C_CLKOUT5_BUF => false, C_EXT_RESET_HIGH => 0, C_FAMILY => "virtex5" ) port map ( CLKFBDCM => SIG_PLL0_CLKFBDCM, CLKFBOUT => SIG_PLL0_CLKFBOUT, CLKOUT0 => SIG_PLL0_CLKOUT0, CLKOUT1 => SIG_PLL0_CLKOUT1, CLKOUT2 => SIG_PLL0_CLKOUT2, CLKOUT3 => SIG_PLL0_CLKOUT3, CLKOUT4 => SIG_PLL0_CLKOUT4, CLKOUT5 => SIG_PLL0_CLKOUT5, CLKOUTDCM0 => SIG_PLL0_CLKOUTDCM0, CLKOUTDCM1 => SIG_PLL0_CLKOUTDCM1, CLKOUTDCM2 => SIG_PLL0_CLKOUTDCM2, CLKOUTDCM3 => SIG_PLL0_CLKOUTDCM3, CLKOUTDCM4 => SIG_PLL0_CLKOUTDCM4, CLKOUTDCM5 => SIG_PLL0_CLKOUTDCM5, -- DO -- DRDY LOCKED => SIG_PLL0_LOCKED, CLKFBIN => SIG_PLL0_CLKFBIN, CLKIN1 => SIG_PLL0_CLKIN1, -- CLKIN2 -- CLKINSEL -- DADDR -- DCLK -- DEN -- DI -- DWE -- REL RST => SIG_PLL0_RST ); -- wrapper of clkout : CLKOUT0 PLL0_CLKOUT0_BUFG_INST : BUFG port map ( I => SIG_PLL0_CLKOUT0, O => SIG_PLL0_CLKOUT0_BUF ); -- wrapper of clkout : CLKOUT1 SIG_PLL0_CLKOUT1_BUF <= SIG_PLL0_CLKOUT1; -- wrapper of clkout : CLKOUT2 SIG_PLL0_CLKOUT2_BUF <= SIG_PLL0_CLKOUT2; -- wrapper of clkout : CLKOUT3 SIG_PLL0_CLKOUT3_BUF <= SIG_PLL0_CLKOUT3; -- wrapper of clkout : CLKOUT4 SIG_PLL0_CLKOUT4_BUF <= SIG_PLL0_CLKOUT4; -- wrapper of clkout : CLKOUT5 SIG_PLL0_CLKOUT5_BUF <= SIG_PLL0_CLKOUT5; -- wrapper of clkout : CLKFBOUT PLL0_CLKFBOUT_BUFG_INST : BUFG port map ( I => SIG_PLL0_CLKFBOUT, O => SIG_PLL0_CLKFBOUT_BUF ); ---------------------------------------------------------------------------- -- MMCM wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLE wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- DCMs CLKIN, CLKFB and RST signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLs CLKIN1, CLKFBIN and RST signal connection ---------------------------------------------------------------------------- -- PLL0 CLKIN1 SIG_PLL0_CLKIN1 <= CLKIN; -- PLL0 CLKFBIN SIG_PLL0_CLKFBIN <= SIG_PLL0_CLKFBOUT; -- PLL0 RST SIG_PLL0_RST <= RST; ---------------------------------------------------------------------------- -- MMCMs CLKIN1, CLKFBIN, RST and Variable_Phase_Control signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLEs CLKIN1, CLKFBIN, RST and Variable_Phase_Control signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- CLKGEN CLKOUT, CLKFBOUT and LOCKED signal connection ---------------------------------------------------------------------------- -- CLKGEN CLKOUT CLKOUT0 <= SIG_PLL0_CLKOUT0_BUF; CLKOUT1 <= '0'; CLKOUT2 <= '0'; CLKOUT3 <= '0'; CLKOUT4 <= '0'; CLKOUT5 <= '0'; CLKOUT6 <= '0'; CLKOUT7 <= '0'; CLKOUT8 <= '0'; CLKOUT9 <= '0'; CLKOUT10 <= '0'; CLKOUT11 <= '0'; CLKOUT12 <= '0'; CLKOUT13 <= '0'; CLKOUT14 <= '0'; CLKOUT15 <= '0'; -- CLKGEN CLKFBOUT -- CLKGEN LOCKED LOCKED <= SIG_PLL0_LOCKED; end architecture STRUCTURE; ------------------------------------------------------------------------------ -- High level parameters ------------------------------------------------------------------------------ -- C_CLK_GEN = PASSED -- C_ELABORATE_DIR = -- C_ELABORATE_RES = NOT_SET -- C_FAMILY = virtex5 -- C_DEVICE = 5vlx50t -- C_PACKAGE = ff1136 -- C_SPEEDGRADE = -2 ---------------------------------------- -- C_EXTRA_MMCM_FOR_DESKEW = -- C_MMCMExtra_CLKIN_FREQ = -- C_MMCMExtra_CLKOUT0 = -- C_MMCMExtra_CLKOUT1 = -- C_MMCMExtra_CLKOUT2 = -- C_MMCMExtra_CLKOUT3 = -- C_MMCMExtra_CLKOUT4 = -- C_MMCMExtra_CLKOUT5 = -- C_MMCMExtra_CLKOUT6 = -- C_MMCMExtra_CLKOUT7 = -- C_MMCMExtra_CLKOUT8 = -- C_MMCMExtra_CLKOUT9 = -- C_MMCMExtra_CLKOUT10 = -- C_MMCMExtra_CLKOUT11 = -- C_MMCMExtra_CLKOUT12 = -- C_MMCMExtra_CLKOUT13 = -- C_MMCMExtra_CLKOUT14 = -- C_MMCMExtra_CLKOUT15 = -- C_MMCMExtra_CLKFBOUT_MULT = -- C_MMCMExtra_DIVCLK_DIVIDE = -- C_MMCMExtra_CLKOUT0_DIVIDE = -- C_MMCMExtra_CLKOUT1_DIVIDE = -- C_MMCMExtra_CLKOUT2_DIVIDE = -- C_MMCMExtra_CLKOUT3_DIVIDE = -- C_MMCMExtra_CLKOUT4_DIVIDE = -- C_MMCMExtra_CLKOUT5_DIVIDE = -- C_MMCMExtra_CLKOUT6_DIVIDE = -- C_MMCMExtra_CLKOUT0_BUF = -- C_MMCMExtra_CLKOUT1_BUF = -- C_MMCMExtra_CLKOUT2_BUF = -- C_MMCMExtra_CLKOUT3_BUF = -- C_MMCMExtra_CLKOUT4_BUF = -- C_MMCMExtra_CLKOUT5_BUF = -- C_MMCMExtra_CLKOUT6_BUF = -- C_MMCMExtra_CLKFBOUT_BUF = -- C_MMCMExtra_CLKOUT0_PHASE = -- C_MMCMExtra_CLKOUT1_PHASE = -- C_MMCMExtra_CLKOUT2_PHASE = -- C_MMCMExtra_CLKOUT3_PHASE = -- C_MMCMExtra_CLKOUT4_PHASE = -- C_MMCMExtra_CLKOUT5_PHASE = -- C_MMCMExtra_CLKOUT6_PHASE = ---------------------------------------- -- C_CLKIN_FREQ = 100000000 -- C_CLKOUT0_FREQ = 50000000 -- C_CLKOUT0_PHASE = 0 -- C_CLKOUT0_GROUP = NONE -- C_CLKOUT0_BUF = TRUE -- C_CLKOUT0_VARIABLE_PHASE = FALSE -- C_CLKOUT1_FREQ = 0 -- C_CLKOUT1_PHASE = 0 -- C_CLKOUT1_GROUP = NONE -- C_CLKOUT1_BUF = TRUE -- C_CLKOUT1_VARIABLE_PHASE = FALSE -- C_CLKOUT2_FREQ = 0 -- C_CLKOUT2_PHASE = 0 -- C_CLKOUT2_GROUP = NONE -- C_CLKOUT2_BUF = TRUE -- C_CLKOUT2_VARIABLE_PHASE = FALSE -- C_CLKOUT3_FREQ = 0 -- C_CLKOUT3_PHASE = 0 -- C_CLKOUT3_GROUP = NONE -- C_CLKOUT3_BUF = TRUE -- C_CLKOUT3_VARIABLE_PHASE = FALSE -- C_CLKOUT4_FREQ = 0 -- C_CLKOUT4_PHASE = 0 -- C_CLKOUT4_GROUP = NONE -- C_CLKOUT4_BUF = TRUE -- C_CLKOUT4_VARIABLE_PHASE = FALSE -- C_CLKOUT5_FREQ = 0 -- C_CLKOUT5_PHASE = 0 -- C_CLKOUT5_GROUP = NONE -- C_CLKOUT5_BUF = TRUE -- C_CLKOUT5_VARIABLE_PHASE = FALSE -- C_CLKOUT6_FREQ = 0 -- C_CLKOUT6_PHASE = 0 -- C_CLKOUT6_GROUP = NONE -- C_CLKOUT6_BUF = TRUE -- C_CLKOUT6_VARIABLE_PHASE = FALSE -- C_CLKOUT7_FREQ = 0 -- C_CLKOUT7_PHASE = 0 -- C_CLKOUT7_GROUP = NONE -- C_CLKOUT7_BUF = TRUE -- C_CLKOUT7_VARIABLE_PHASE = FALSE -- C_CLKOUT8_FREQ = 0 -- C_CLKOUT8_PHASE = 0 -- C_CLKOUT8_GROUP = NONE -- C_CLKOUT8_BUF = TRUE -- C_CLKOUT8_VARIABLE_PHASE = FALSE -- C_CLKOUT9_FREQ = 0 -- C_CLKOUT9_PHASE = 0 -- C_CLKOUT9_GROUP = NONE -- C_CLKOUT9_BUF = TRUE -- C_CLKOUT9_VARIABLE_PHASE = FALSE -- C_CLKOUT10_FREQ = 0 -- C_CLKOUT10_PHASE = 0 -- C_CLKOUT10_GROUP = NONE -- C_CLKOUT10_BUF = TRUE -- C_CLKOUT10_VARIABLE_PHASE = FALSE -- C_CLKOUT11_FREQ = 0 -- C_CLKOUT11_PHASE = 0 -- C_CLKOUT11_GROUP = NONE -- C_CLKOUT11_BUF = TRUE -- C_CLKOUT11_VARIABLE_PHASE = FALSE -- C_CLKOUT12_FREQ = 0 -- C_CLKOUT12_PHASE = 0 -- C_CLKOUT12_GROUP = NONE -- C_CLKOUT12_BUF = TRUE -- C_CLKOUT12_VARIABLE_PHASE = FALSE -- C_CLKOUT13_FREQ = 0 -- C_CLKOUT13_PHASE = 0 -- C_CLKOUT13_GROUP = NONE -- C_CLKOUT13_BUF = TRUE -- C_CLKOUT13_VARIABLE_PHASE = FALSE -- C_CLKOUT14_FREQ = 0 -- C_CLKOUT14_PHASE = 0 -- C_CLKOUT14_GROUP = NONE -- C_CLKOUT14_BUF = TRUE -- C_CLKOUT14_VARIABLE_PHASE = FALSE -- C_CLKOUT15_FREQ = 0 -- C_CLKOUT15_PHASE = 0 -- C_CLKOUT15_GROUP = NONE -- C_CLKOUT15_BUF = TRUE -- C_CLKOUT15_VARIABLE_PHASE = FALSE ---------------------------------------- -- C_CLKFBIN_FREQ = 0 -- C_CLKFBIN_DESKEW = NONE -- C_CLKFBOUT_FREQ = 0 -- C_CLKFBOUT_GROUP = NONE -- C_CLKFBOUT_BUF = TRUE ---------------------------------------- -- C_PSDONE_GROUP = NONE ------------------------------------------------------------------------------ -- Low level parameters ------------------------------------------------------------------------------ -- C_CLKOUT0_MODULE = PLL0 -- C_CLKOUT0_PORT = CLKOUT0B -- C_CLKOUT1_MODULE = NONE -- C_CLKOUT1_PORT = NONE -- C_CLKOUT2_MODULE = NONE -- C_CLKOUT2_PORT = NONE -- C_CLKOUT3_MODULE = NONE -- C_CLKOUT3_PORT = NONE -- C_CLKOUT4_MODULE = NONE -- C_CLKOUT4_PORT = NONE -- C_CLKOUT5_MODULE = NONE -- C_CLKOUT5_PORT = NONE -- C_CLKOUT6_MODULE = NONE -- C_CLKOUT6_PORT = NONE -- C_CLKOUT7_MODULE = NONE -- C_CLKOUT7_PORT = NONE -- C_CLKOUT8_MODULE = NONE -- C_CLKOUT8_PORT = NONE -- C_CLKOUT9_MODULE = NONE -- C_CLKOUT9_PORT = NONE -- C_CLKOUT10_MODULE = NONE -- C_CLKOUT10_PORT = NONE -- C_CLKOUT11_MODULE = NONE -- C_CLKOUT11_PORT = NONE -- C_CLKOUT12_MODULE = NONE -- C_CLKOUT12_PORT = NONE -- C_CLKOUT13_MODULE = NONE -- C_CLKOUT13_PORT = NONE -- C_CLKOUT14_MODULE = NONE -- C_CLKOUT14_PORT = NONE -- C_CLKOUT15_MODULE = NONE -- C_CLKOUT15_PORT = NONE ---------------------------------------- -- C_CLKFBOUT_MODULE = NONE -- C_CLKFBOUT_PORT = NONE -- C_CLKFBOUT_get_clkgen_dcm_default_params = NONE ---------------------------------------- -- C_PSDONE_MODULE = NONE ---------------------------------------- -- C_DCM0_DFS_FREQUENCY_MODE = "LOW" -- C_DCM0_DLL_FREQUENCY_MODE = "LOW" -- C_DCM0_DUTY_CYCLE_CORRECTION = true -- C_DCM0_CLKIN_DIVIDE_BY_2 = false -- C_DCM0_CLK_FEEDBACK = "1X" -- C_DCM0_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM0_DSS_MODE = "NONE" -- C_DCM0_STARTUP_WAIT = false -- C_DCM0_PHASE_SHIFT = 0 -- C_DCM0_CLKFX_MULTIPLY = 4 -- C_DCM0_CLKFX_DIVIDE = 1 -- C_DCM0_CLKDV_DIVIDE = 2.0 -- C_DCM0_CLKIN_PERIOD = 41.6666666 -- C_DCM0_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM0_CLKIN_BUF = false -- C_DCM0_CLKFB_BUF = false -- C_DCM0_CLK0_BUF = false -- C_DCM0_CLK90_BUF = false -- C_DCM0_CLK180_BUF = false -- C_DCM0_CLK270_BUF = false -- C_DCM0_CLKDV_BUF = false -- C_DCM0_CLK2X_BUF = false -- C_DCM0_CLK2X180_BUF = false -- C_DCM0_CLKFX_BUF = false -- C_DCM0_CLKFX180_BUF = false -- C_DCM0_EXT_RESET_HIGH = 1 -- C_DCM0_FAMILY = "virtex5" -- C_DCM0_CLKIN_MODULE = NONE -- C_DCM0_CLKIN_PORT = NONE -- C_DCM0_CLKFB_MODULE = NONE -- C_DCM0_CLKFB_PORT = NONE -- C_DCM0_RST_MODULE = NONE -- C_DCM1_DFS_FREQUENCY_MODE = "LOW" -- C_DCM1_DLL_FREQUENCY_MODE = "LOW" -- C_DCM1_DUTY_CYCLE_CORRECTION = true -- C_DCM1_CLKIN_DIVIDE_BY_2 = false -- C_DCM1_CLK_FEEDBACK = "1X" -- C_DCM1_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM1_DSS_MODE = "NONE" -- C_DCM1_STARTUP_WAIT = false -- C_DCM1_PHASE_SHIFT = 0 -- C_DCM1_CLKFX_MULTIPLY = 4 -- C_DCM1_CLKFX_DIVIDE = 1 -- C_DCM1_CLKDV_DIVIDE = 2.0 -- C_DCM1_CLKIN_PERIOD = 41.6666666 -- C_DCM1_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM1_CLKIN_BUF = false -- C_DCM1_CLKFB_BUF = false -- C_DCM1_CLK0_BUF = false -- C_DCM1_CLK90_BUF = false -- C_DCM1_CLK180_BUF = false -- C_DCM1_CLK270_BUF = false -- C_DCM1_CLKDV_BUF = false -- C_DCM1_CLK2X_BUF = false -- C_DCM1_CLK2X180_BUF = false -- C_DCM1_CLKFX_BUF = false -- C_DCM1_CLKFX180_BUF = false -- C_DCM1_EXT_RESET_HIGH = 1 -- C_DCM1_FAMILY = "virtex5" -- C_DCM1_CLKIN_MODULE = NONE -- C_DCM1_CLKIN_PORT = NONE -- C_DCM1_CLKFB_MODULE = NONE -- C_DCM1_CLKFB_PORT = NONE -- C_DCM1_RST_MODULE = NONE -- C_DCM2_DFS_FREQUENCY_MODE = "LOW" -- C_DCM2_DLL_FREQUENCY_MODE = "LOW" -- C_DCM2_DUTY_CYCLE_CORRECTION = true -- C_DCM2_CLKIN_DIVIDE_BY_2 = false -- C_DCM2_CLK_FEEDBACK = "1X" -- C_DCM2_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM2_DSS_MODE = "NONE" -- C_DCM2_STARTUP_WAIT = false -- C_DCM2_PHASE_SHIFT = 0 -- C_DCM2_CLKFX_MULTIPLY = 4 -- C_DCM2_CLKFX_DIVIDE = 1 -- C_DCM2_CLKDV_DIVIDE = 2.0 -- C_DCM2_CLKIN_PERIOD = 41.6666666 -- C_DCM2_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM2_CLKIN_BUF = false -- C_DCM2_CLKFB_BUF = false -- C_DCM2_CLK0_BUF = false -- C_DCM2_CLK90_BUF = false -- C_DCM2_CLK180_BUF = false -- C_DCM2_CLK270_BUF = false -- C_DCM2_CLKDV_BUF = false -- C_DCM2_CLK2X_BUF = false -- C_DCM2_CLK2X180_BUF = false -- C_DCM2_CLKFX_BUF = false -- C_DCM2_CLKFX180_BUF = false -- C_DCM2_EXT_RESET_HIGH = 1 -- C_DCM2_FAMILY = "virtex5" -- C_DCM2_CLKIN_MODULE = NONE -- C_DCM2_CLKIN_PORT = NONE -- C_DCM2_CLKFB_MODULE = NONE -- C_DCM2_CLKFB_PORT = NONE -- C_DCM2_RST_MODULE = NONE -- C_DCM3_DFS_FREQUENCY_MODE = "LOW" -- C_DCM3_DLL_FREQUENCY_MODE = "LOW" -- C_DCM3_DUTY_CYCLE_CORRECTION = true -- C_DCM3_CLKIN_DIVIDE_BY_2 = false -- C_DCM3_CLK_FEEDBACK = "1X" -- C_DCM3_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM3_DSS_MODE = "NONE" -- C_DCM3_STARTUP_WAIT = false -- C_DCM3_PHASE_SHIFT = 0 -- C_DCM3_CLKFX_MULTIPLY = 4 -- C_DCM3_CLKFX_DIVIDE = 1 -- C_DCM3_CLKDV_DIVIDE = 2.0 -- C_DCM3_CLKIN_PERIOD = 41.6666666 -- C_DCM3_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM3_CLKIN_BUF = false -- C_DCM3_CLKFB_BUF = false -- C_DCM3_CLK0_BUF = false -- C_DCM3_CLK90_BUF = false -- C_DCM3_CLK180_BUF = false -- C_DCM3_CLK270_BUF = false -- C_DCM3_CLKDV_BUF = false -- C_DCM3_CLK2X_BUF = false -- C_DCM3_CLK2X180_BUF = false -- C_DCM3_CLKFX_BUF = false -- C_DCM3_CLKFX180_BUF = false -- C_DCM3_EXT_RESET_HIGH = 1 -- C_DCM3_FAMILY = "virtex5" -- C_DCM3_CLKIN_MODULE = NONE -- C_DCM3_CLKIN_PORT = NONE -- C_DCM3_CLKFB_MODULE = NONE -- C_DCM3_CLKFB_PORT = NONE -- C_DCM3_RST_MODULE = NONE ---------------------------------------- -- C_PLL0_BANDWIDTH = "OPTIMIZED" -- C_PLL0_CLKFBOUT_MULT = 12 -- C_PLL0_CLKFBOUT_PHASE = 0.0 -- C_PLL0_CLKIN1_PERIOD = 10.000000 -- C_PLL0_CLKOUT0_DIVIDE = 24 -- C_PLL0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT0_PHASE = 0.0000 -- C_PLL0_CLKOUT1_DIVIDE = 1 -- C_PLL0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT1_PHASE = 0.0 -- C_PLL0_CLKOUT2_DIVIDE = 1 -- C_PLL0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT2_PHASE = 0.0 -- C_PLL0_CLKOUT3_DIVIDE = 1 -- C_PLL0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT3_PHASE = 0.0 -- C_PLL0_CLKOUT4_DIVIDE = 1 -- C_PLL0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT4_PHASE = 0.0 -- C_PLL0_CLKOUT5_DIVIDE = 1 -- C_PLL0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT5_PHASE = 0.0 -- C_PLL0_COMPENSATION = "SYSTEM_SYNCHRONOUS" -- C_PLL0_DIVCLK_DIVIDE = 1 -- C_PLL0_REF_JITTER = 0.100 -- C_PLL0_RESET_ON_LOSS_OF_LOCK = false -- C_PLL0_RST_DEASSERT_CLK = "CLKIN1" -- C_PLL0_CLKOUT0_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT1_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT2_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT3_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT4_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT5_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKFBOUT_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKIN1_BUF = false -- C_PLL0_CLKFBOUT_BUF = TRUE -- C_PLL0_CLKOUT0_BUF = TRUE -- C_PLL0_CLKOUT1_BUF = false -- C_PLL0_CLKOUT2_BUF = false -- C_PLL0_CLKOUT3_BUF = false -- C_PLL0_CLKOUT4_BUF = false -- C_PLL0_CLKOUT5_BUF = false -- C_PLL0_EXT_RESET_HIGH = 0 -- C_PLL0_FAMILY = "virtex5" -- C_PLL0_CLKIN1_MODULE = CLKGEN -- C_PLL0_CLKIN1_PORT = CLKIN -- C_PLL0_CLKFBIN_MODULE = PLL0 -- C_PLL0_CLKFBIN_PORT = CLKFBOUT -- C_PLL0_RST_MODULE = CLKGEN -- C_PLL1_BANDWIDTH = "OPTIMIZED" -- C_PLL1_CLKFBOUT_MULT = 1 -- C_PLL1_CLKFBOUT_PHASE = 0.0 -- C_PLL1_CLKIN1_PERIOD = 0.000 -- C_PLL1_CLKOUT0_DIVIDE = 1 -- C_PLL1_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT0_PHASE = 0.0 -- C_PLL1_CLKOUT1_DIVIDE = 1 -- C_PLL1_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT1_PHASE = 0.0 -- C_PLL1_CLKOUT2_DIVIDE = 1 -- C_PLL1_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT2_PHASE = 0.0 -- C_PLL1_CLKOUT3_DIVIDE = 1 -- C_PLL1_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT3_PHASE = 0.0 -- C_PLL1_CLKOUT4_DIVIDE = 1 -- C_PLL1_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT4_PHASE = 0.0 -- C_PLL1_CLKOUT5_DIVIDE = 1 -- C_PLL1_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT5_PHASE = 0.0 -- C_PLL1_COMPENSATION = "SYSTEM_SYNCHRONOUS" -- C_PLL1_DIVCLK_DIVIDE = 1 -- C_PLL1_REF_JITTER = 0.100 -- C_PLL1_RESET_ON_LOSS_OF_LOCK = false -- C_PLL1_RST_DEASSERT_CLK = "CLKIN1" -- C_PLL1_CLKOUT0_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT1_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT2_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT3_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT4_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT5_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKFBOUT_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKIN1_BUF = false -- C_PLL1_CLKFBOUT_BUF = false -- C_PLL1_CLKOUT0_BUF = false -- C_PLL1_CLKOUT1_BUF = false -- C_PLL1_CLKOUT2_BUF = false -- C_PLL1_CLKOUT3_BUF = false -- C_PLL1_CLKOUT4_BUF = false -- C_PLL1_CLKOUT5_BUF = false -- C_PLL1_EXT_RESET_HIGH = 1 -- C_PLL1_FAMILY = "virtex5" -- C_PLL1_CLKIN1_MODULE = NONE -- C_PLL1_CLKIN1_PORT = NONE -- C_PLL1_CLKFBIN_MODULE = NONE -- C_PLL1_CLKFBIN_PORT = NONE -- C_PLL1_RST_MODULE = NONE ---------------------------------------- -- C_MMCM0_BANDWIDTH = "OPTIMIZED" -- C_MMCM0_CLKFBOUT_MULT_F = 1.0 -- C_MMCM0_CLKFBOUT_PHASE = 0.0 -- C_MMCM0_CLKFBOUT_USE_FINE_PS = false -- C_MMCM0_CLKIN1_PERIOD = 0.000 -- C_MMCM0_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT0_PHASE = 0.0 -- C_MMCM0_CLKOUT1_DIVIDE = 1 -- C_MMCM0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT1_PHASE = 0.0 -- C_MMCM0_CLKOUT2_DIVIDE = 1 -- C_MMCM0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT2_PHASE = 0.0 -- C_MMCM0_CLKOUT3_DIVIDE = 1 -- C_MMCM0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT3_PHASE = 0.0 -- C_MMCM0_CLKOUT4_DIVIDE = 1 -- C_MMCM0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT4_PHASE = 0.0 -- C_MMCM0_CLKOUT4_CASCADE = false -- C_MMCM0_CLKOUT5_DIVIDE = 1 -- C_MMCM0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT5_PHASE = 0.0 -- C_MMCM0_CLKOUT6_DIVIDE = 1 -- C_MMCM0_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT6_PHASE = 0.0 -- C_MMCM0_CLKOUT0_USE_FINE_PS = false -- C_MMCM0_CLKOUT1_USE_FINE_PS = false -- C_MMCM0_CLKOUT2_USE_FINE_PS = false -- C_MMCM0_CLKOUT3_USE_FINE_PS = false -- C_MMCM0_CLKOUT4_USE_FINE_PS = false -- C_MMCM0_CLKOUT5_USE_FINE_PS = false -- C_MMCM0_CLKOUT6_USE_FINE_PS = false -- C_MMCM0_COMPENSATION = "ZHOLD" -- C_MMCM0_DIVCLK_DIVIDE = 1 -- C_MMCM0_REF_JITTER1 = 0.010 -- C_MMCM0_CLKIN1_BUF = false -- C_MMCM0_CLKFBOUT_BUF = false -- C_MMCM0_CLKOUT0_BUF = false -- C_MMCM0_CLKOUT1_BUF = false -- C_MMCM0_CLKOUT2_BUF = false -- C_MMCM0_CLKOUT3_BUF = false -- C_MMCM0_CLKOUT4_BUF = false -- C_MMCM0_CLKOUT5_BUF = false -- C_MMCM0_CLKOUT6_BUF = false -- C_MMCM0_CLOCK_HOLD = false -- C_MMCM0_STARTUP_WAIT = false -- C_MMCM0_EXT_RESET_HIGH = 1 -- C_MMCM0_FAMILY = "virtex5" -- C_MMCM0_CLKIN1_MODULE = NONE -- C_MMCM0_CLKIN1_PORT = NONE -- C_MMCM0_CLKFBIN_MODULE = NONE -- C_MMCM0_CLKFBIN_PORT = NONE -- C_MMCM0_RST_MODULE = NONE -- C_MMCM1_BANDWIDTH = "OPTIMIZED" -- C_MMCM1_CLKFBOUT_MULT_F = 1.0 -- C_MMCM1_CLKFBOUT_PHASE = 0.0 -- C_MMCM1_CLKFBOUT_USE_FINE_PS = false -- C_MMCM1_CLKIN1_PERIOD = 0.000 -- C_MMCM1_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM1_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT0_PHASE = 0.0 -- C_MMCM1_CLKOUT1_DIVIDE = 1 -- C_MMCM1_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT1_PHASE = 0.0 -- C_MMCM1_CLKOUT2_DIVIDE = 1 -- C_MMCM1_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT2_PHASE = 0.0 -- C_MMCM1_CLKOUT3_DIVIDE = 1 -- C_MMCM1_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT3_PHASE = 0.0 -- C_MMCM1_CLKOUT4_DIVIDE = 1 -- C_MMCM1_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT4_PHASE = 0.0 -- C_MMCM1_CLKOUT4_CASCADE = false -- C_MMCM1_CLKOUT5_DIVIDE = 1 -- C_MMCM1_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT5_PHASE = 0.0 -- C_MMCM1_CLKOUT6_DIVIDE = 1 -- C_MMCM1_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT6_PHASE = 0.0 -- C_MMCM1_CLKOUT0_USE_FINE_PS = false -- C_MMCM1_CLKOUT1_USE_FINE_PS = false -- C_MMCM1_CLKOUT2_USE_FINE_PS = false -- C_MMCM1_CLKOUT3_USE_FINE_PS = false -- C_MMCM1_CLKOUT4_USE_FINE_PS = false -- C_MMCM1_CLKOUT5_USE_FINE_PS = false -- C_MMCM1_CLKOUT6_USE_FINE_PS = false -- C_MMCM1_COMPENSATION = "ZHOLD" -- C_MMCM1_DIVCLK_DIVIDE = 1 -- C_MMCM1_REF_JITTER1 = 0.010 -- C_MMCM1_CLKIN1_BUF = false -- C_MMCM1_CLKFBOUT_BUF = false -- C_MMCM1_CLKOUT0_BUF = false -- C_MMCM1_CLKOUT1_BUF = false -- C_MMCM1_CLKOUT2_BUF = false -- C_MMCM1_CLKOUT3_BUF = false -- C_MMCM1_CLKOUT4_BUF = false -- C_MMCM1_CLKOUT5_BUF = false -- C_MMCM1_CLKOUT6_BUF = false -- C_MMCM1_CLOCK_HOLD = false -- C_MMCM1_STARTUP_WAIT = false -- C_MMCM1_EXT_RESET_HIGH = 1 -- C_MMCM1_FAMILY = "virtex5" -- C_MMCM1_CLKIN1_MODULE = NONE -- C_MMCM1_CLKIN1_PORT = NONE -- C_MMCM1_CLKFBIN_MODULE = NONE -- C_MMCM1_CLKFBIN_PORT = NONE -- C_MMCM1_RST_MODULE = NONE -- C_MMCM2_BANDWIDTH = "OPTIMIZED" -- C_MMCM2_CLKFBOUT_MULT_F = 1.0 -- C_MMCM2_CLKFBOUT_PHASE = 0.0 -- C_MMCM2_CLKFBOUT_USE_FINE_PS = false -- C_MMCM2_CLKIN1_PERIOD = 0.000 -- C_MMCM2_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM2_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT0_PHASE = 0.0 -- C_MMCM2_CLKOUT1_DIVIDE = 1 -- C_MMCM2_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT1_PHASE = 0.0 -- C_MMCM2_CLKOUT2_DIVIDE = 1 -- C_MMCM2_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT2_PHASE = 0.0 -- C_MMCM2_CLKOUT3_DIVIDE = 1 -- C_MMCM2_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT3_PHASE = 0.0 -- C_MMCM2_CLKOUT4_DIVIDE = 1 -- C_MMCM2_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT4_PHASE = 0.0 -- C_MMCM2_CLKOUT4_CASCADE = false -- C_MMCM2_CLKOUT5_DIVIDE = 1 -- C_MMCM2_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT5_PHASE = 0.0 -- C_MMCM2_CLKOUT6_DIVIDE = 1 -- C_MMCM2_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT6_PHASE = 0.0 -- C_MMCM2_CLKOUT0_USE_FINE_PS = false -- C_MMCM2_CLKOUT1_USE_FINE_PS = false -- C_MMCM2_CLKOUT2_USE_FINE_PS = false -- C_MMCM2_CLKOUT3_USE_FINE_PS = false -- C_MMCM2_CLKOUT4_USE_FINE_PS = false -- C_MMCM2_CLKOUT5_USE_FINE_PS = false -- C_MMCM2_CLKOUT6_USE_FINE_PS = false -- C_MMCM2_COMPENSATION = "ZHOLD" -- C_MMCM2_DIVCLK_DIVIDE = 1 -- C_MMCM2_REF_JITTER1 = 0.010 -- C_MMCM2_CLKIN1_BUF = false -- C_MMCM2_CLKFBOUT_BUF = false -- C_MMCM2_CLKOUT0_BUF = false -- C_MMCM2_CLKOUT1_BUF = false -- C_MMCM2_CLKOUT2_BUF = false -- C_MMCM2_CLKOUT3_BUF = false -- C_MMCM2_CLKOUT4_BUF = false -- C_MMCM2_CLKOUT5_BUF = false -- C_MMCM2_CLKOUT6_BUF = false -- C_MMCM2_CLOCK_HOLD = false -- C_MMCM2_STARTUP_WAIT = false -- C_MMCM2_EXT_RESET_HIGH = 1 -- C_MMCM2_FAMILY = "virtex5" -- C_MMCM2_CLKIN1_MODULE = NONE -- C_MMCM2_CLKIN1_PORT = NONE -- C_MMCM2_CLKFBIN_MODULE = NONE -- C_MMCM2_CLKFBIN_PORT = NONE -- C_MMCM2_RST_MODULE = NONE -- C_MMCM3_BANDWIDTH = "OPTIMIZED" -- C_MMCM3_CLKFBOUT_MULT_F = 1.0 -- C_MMCM3_CLKFBOUT_PHASE = 0.0 -- C_MMCM3_CLKFBOUT_USE_FINE_PS = false -- C_MMCM3_CLKIN1_PERIOD = 0.000 -- C_MMCM3_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM3_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT0_PHASE = 0.0 -- C_MMCM3_CLKOUT1_DIVIDE = 1 -- C_MMCM3_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT1_PHASE = 0.0 -- C_MMCM3_CLKOUT2_DIVIDE = 1 -- C_MMCM3_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT2_PHASE = 0.0 -- C_MMCM3_CLKOUT3_DIVIDE = 1 -- C_MMCM3_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT3_PHASE = 0.0 -- C_MMCM3_CLKOUT4_DIVIDE = 1 -- C_MMCM3_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT4_PHASE = 0.0 -- C_MMCM3_CLKOUT4_CASCADE = false -- C_MMCM3_CLKOUT5_DIVIDE = 1 -- C_MMCM3_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT5_PHASE = 0.0 -- C_MMCM3_CLKOUT6_DIVIDE = 1 -- C_MMCM3_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT6_PHASE = 0.0 -- C_MMCM3_CLKOUT0_USE_FINE_PS = false -- C_MMCM3_CLKOUT1_USE_FINE_PS = false -- C_MMCM3_CLKOUT2_USE_FINE_PS = false -- C_MMCM3_CLKOUT3_USE_FINE_PS = false -- C_MMCM3_CLKOUT4_USE_FINE_PS = false -- C_MMCM3_CLKOUT5_USE_FINE_PS = false -- C_MMCM3_CLKOUT6_USE_FINE_PS = false -- C_MMCM3_COMPENSATION = "ZHOLD" -- C_MMCM3_DIVCLK_DIVIDE = 1 -- C_MMCM3_REF_JITTER1 = 0.010 -- C_MMCM3_CLKIN1_BUF = false -- C_MMCM3_CLKFBOUT_BUF = false -- C_MMCM3_CLKOUT0_BUF = false -- C_MMCM3_CLKOUT1_BUF = false -- C_MMCM3_CLKOUT2_BUF = false -- C_MMCM3_CLKOUT3_BUF = false -- C_MMCM3_CLKOUT4_BUF = false -- C_MMCM3_CLKOUT5_BUF = false -- C_MMCM3_CLKOUT6_BUF = false -- C_MMCM3_CLOCK_HOLD = false -- C_MMCM3_STARTUP_WAIT = false -- C_MMCM3_EXT_RESET_HIGH = 1 -- C_MMCM3_FAMILY = "virtex5" -- C_MMCM3_CLKIN1_MODULE = NONE -- C_MMCM3_CLKIN1_PORT = NONE -- C_MMCM3_CLKFBIN_MODULE = NONE -- C_MMCM3_CLKFBIN_PORT = NONE -- C_MMCM3_RST_MODULE = NONE ---------------------------------------- -- C_PLLE0_BANDWIDTH = "OPTIMIZED" -- C_PLLE0_CLKFBOUT_MULT = 1 -- C_PLLE0_CLKFBOUT_PHASE = 0.0 -- C_PLLE0_CLKIN1_PERIOD = 0.000 -- C_PLLE0_CLKOUT0_DIVIDE = 1 -- C_PLLE0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT0_PHASE = 0.0 -- C_PLLE0_CLKOUT1_DIVIDE = 1 -- C_PLLE0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT1_PHASE = 0.0 -- C_PLLE0_CLKOUT2_DIVIDE = 1 -- C_PLLE0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT2_PHASE = 0.0 -- C_PLLE0_CLKOUT3_DIVIDE = 1 -- C_PLLE0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT3_PHASE = 0.0 -- C_PLLE0_CLKOUT4_DIVIDE = 1 -- C_PLLE0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT4_PHASE = 0.0 -- C_PLLE0_CLKOUT5_DIVIDE = 1 -- C_PLLE0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT5_PHASE = 0.0 -- C_PLLE0_COMPENSATION = "ZHOLD" -- C_PLLE0_DIVCLK_DIVIDE = 1 -- C_PLLE0_REF_JITTER1 = 0.010 -- C_PLLE0_CLKIN1_BUF = false -- C_PLLE0_CLKFBOUT_BUF = false -- C_PLLE0_CLKOUT0_BUF = false -- C_PLLE0_CLKOUT1_BUF = false -- C_PLLE0_CLKOUT2_BUF = false -- C_PLLE0_CLKOUT3_BUF = false -- C_PLLE0_CLKOUT4_BUF = false -- C_PLLE0_CLKOUT5_BUF = false -- C_PLLE0_STARTUP_WAIT = "false" -- C_PLLE0_EXT_RESET_HIGH = 1 -- C_PLLE0_FAMILY = "virtex7" -- C_PLLE0_CLKIN1_MODULE = NONE -- C_PLLE0_CLKIN1_PORT = NONE -- C_PLLE0_CLKFBIN_MODULE = NONE -- C_PLLE0_CLKFBIN_PORT = NONE -- C_PLLE0_RST_MODULE = NONE ----------------------------------------
lgpl-3.0
jairov4/accel-oil
impl/impl_test_pcie/simulation/behavioral/system_dlmb_cntlr_wrapper.vhd
1
18331
------------------------------------------------------------------------------- -- system_dlmb_cntlr_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library lmb_bram_if_cntlr_v3_10_c; use lmb_bram_if_cntlr_v3_10_c.all; entity system_dlmb_cntlr_wrapper is port ( LMB_Clk : in std_logic; LMB_Rst : in std_logic; LMB_ABus : in std_logic_vector(0 to 31); LMB_WriteDBus : in std_logic_vector(0 to 31); LMB_AddrStrobe : in std_logic; LMB_ReadStrobe : in std_logic; LMB_WriteStrobe : in std_logic; LMB_BE : in std_logic_vector(0 to 3); Sl_DBus : out std_logic_vector(0 to 31); Sl_Ready : out std_logic; Sl_Wait : out std_logic; Sl_UE : out std_logic; Sl_CE : out std_logic; LMB1_ABus : in std_logic_vector(0 to 31); LMB1_WriteDBus : in std_logic_vector(0 to 31); LMB1_AddrStrobe : in std_logic; LMB1_ReadStrobe : in std_logic; LMB1_WriteStrobe : in std_logic; LMB1_BE : in std_logic_vector(0 to 3); Sl1_DBus : out std_logic_vector(0 to 31); Sl1_Ready : out std_logic; Sl1_Wait : out std_logic; Sl1_UE : out std_logic; Sl1_CE : out std_logic; LMB2_ABus : in std_logic_vector(0 to 31); LMB2_WriteDBus : in std_logic_vector(0 to 31); LMB2_AddrStrobe : in std_logic; LMB2_ReadStrobe : in std_logic; LMB2_WriteStrobe : in std_logic; LMB2_BE : in std_logic_vector(0 to 3); Sl2_DBus : out std_logic_vector(0 to 31); Sl2_Ready : out std_logic; Sl2_Wait : out std_logic; Sl2_UE : out std_logic; Sl2_CE : out std_logic; LMB3_ABus : in std_logic_vector(0 to 31); LMB3_WriteDBus : in std_logic_vector(0 to 31); LMB3_AddrStrobe : in std_logic; LMB3_ReadStrobe : in std_logic; LMB3_WriteStrobe : in std_logic; LMB3_BE : in std_logic_vector(0 to 3); Sl3_DBus : out std_logic_vector(0 to 31); Sl3_Ready : out std_logic; Sl3_Wait : out std_logic; Sl3_UE : out std_logic; Sl3_CE : out std_logic; BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_EN_A : out std_logic; BRAM_WEN_A : out std_logic_vector(0 to 3); BRAM_Addr_A : out std_logic_vector(0 to 31); BRAM_Din_A : in std_logic_vector(0 to 31); BRAM_Dout_A : out std_logic_vector(0 to 31); Interrupt : out std_logic; UE : out std_logic; CE : out std_logic; SPLB_CTRL_PLB_ABus : in std_logic_vector(0 to 31); SPLB_CTRL_PLB_PAValid : in std_logic; SPLB_CTRL_PLB_masterID : in std_logic_vector(0 to 0); SPLB_CTRL_PLB_RNW : in std_logic; SPLB_CTRL_PLB_BE : in std_logic_vector(0 to 3); SPLB_CTRL_PLB_size : in std_logic_vector(0 to 3); SPLB_CTRL_PLB_type : in std_logic_vector(0 to 2); SPLB_CTRL_PLB_wrDBus : in std_logic_vector(0 to 31); SPLB_CTRL_Sl_addrAck : out std_logic; SPLB_CTRL_Sl_SSize : out std_logic_vector(0 to 1); SPLB_CTRL_Sl_wait : out std_logic; SPLB_CTRL_Sl_rearbitrate : out std_logic; SPLB_CTRL_Sl_wrDAck : out std_logic; SPLB_CTRL_Sl_wrComp : out std_logic; SPLB_CTRL_Sl_rdDBus : out std_logic_vector(0 to 31); SPLB_CTRL_Sl_rdDAck : out std_logic; SPLB_CTRL_Sl_rdComp : out std_logic; SPLB_CTRL_Sl_MBusy : out std_logic_vector(0 to 0); SPLB_CTRL_Sl_MWrErr : out std_logic_vector(0 to 0); SPLB_CTRL_Sl_MRdErr : out std_logic_vector(0 to 0); SPLB_CTRL_PLB_UABus : in std_logic_vector(0 to 31); SPLB_CTRL_PLB_SAValid : in std_logic; SPLB_CTRL_PLB_rdPrim : in std_logic; SPLB_CTRL_PLB_wrPrim : in std_logic; SPLB_CTRL_PLB_abort : in std_logic; SPLB_CTRL_PLB_busLock : in std_logic; SPLB_CTRL_PLB_MSize : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_lockErr : in std_logic; SPLB_CTRL_PLB_wrBurst : in std_logic; SPLB_CTRL_PLB_rdBurst : in std_logic; SPLB_CTRL_PLB_wrPendReq : in std_logic; SPLB_CTRL_PLB_rdPendReq : in std_logic; SPLB_CTRL_PLB_wrPendPri : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_rdPendPri : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_reqPri : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_TAttribute : in std_logic_vector(0 to 15); SPLB_CTRL_Sl_wrBTerm : out std_logic; SPLB_CTRL_Sl_rdWdAddr : out std_logic_vector(0 to 3); SPLB_CTRL_Sl_rdBTerm : out std_logic; SPLB_CTRL_Sl_MIRQ : out std_logic_vector(0 to 0); S_AXI_CTRL_ACLK : in std_logic; S_AXI_CTRL_ARESETN : in std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(31 downto 0); S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_WDATA : in std_logic_vector(31 downto 0); S_AXI_CTRL_WSTRB : in std_logic_vector(3 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; S_AXI_CTRL_ARADDR : in std_logic_vector(31 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; S_AXI_CTRL_RDATA : out std_logic_vector(31 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic ); end system_dlmb_cntlr_wrapper; architecture STRUCTURE of system_dlmb_cntlr_wrapper is component lmb_bram_if_cntlr is generic ( C_BASEADDR : std_logic_vector(0 to 31); C_HIGHADDR : std_logic_vector(0 to 31); C_FAMILY : string; C_MASK : std_logic_vector(0 to 31); C_MASK1 : std_logic_vector(0 to 31); C_MASK2 : std_logic_vector(0 to 31); C_MASK3 : std_logic_vector(0 to 31); C_LMB_AWIDTH : integer; C_LMB_DWIDTH : integer; C_ECC : integer; C_INTERCONNECT : integer; C_FAULT_INJECT : integer; C_CE_FAILING_REGISTERS : integer; C_UE_FAILING_REGISTERS : integer; C_ECC_STATUS_REGISTERS : integer; C_ECC_ONOFF_REGISTER : integer; C_ECC_ONOFF_RESET_VALUE : integer; C_CE_COUNTER_WIDTH : integer; C_WRITE_ACCESS : integer; C_NUM_LMB : integer; C_SPLB_CTRL_BASEADDR : std_logic_vector; C_SPLB_CTRL_HIGHADDR : std_logic_vector; C_SPLB_CTRL_AWIDTH : INTEGER; C_SPLB_CTRL_DWIDTH : INTEGER; C_SPLB_CTRL_P2P : INTEGER; C_SPLB_CTRL_MID_WIDTH : INTEGER; C_SPLB_CTRL_NUM_MASTERS : INTEGER; C_SPLB_CTRL_SUPPORT_BURSTS : INTEGER; C_SPLB_CTRL_NATIVE_DWIDTH : INTEGER; C_S_AXI_CTRL_BASEADDR : std_logic_vector(31 downto 0); C_S_AXI_CTRL_HIGHADDR : std_logic_vector(31 downto 0); C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER ); port ( LMB_Clk : in std_logic; LMB_Rst : in std_logic; LMB_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_AddrStrobe : in std_logic; LMB_ReadStrobe : in std_logic; LMB_WriteStrobe : in std_logic; LMB_BE : in std_logic_vector(0 to C_LMB_DWIDTH/8-1); Sl_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl_Ready : out std_logic; Sl_Wait : out std_logic; Sl_UE : out std_logic; Sl_CE : out std_logic; LMB1_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB1_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB1_AddrStrobe : in std_logic; LMB1_ReadStrobe : in std_logic; LMB1_WriteStrobe : in std_logic; LMB1_BE : in std_logic_vector(0 to C_LMB_DWIDTH/8-1); Sl1_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl1_Ready : out std_logic; Sl1_Wait : out std_logic; Sl1_UE : out std_logic; Sl1_CE : out std_logic; LMB2_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB2_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB2_AddrStrobe : in std_logic; LMB2_ReadStrobe : in std_logic; LMB2_WriteStrobe : in std_logic; LMB2_BE : in std_logic_vector(0 to C_LMB_DWIDTH/8-1); Sl2_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl2_Ready : out std_logic; Sl2_Wait : out std_logic; Sl2_UE : out std_logic; Sl2_CE : out std_logic; LMB3_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB3_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB3_AddrStrobe : in std_logic; LMB3_ReadStrobe : in std_logic; LMB3_WriteStrobe : in std_logic; LMB3_BE : in std_logic_vector(0 to C_LMB_DWIDTH/8-1); Sl3_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl3_Ready : out std_logic; Sl3_Wait : out std_logic; Sl3_UE : out std_logic; Sl3_CE : out std_logic; BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_EN_A : out std_logic; BRAM_WEN_A : out std_logic_vector(0 to ((C_LMB_DWIDTH+8*C_ECC)/8)-1); BRAM_Addr_A : out std_logic_vector(0 to C_LMB_AWIDTH-1); BRAM_Din_A : in std_logic_vector(0 to C_LMB_DWIDTH-1+8*C_ECC); BRAM_Dout_A : out std_logic_vector(0 to C_LMB_DWIDTH-1+8*C_ECC); Interrupt : out std_logic; UE : out std_logic; CE : out std_logic; SPLB_CTRL_PLB_ABus : in std_logic_vector(0 to 31); SPLB_CTRL_PLB_PAValid : in std_logic; SPLB_CTRL_PLB_masterID : in std_logic_vector(0 to (C_SPLB_CTRL_MID_WIDTH-1)); SPLB_CTRL_PLB_RNW : in std_logic; SPLB_CTRL_PLB_BE : in std_logic_vector(0 to ((C_SPLB_CTRL_DWIDTH/8)-1)); SPLB_CTRL_PLB_size : in std_logic_vector(0 to 3); SPLB_CTRL_PLB_type : in std_logic_vector(0 to 2); SPLB_CTRL_PLB_wrDBus : in std_logic_vector(0 to (C_SPLB_CTRL_DWIDTH-1)); SPLB_CTRL_Sl_addrAck : out std_logic; SPLB_CTRL_Sl_SSize : out std_logic_vector(0 to 1); SPLB_CTRL_Sl_wait : out std_logic; SPLB_CTRL_Sl_rearbitrate : out std_logic; SPLB_CTRL_Sl_wrDAck : out std_logic; SPLB_CTRL_Sl_wrComp : out std_logic; SPLB_CTRL_Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_CTRL_DWIDTH-1)); SPLB_CTRL_Sl_rdDAck : out std_logic; SPLB_CTRL_Sl_rdComp : out std_logic; SPLB_CTRL_Sl_MBusy : out std_logic_vector(0 to (C_SPLB_CTRL_NUM_MASTERS-1)); SPLB_CTRL_Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_CTRL_NUM_MASTERS-1)); SPLB_CTRL_Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_CTRL_NUM_MASTERS-1)); SPLB_CTRL_PLB_UABus : in std_logic_vector(0 to 31); SPLB_CTRL_PLB_SAValid : in std_logic; SPLB_CTRL_PLB_rdPrim : in std_logic; SPLB_CTRL_PLB_wrPrim : in std_logic; SPLB_CTRL_PLB_abort : in std_logic; SPLB_CTRL_PLB_busLock : in std_logic; SPLB_CTRL_PLB_MSize : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_lockErr : in std_logic; SPLB_CTRL_PLB_wrBurst : in std_logic; SPLB_CTRL_PLB_rdBurst : in std_logic; SPLB_CTRL_PLB_wrPendReq : in std_logic; SPLB_CTRL_PLB_rdPendReq : in std_logic; SPLB_CTRL_PLB_wrPendPri : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_rdPendPri : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_reqPri : in std_logic_vector(0 to 1); SPLB_CTRL_PLB_TAttribute : in std_logic_vector(0 to 15); SPLB_CTRL_Sl_wrBTerm : out std_logic; SPLB_CTRL_Sl_rdWdAddr : out std_logic_vector(0 to 3); SPLB_CTRL_Sl_rdBTerm : out std_logic; SPLB_CTRL_Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_CTRL_NUM_MASTERS-1)); S_AXI_CTRL_ACLK : in std_logic; S_AXI_CTRL_ARESETN : in std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector((C_S_AXI_CTRL_ADDR_WIDTH-1) downto 0); S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_WDATA : in std_logic_vector((C_S_AXI_CTRL_DATA_WIDTH-1) downto 0); S_AXI_CTRL_WSTRB : in std_logic_vector(((C_S_AXI_CTRL_DATA_WIDTH/8)-1) downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; S_AXI_CTRL_ARADDR : in std_logic_vector((C_S_AXI_CTRL_ADDR_WIDTH-1) downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; S_AXI_CTRL_RDATA : out std_logic_vector((C_S_AXI_CTRL_DATA_WIDTH-1) downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic ); end component; begin dlmb_cntlr : lmb_bram_if_cntlr generic map ( C_BASEADDR => X"00000000", C_HIGHADDR => X"00000fff", C_FAMILY => "virtex5", C_MASK => X"80000000", C_MASK1 => X"00800000", C_MASK2 => X"00800000", C_MASK3 => X"00800000", C_LMB_AWIDTH => 32, C_LMB_DWIDTH => 32, C_ECC => 0, C_INTERCONNECT => 0, C_FAULT_INJECT => 0, C_CE_FAILING_REGISTERS => 0, C_UE_FAILING_REGISTERS => 0, C_ECC_STATUS_REGISTERS => 0, C_ECC_ONOFF_REGISTER => 0, C_ECC_ONOFF_RESET_VALUE => 1, C_CE_COUNTER_WIDTH => 0, C_WRITE_ACCESS => 2, C_NUM_LMB => 1, C_SPLB_CTRL_BASEADDR => X"FFFFFFFF", C_SPLB_CTRL_HIGHADDR => X"00000000", C_SPLB_CTRL_AWIDTH => 32, C_SPLB_CTRL_DWIDTH => 32, C_SPLB_CTRL_P2P => 0, C_SPLB_CTRL_MID_WIDTH => 1, C_SPLB_CTRL_NUM_MASTERS => 1, C_SPLB_CTRL_SUPPORT_BURSTS => 0, C_SPLB_CTRL_NATIVE_DWIDTH => 32, C_S_AXI_CTRL_BASEADDR => X"FFFFFFFF", C_S_AXI_CTRL_HIGHADDR => X"00000000", C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32 ) port map ( LMB_Clk => LMB_Clk, LMB_Rst => LMB_Rst, LMB_ABus => LMB_ABus, LMB_WriteDBus => LMB_WriteDBus, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_BE => LMB_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB1_ABus => LMB1_ABus, LMB1_WriteDBus => LMB1_WriteDBus, LMB1_AddrStrobe => LMB1_AddrStrobe, LMB1_ReadStrobe => LMB1_ReadStrobe, LMB1_WriteStrobe => LMB1_WriteStrobe, LMB1_BE => LMB1_BE, Sl1_DBus => Sl1_DBus, Sl1_Ready => Sl1_Ready, Sl1_Wait => Sl1_Wait, Sl1_UE => Sl1_UE, Sl1_CE => Sl1_CE, LMB2_ABus => LMB2_ABus, LMB2_WriteDBus => LMB2_WriteDBus, LMB2_AddrStrobe => LMB2_AddrStrobe, LMB2_ReadStrobe => LMB2_ReadStrobe, LMB2_WriteStrobe => LMB2_WriteStrobe, LMB2_BE => LMB2_BE, Sl2_DBus => Sl2_DBus, Sl2_Ready => Sl2_Ready, Sl2_Wait => Sl2_Wait, Sl2_UE => Sl2_UE, Sl2_CE => Sl2_CE, LMB3_ABus => LMB3_ABus, LMB3_WriteDBus => LMB3_WriteDBus, LMB3_AddrStrobe => LMB3_AddrStrobe, LMB3_ReadStrobe => LMB3_ReadStrobe, LMB3_WriteStrobe => LMB3_WriteStrobe, LMB3_BE => LMB3_BE, Sl3_DBus => Sl3_DBus, Sl3_Ready => Sl3_Ready, Sl3_Wait => Sl3_Wait, Sl3_UE => Sl3_UE, Sl3_CE => Sl3_CE, BRAM_Rst_A => BRAM_Rst_A, BRAM_Clk_A => BRAM_Clk_A, BRAM_EN_A => BRAM_EN_A, BRAM_WEN_A => BRAM_WEN_A, BRAM_Addr_A => BRAM_Addr_A, BRAM_Din_A => BRAM_Din_A, BRAM_Dout_A => BRAM_Dout_A, Interrupt => Interrupt, UE => UE, CE => CE, SPLB_CTRL_PLB_ABus => SPLB_CTRL_PLB_ABus, SPLB_CTRL_PLB_PAValid => SPLB_CTRL_PLB_PAValid, SPLB_CTRL_PLB_masterID => SPLB_CTRL_PLB_masterID, SPLB_CTRL_PLB_RNW => SPLB_CTRL_PLB_RNW, SPLB_CTRL_PLB_BE => SPLB_CTRL_PLB_BE, SPLB_CTRL_PLB_size => SPLB_CTRL_PLB_size, SPLB_CTRL_PLB_type => SPLB_CTRL_PLB_type, SPLB_CTRL_PLB_wrDBus => SPLB_CTRL_PLB_wrDBus, SPLB_CTRL_Sl_addrAck => SPLB_CTRL_Sl_addrAck, SPLB_CTRL_Sl_SSize => SPLB_CTRL_Sl_SSize, SPLB_CTRL_Sl_wait => SPLB_CTRL_Sl_wait, SPLB_CTRL_Sl_rearbitrate => SPLB_CTRL_Sl_rearbitrate, SPLB_CTRL_Sl_wrDAck => SPLB_CTRL_Sl_wrDAck, SPLB_CTRL_Sl_wrComp => SPLB_CTRL_Sl_wrComp, SPLB_CTRL_Sl_rdDBus => SPLB_CTRL_Sl_rdDBus, SPLB_CTRL_Sl_rdDAck => SPLB_CTRL_Sl_rdDAck, SPLB_CTRL_Sl_rdComp => SPLB_CTRL_Sl_rdComp, SPLB_CTRL_Sl_MBusy => SPLB_CTRL_Sl_MBusy, SPLB_CTRL_Sl_MWrErr => SPLB_CTRL_Sl_MWrErr, SPLB_CTRL_Sl_MRdErr => SPLB_CTRL_Sl_MRdErr, SPLB_CTRL_PLB_UABus => SPLB_CTRL_PLB_UABus, SPLB_CTRL_PLB_SAValid => SPLB_CTRL_PLB_SAValid, SPLB_CTRL_PLB_rdPrim => SPLB_CTRL_PLB_rdPrim, SPLB_CTRL_PLB_wrPrim => SPLB_CTRL_PLB_wrPrim, SPLB_CTRL_PLB_abort => SPLB_CTRL_PLB_abort, SPLB_CTRL_PLB_busLock => SPLB_CTRL_PLB_busLock, SPLB_CTRL_PLB_MSize => SPLB_CTRL_PLB_MSize, SPLB_CTRL_PLB_lockErr => SPLB_CTRL_PLB_lockErr, SPLB_CTRL_PLB_wrBurst => SPLB_CTRL_PLB_wrBurst, SPLB_CTRL_PLB_rdBurst => SPLB_CTRL_PLB_rdBurst, SPLB_CTRL_PLB_wrPendReq => SPLB_CTRL_PLB_wrPendReq, SPLB_CTRL_PLB_rdPendReq => SPLB_CTRL_PLB_rdPendReq, SPLB_CTRL_PLB_wrPendPri => SPLB_CTRL_PLB_wrPendPri, SPLB_CTRL_PLB_rdPendPri => SPLB_CTRL_PLB_rdPendPri, SPLB_CTRL_PLB_reqPri => SPLB_CTRL_PLB_reqPri, SPLB_CTRL_PLB_TAttribute => SPLB_CTRL_PLB_TAttribute, SPLB_CTRL_Sl_wrBTerm => SPLB_CTRL_Sl_wrBTerm, SPLB_CTRL_Sl_rdWdAddr => SPLB_CTRL_Sl_rdWdAddr, SPLB_CTRL_Sl_rdBTerm => SPLB_CTRL_Sl_rdBTerm, SPLB_CTRL_Sl_MIRQ => SPLB_CTRL_Sl_MIRQ, S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK, S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN, S_AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR, S_AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID, S_AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY, S_AXI_CTRL_WDATA => S_AXI_CTRL_WDATA, S_AXI_CTRL_WSTRB => S_AXI_CTRL_WSTRB, S_AXI_CTRL_WVALID => S_AXI_CTRL_WVALID, S_AXI_CTRL_WREADY => S_AXI_CTRL_WREADY, S_AXI_CTRL_BRESP => S_AXI_CTRL_BRESP, S_AXI_CTRL_BVALID => S_AXI_CTRL_BVALID, S_AXI_CTRL_BREADY => S_AXI_CTRL_BREADY, S_AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR, S_AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID, S_AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY, S_AXI_CTRL_RDATA => S_AXI_CTRL_RDATA, S_AXI_CTRL_RRESP => S_AXI_CTRL_RRESP, S_AXI_CTRL_RVALID => S_AXI_CTRL_RVALID, S_AXI_CTRL_RREADY => S_AXI_CTRL_RREADY ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
solution_spartan3/impl/vhdl/nfa_accept_sample.vhd
1
69807
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_sample is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_req_din : OUT STD_LOGIC; nfa_forward_buckets_req_full_n : IN STD_LOGIC; nfa_forward_buckets_req_write : OUT STD_LOGIC; nfa_forward_buckets_rsp_empty_n : IN STD_LOGIC; nfa_forward_buckets_rsp_read : OUT STD_LOGIC; nfa_forward_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_symbols : IN STD_LOGIC_VECTOR (7 downto 0); sample_req_din : OUT STD_LOGIC; sample_req_full_n : IN STD_LOGIC; sample_req_write : OUT STD_LOGIC; sample_rsp_empty_n : IN STD_LOGIC; sample_rsp_read : OUT STD_LOGIC; sample_address : OUT STD_LOGIC_VECTOR (31 downto 0); sample_datain : IN STD_LOGIC_VECTOR (7 downto 0); sample_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); sample_size : OUT STD_LOGIC_VECTOR (31 downto 0); tmp_14 : IN STD_LOGIC_VECTOR (31 downto 0); length_r : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (0 downto 0) ); end; architecture behav of nfa_accept_sample is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (6 downto 0) := "0000001"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (6 downto 0) := "0000010"; constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (6 downto 0) := "0000011"; constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (6 downto 0) := "0000100"; constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (6 downto 0) := "0000101"; constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (6 downto 0) := "0000110"; constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (6 downto 0) := "0000111"; constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (6 downto 0) := "0001000"; constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (6 downto 0) := "0001001"; constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (6 downto 0) := "0001010"; constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (6 downto 0) := "0001011"; constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (6 downto 0) := "0001100"; constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (6 downto 0) := "0001101"; constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (6 downto 0) := "0001110"; constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (6 downto 0) := "0001111"; constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (6 downto 0) := "0010000"; constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (6 downto 0) := "0010001"; constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (6 downto 0) := "0010010"; constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (6 downto 0) := "0010011"; constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (6 downto 0) := "0010100"; constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (6 downto 0) := "0010101"; constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (6 downto 0) := "0010110"; constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (6 downto 0) := "0010111"; constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (6 downto 0) := "0011000"; constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (6 downto 0) := "0011001"; constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (6 downto 0) := "0011010"; constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (6 downto 0) := "0011011"; constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (6 downto 0) := "0011100"; constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (6 downto 0) := "0011101"; constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (6 downto 0) := "0011110"; constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (6 downto 0) := "0011111"; constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (6 downto 0) := "0100000"; constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (6 downto 0) := "0100001"; constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (6 downto 0) := "0100010"; constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (6 downto 0) := "0100011"; constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (6 downto 0) := "0100100"; constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (6 downto 0) := "0100101"; constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (6 downto 0) := "0100110"; constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (6 downto 0) := "0100111"; constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (6 downto 0) := "0101000"; constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (6 downto 0) := "0101001"; constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (6 downto 0) := "0101010"; constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (6 downto 0) := "0101011"; constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (6 downto 0) := "0101100"; constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (6 downto 0) := "0101101"; constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (6 downto 0) := "0101110"; constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (6 downto 0) := "0101111"; constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (6 downto 0) := "0110000"; constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (6 downto 0) := "0110001"; constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (6 downto 0) := "0110010"; constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (6 downto 0) := "0110011"; constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (6 downto 0) := "0110100"; constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (6 downto 0) := "0110101"; constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (6 downto 0) := "0110110"; constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (6 downto 0) := "0110111"; constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (6 downto 0) := "0111000"; constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (6 downto 0) := "0111001"; constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (6 downto 0) := "0111010"; constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (6 downto 0) := "0111011"; constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (6 downto 0) := "0111100"; constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (6 downto 0) := "0111101"; constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (6 downto 0) := "0111110"; constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (6 downto 0) := "0111111"; constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (6 downto 0) := "1000000"; constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (6 downto 0) := "1000001"; constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (6 downto 0) := "1000010"; constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (6 downto 0) := "1000011"; constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (6 downto 0) := "1000100"; constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (6 downto 0) := "1000101"; constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (6 downto 0) := "1000110"; constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (6 downto 0) := "1000111"; constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (6 downto 0) := "1001000"; constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (6 downto 0) := "1001001"; constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (6 downto 0) := "1001010"; constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (6 downto 0) := "1001011"; constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (6 downto 0) := "1001100"; constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (6 downto 0) := "1001101"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv16_1 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000001"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; signal reg_378 : STD_LOGIC_VECTOR (31 downto 0); signal current_buckets_0_reg_585 : STD_LOGIC_VECTOR (31 downto 0); signal current_buckets_1_reg_590 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_14_cast_fu_390_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_14_cast_reg_600 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_s_fu_405_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_s_reg_605 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_410_p2 : STD_LOGIC_VECTOR (15 downto 0); signal i_1_reg_609 : STD_LOGIC_VECTOR (15 downto 0); signal sample_addr_1_reg_614 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_19_i_fu_428_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_19_i_reg_620 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_422_p2 : STD_LOGIC_VECTOR (63 downto 0); signal p_rec_reg_624 : STD_LOGIC_VECTOR (63 downto 0); signal sym_reg_629 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_19_1_i_fu_434_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_19_1_i_reg_634 : STD_LOGIC_VECTOR (0 downto 0); signal grp_p_bsf32_hw_fu_372_ap_return : STD_LOGIC_VECTOR (4 downto 0); signal r_bit_reg_638 : STD_LOGIC_VECTOR (4 downto 0); signal agg_result_bucket_index_0_lcssa4_i_cast_cast_fu_440_p1 : STD_LOGIC_VECTOR (1 downto 0); signal j_bucket_index1_ph_cast_fu_444_p1 : STD_LOGIC_VECTOR (7 downto 0); signal j_bit1_ph_cast_fu_448_p1 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_7_i_cast_fu_452_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_7_i_cast_reg_658 : STD_LOGIC_VECTOR (13 downto 0); signal j_end_phi_fu_316_p4 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_471_p2 : STD_LOGIC_VECTOR (5 downto 0); signal state_reg_673 : STD_LOGIC_VECTOR (5 downto 0); signal grp_fu_484_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_6_i_reg_688 : STD_LOGIC_VECTOR (13 downto 0); signal j_bit_reg_693 : STD_LOGIC_VECTOR (7 downto 0); signal j_bucket_index_reg_698 : STD_LOGIC_VECTOR (7 downto 0); signal j_bucket_reg_703 : STD_LOGIC_VECTOR (31 downto 0); signal p_s_reg_708 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_490_p2 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_8_i_reg_713 : STD_LOGIC_VECTOR (13 downto 0); signal next_buckets_0_1_fu_546_p2 : STD_LOGIC_VECTOR (31 downto 0); signal next_buckets_0_1_reg_731 : STD_LOGIC_VECTOR (31 downto 0); signal next_buckets_1_1_fu_552_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_buckets_0_reg_741 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_buckets_1_reg_746 : STD_LOGIC_VECTOR (31 downto 0); signal current_buckets_0_1_fu_566_p2 : STD_LOGIC_VECTOR (31 downto 0); signal current_buckets_0_1_reg_751 : STD_LOGIC_VECTOR (31 downto 0); signal current_buckets_1_1_fu_571_p2 : STD_LOGIC_VECTOR (31 downto 0); signal current_buckets_1_1_reg_756 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_fu_576_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_reg_761 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_fu_580_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_reg_766 : STD_LOGIC_VECTOR (0 downto 0); signal grp_bitset_next_fu_348_p_read : STD_LOGIC_VECTOR (31 downto 0); signal grp_bitset_next_fu_348_r_bit : STD_LOGIC_VECTOR (7 downto 0); signal grp_bitset_next_fu_348_r_bucket_index : STD_LOGIC_VECTOR (7 downto 0); signal grp_bitset_next_fu_348_r_bucket : STD_LOGIC_VECTOR (31 downto 0); signal grp_bitset_next_fu_348_ap_return_0 : STD_LOGIC_VECTOR (7 downto 0); signal grp_bitset_next_fu_348_ap_return_1 : STD_LOGIC_VECTOR (7 downto 0); signal grp_bitset_next_fu_348_ap_return_2 : STD_LOGIC_VECTOR (31 downto 0); signal grp_bitset_next_fu_348_ap_return_3 : STD_LOGIC_VECTOR (0 downto 0); signal grp_bitset_next_fu_348_ap_ce : STD_LOGIC; signal grp_nfa_get_initials_fu_360_ap_start : STD_LOGIC; signal grp_nfa_get_initials_fu_360_ap_done : STD_LOGIC; signal grp_nfa_get_initials_fu_360_ap_idle : STD_LOGIC; signal grp_nfa_get_initials_fu_360_ap_ready : STD_LOGIC; signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_din : STD_LOGIC; signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_full_n : STD_LOGIC; signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_write : STD_LOGIC; signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_rsp_read : STD_LOGIC; signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_initials_fu_360_nfa_initials_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_initials_fu_360_ap_ce : STD_LOGIC; signal grp_nfa_get_initials_fu_360_ap_return_0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_initials_fu_360_ap_return_1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_finals_fu_366_ap_start : STD_LOGIC; signal grp_nfa_get_finals_fu_366_ap_done : STD_LOGIC; signal grp_nfa_get_finals_fu_366_ap_idle : STD_LOGIC; signal grp_nfa_get_finals_fu_366_ap_ready : STD_LOGIC; signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_din : STD_LOGIC; signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_full_n : STD_LOGIC; signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_write : STD_LOGIC; signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_rsp_read : STD_LOGIC; signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_finals_fu_366_nfa_finals_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_finals_fu_366_ap_ce : STD_LOGIC; signal grp_nfa_get_finals_fu_366_ap_return_0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_get_finals_fu_366_ap_return_1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_p_bsf32_hw_fu_372_bus_r : STD_LOGIC_VECTOR (31 downto 0); signal grp_p_bsf32_hw_fu_372_ap_ce : STD_LOGIC; signal i_reg_138 : STD_LOGIC_VECTOR (15 downto 0); signal any_phi_fu_328_p4 : STD_LOGIC_VECTOR (0 downto 0); signal p_01_rec_reg_150 : STD_LOGIC_VECTOR (63 downto 0); signal next_buckets_1_reg_162 : STD_LOGIC_VECTOR (31 downto 0); signal next_buckets_0_reg_172 : STD_LOGIC_VECTOR (31 downto 0); signal bus_assign_reg_182 : STD_LOGIC_VECTOR (31 downto 0); signal agg_result_bucket_index_0_lcssa4_i_reg_194 : STD_LOGIC_VECTOR (0 downto 0); signal j_bucket1_ph_reg_207 : STD_LOGIC_VECTOR (31 downto 0); signal j_bucket_index1_ph_reg_220 : STD_LOGIC_VECTOR (1 downto 0); signal j_bit1_ph_reg_231 : STD_LOGIC_VECTOR (4 downto 0); signal j_end_ph_reg_242 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_buckets_1_3_reg_256 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_buckets_0_3_reg_269 : STD_LOGIC_VECTOR (31 downto 0); signal j_bucket1_reg_282 : STD_LOGIC_VECTOR (31 downto 0); signal j_bucket_index1_reg_293 : STD_LOGIC_VECTOR (7 downto 0); signal j_bit1_reg_303 : STD_LOGIC_VECTOR (7 downto 0); signal j_end_reg_313 : STD_LOGIC_VECTOR (0 downto 0); signal any_reg_323 : STD_LOGIC_VECTOR (0 downto 0); signal p_0_reg_336 : STD_LOGIC_VECTOR (0 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (6 downto 0); signal grp_nfa_get_finals_fu_366_ap_start_ap_start_reg : STD_LOGIC := '0'; signal grp_fu_400_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_4_i_cast_fu_517_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_9_i_cast_fu_535_p1 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_400_p0 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_400_p1 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_410_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_410_p1 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_422_p0 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_422_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_31_fu_455_p1 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_471_p0 : STD_LOGIC_VECTOR (5 downto 0); signal grp_fu_471_p1 : STD_LOGIC_VECTOR (5 downto 0); signal grp_fu_484_p0 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_484_p1 : STD_LOGIC_VECTOR (5 downto 0); signal grp_fu_490_p0 : STD_LOGIC_VECTOR (13 downto 0); signal grp_fu_490_p1 : STD_LOGIC_VECTOR (13 downto 0); signal tmp_4_i_fu_510_p3 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_9_i_fu_528_p3 : STD_LOGIC_VECTOR (14 downto 0); signal grp_fu_400_ce : STD_LOGIC; signal grp_fu_410_ce : STD_LOGIC; signal grp_fu_422_ce : STD_LOGIC; signal grp_fu_471_ce : STD_LOGIC; signal grp_fu_484_ce : STD_LOGIC; signal grp_fu_490_ce : STD_LOGIC; signal ap_return_preg : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal grp_fu_484_p00 : STD_LOGIC_VECTOR (13 downto 0); signal grp_fu_484_p10 : STD_LOGIC_VECTOR (13 downto 0); component bitset_next IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (31 downto 0); r_bit : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket_index : IN STD_LOGIC_VECTOR (7 downto 0); r_bucket : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (7 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (0 downto 0); ap_ce : IN STD_LOGIC ); end component; component nfa_get_initials IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component nfa_get_finals IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component p_bsf32_hw IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; bus_r : IN STD_LOGIC_VECTOR (31 downto 0); ap_return : OUT STD_LOGIC_VECTOR (4 downto 0); ap_ce : IN STD_LOGIC ); end component; component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_16ns_16ns_16_4 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (15 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (15 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (5 downto 0); din1 : IN STD_LOGIC_VECTOR (5 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (5 downto 0) ); end component; component nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (7 downto 0); din1 : IN STD_LOGIC_VECTOR (5 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (13 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (13 downto 0); din1 : IN STD_LOGIC_VECTOR (13 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (13 downto 0) ); end component; begin grp_bitset_next_fu_348 : component bitset_next port map ( ap_clk => ap_clk, ap_rst => ap_rst, p_read => grp_bitset_next_fu_348_p_read, r_bit => grp_bitset_next_fu_348_r_bit, r_bucket_index => grp_bitset_next_fu_348_r_bucket_index, r_bucket => grp_bitset_next_fu_348_r_bucket, ap_return_0 => grp_bitset_next_fu_348_ap_return_0, ap_return_1 => grp_bitset_next_fu_348_ap_return_1, ap_return_2 => grp_bitset_next_fu_348_ap_return_2, ap_return_3 => grp_bitset_next_fu_348_ap_return_3, ap_ce => grp_bitset_next_fu_348_ap_ce); grp_nfa_get_initials_fu_360 : component nfa_get_initials port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_nfa_get_initials_fu_360_ap_start, ap_done => grp_nfa_get_initials_fu_360_ap_done, ap_idle => grp_nfa_get_initials_fu_360_ap_idle, ap_ready => grp_nfa_get_initials_fu_360_ap_ready, nfa_initials_buckets_req_din => grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_din, nfa_initials_buckets_req_full_n => grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_full_n, nfa_initials_buckets_req_write => grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_write, nfa_initials_buckets_rsp_empty_n => grp_nfa_get_initials_fu_360_nfa_initials_buckets_rsp_empty_n, nfa_initials_buckets_rsp_read => grp_nfa_get_initials_fu_360_nfa_initials_buckets_rsp_read, nfa_initials_buckets_address => grp_nfa_get_initials_fu_360_nfa_initials_buckets_address, nfa_initials_buckets_datain => grp_nfa_get_initials_fu_360_nfa_initials_buckets_datain, nfa_initials_buckets_dataout => grp_nfa_get_initials_fu_360_nfa_initials_buckets_dataout, nfa_initials_buckets_size => grp_nfa_get_initials_fu_360_nfa_initials_buckets_size, ap_ce => grp_nfa_get_initials_fu_360_ap_ce, ap_return_0 => grp_nfa_get_initials_fu_360_ap_return_0, ap_return_1 => grp_nfa_get_initials_fu_360_ap_return_1); grp_nfa_get_finals_fu_366 : component nfa_get_finals port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_nfa_get_finals_fu_366_ap_start, ap_done => grp_nfa_get_finals_fu_366_ap_done, ap_idle => grp_nfa_get_finals_fu_366_ap_idle, ap_ready => grp_nfa_get_finals_fu_366_ap_ready, nfa_finals_buckets_req_din => grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_din, nfa_finals_buckets_req_full_n => grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_full_n, nfa_finals_buckets_req_write => grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_write, nfa_finals_buckets_rsp_empty_n => grp_nfa_get_finals_fu_366_nfa_finals_buckets_rsp_empty_n, nfa_finals_buckets_rsp_read => grp_nfa_get_finals_fu_366_nfa_finals_buckets_rsp_read, nfa_finals_buckets_address => grp_nfa_get_finals_fu_366_nfa_finals_buckets_address, nfa_finals_buckets_datain => grp_nfa_get_finals_fu_366_nfa_finals_buckets_datain, nfa_finals_buckets_dataout => grp_nfa_get_finals_fu_366_nfa_finals_buckets_dataout, nfa_finals_buckets_size => grp_nfa_get_finals_fu_366_nfa_finals_buckets_size, ap_ce => grp_nfa_get_finals_fu_366_ap_ce, ap_return_0 => grp_nfa_get_finals_fu_366_ap_return_0, ap_return_1 => grp_nfa_get_finals_fu_366_ap_return_1); grp_p_bsf32_hw_fu_372 : component p_bsf32_hw port map ( ap_clk => ap_clk, ap_rst => ap_rst, bus_r => grp_p_bsf32_hw_fu_372_bus_r, ap_return => grp_p_bsf32_hw_fu_372_ap_return, ap_ce => grp_p_bsf32_hw_fu_372_ap_ce); nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_U17 : component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16 generic map ( ID => 17, NUM_STAGE => 16, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_400_p0, din1 => grp_fu_400_p1, ce => grp_fu_400_ce, dout => grp_fu_400_p2); nfa_accept_samples_generic_hw_add_16ns_16ns_16_4_U18 : component nfa_accept_samples_generic_hw_add_16ns_16ns_16_4 generic map ( ID => 18, NUM_STAGE => 4, din0_WIDTH => 16, din1_WIDTH => 16, dout_WIDTH => 16) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_410_p0, din1 => grp_fu_410_p1, ce => grp_fu_410_ce, dout => grp_fu_410_p2); nfa_accept_samples_generic_hw_add_64ns_64ns_64_16_U19 : component nfa_accept_samples_generic_hw_add_64ns_64ns_64_16 generic map ( ID => 19, NUM_STAGE => 16, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_422_p0, din1 => grp_fu_422_p1, ce => grp_fu_422_ce, dout => grp_fu_422_p2); nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_U20 : component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 generic map ( ID => 20, NUM_STAGE => 2, din0_WIDTH => 6, din1_WIDTH => 6, dout_WIDTH => 6) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_471_p0, din1 => grp_fu_471_p1, ce => grp_fu_471_ce, dout => grp_fu_471_p2); nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9_U21 : component nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9 generic map ( ID => 21, NUM_STAGE => 9, din0_WIDTH => 8, din1_WIDTH => 6, dout_WIDTH => 14) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_484_p0, din1 => grp_fu_484_p1, ce => grp_fu_484_ce, dout => grp_fu_484_p2); nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_U22 : component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 generic map ( ID => 22, NUM_STAGE => 4, din0_WIDTH => 14, din1_WIDTH => 14, dout_WIDTH => 14) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_490_p0, din1 => grp_fu_490_p1, ce => grp_fu_490_ce, dout => grp_fu_490_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_return_preg assign process. -- ap_return_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_preg <= ap_const_lv1_0; else if ((ap_ST_st78_fsm_77 = ap_CS_fsm)) then ap_return_preg <= p_0_reg_336; end if; end if; end if; end process; -- grp_nfa_get_finals_fu_366_ap_start_ap_start_reg assign process. -- grp_nfa_get_finals_fu_366_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_nfa_get_finals_fu_366_ap_start_ap_start_reg <= ap_const_logic_0; else if (((ap_ST_st24_fsm_23 = ap_NS_fsm) and (ap_ST_st23_fsm_22 = ap_CS_fsm) and (tmp_s_reg_605 = ap_const_lv1_0))) then grp_nfa_get_finals_fu_366_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_nfa_get_finals_fu_366_ap_ready)) then grp_nfa_get_finals_fu_366_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- agg_result_bucket_index_0_lcssa4_i_reg_194 assign process. -- agg_result_bucket_index_0_lcssa4_i_reg_194_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st40_fsm_39 = ap_CS_fsm) and (tmp_19_1_i_reg_634 = ap_const_lv1_0))) then agg_result_bucket_index_0_lcssa4_i_reg_194 <= ap_const_lv1_1; elsif (((ap_ST_st39_fsm_38 = ap_CS_fsm) and not((sample_rsp_empty_n = ap_const_logic_0)) and (tmp_19_i_reg_620 = ap_const_lv1_0))) then agg_result_bucket_index_0_lcssa4_i_reg_194 <= ap_const_lv1_0; end if; end if; end process; -- any_reg_323 assign process. -- any_reg_323_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then any_reg_323 <= ap_const_lv1_0; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then any_reg_323 <= ap_const_lv1_1; end if; end if; end process; -- bus_assign_reg_182 assign process. -- bus_assign_reg_182_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st40_fsm_39 = ap_CS_fsm) and (tmp_19_1_i_reg_634 = ap_const_lv1_0))) then bus_assign_reg_182 <= next_buckets_1_reg_162; elsif (((ap_ST_st39_fsm_38 = ap_CS_fsm) and not((sample_rsp_empty_n = ap_const_logic_0)) and (tmp_19_i_reg_620 = ap_const_lv1_0))) then bus_assign_reg_182 <= next_buckets_0_reg_172; end if; end if; end process; -- i_reg_138 assign process. -- i_reg_138_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st45_fsm_44 = ap_CS_fsm) and not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and not((ap_const_lv1_0 = any_phi_fu_328_p4)))) then i_reg_138 <= i_1_reg_609; elsif ((ap_ST_st8_fsm_7 = ap_CS_fsm)) then i_reg_138 <= ap_const_lv16_0; end if; end if; end process; -- j_bit1_reg_303 assign process. -- j_bit1_reg_303_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then j_bit1_reg_303 <= j_bit1_ph_cast_fu_448_p1; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then j_bit1_reg_303 <= j_bit_reg_693; end if; end if; end process; -- j_bucket1_ph_reg_207 assign process. -- j_bucket1_ph_reg_207_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st43_fsm_42 = ap_CS_fsm)) then j_bucket1_ph_reg_207 <= bus_assign_reg_182; elsif (((ap_ST_st40_fsm_39 = ap_CS_fsm) and not((tmp_19_1_i_reg_634 = ap_const_lv1_0)))) then j_bucket1_ph_reg_207 <= ap_const_lv32_0; end if; end if; end process; -- j_bucket1_reg_282 assign process. -- j_bucket1_reg_282_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then j_bucket1_reg_282 <= j_bucket1_ph_reg_207; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then j_bucket1_reg_282 <= j_bucket_reg_703; end if; end if; end process; -- j_bucket_index1_ph_reg_220 assign process. -- j_bucket_index1_ph_reg_220_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st43_fsm_42 = ap_CS_fsm)) then j_bucket_index1_ph_reg_220 <= agg_result_bucket_index_0_lcssa4_i_cast_cast_fu_440_p1; elsif (((ap_ST_st40_fsm_39 = ap_CS_fsm) and not((tmp_19_1_i_reg_634 = ap_const_lv1_0)))) then j_bucket_index1_ph_reg_220 <= ap_const_lv2_2; end if; end if; end process; -- j_bucket_index1_reg_293 assign process. -- j_bucket_index1_reg_293_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then j_bucket_index1_reg_293 <= j_bucket_index1_ph_cast_fu_444_p1; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then j_bucket_index1_reg_293 <= j_bucket_index_reg_698; end if; end if; end process; -- j_end_ph_reg_242 assign process. -- j_end_ph_reg_242_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st43_fsm_42 = ap_CS_fsm)) then j_end_ph_reg_242 <= ap_const_lv1_0; elsif (((ap_ST_st40_fsm_39 = ap_CS_fsm) and not((tmp_19_1_i_reg_634 = ap_const_lv1_0)))) then j_end_ph_reg_242 <= ap_const_lv1_1; end if; end if; end process; -- j_end_reg_313 assign process. -- j_end_reg_313_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then j_end_reg_313 <= j_end_ph_reg_242; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then j_end_reg_313 <= p_s_reg_708; end if; end if; end process; -- next_buckets_0_reg_172 assign process. -- next_buckets_0_reg_172_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st45_fsm_44 = ap_CS_fsm) and not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and not((ap_const_lv1_0 = any_phi_fu_328_p4)))) then next_buckets_0_reg_172 <= tmp_buckets_0_3_reg_269; elsif ((ap_ST_st8_fsm_7 = ap_CS_fsm)) then next_buckets_0_reg_172 <= current_buckets_0_reg_585; end if; end if; end process; -- next_buckets_1_reg_162 assign process. -- next_buckets_1_reg_162_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st45_fsm_44 = ap_CS_fsm) and not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and not((ap_const_lv1_0 = any_phi_fu_328_p4)))) then next_buckets_1_reg_162 <= tmp_buckets_1_3_reg_256; elsif ((ap_ST_st8_fsm_7 = ap_CS_fsm)) then next_buckets_1_reg_162 <= current_buckets_1_reg_590; end if; end if; end process; -- p_01_rec_reg_150 assign process. -- p_01_rec_reg_150_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st45_fsm_44 = ap_CS_fsm) and not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and not((ap_const_lv1_0 = any_phi_fu_328_p4)))) then p_01_rec_reg_150 <= p_rec_reg_624; elsif ((ap_ST_st8_fsm_7 = ap_CS_fsm)) then p_01_rec_reg_150 <= ap_const_lv64_0; end if; end if; end process; -- p_0_reg_336 assign process. -- p_0_reg_336_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st45_fsm_44 = ap_CS_fsm) and not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and (ap_const_lv1_0 = any_phi_fu_328_p4))) then p_0_reg_336 <= ap_const_lv1_0; elsif ((ap_ST_st77_fsm_76 = ap_CS_fsm)) then p_0_reg_336 <= tmp_2_reg_766; end if; end if; end process; -- tmp_buckets_0_3_reg_269 assign process. -- tmp_buckets_0_3_reg_269_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then tmp_buckets_0_3_reg_269 <= ap_const_lv32_0; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then tmp_buckets_0_3_reg_269 <= next_buckets_0_1_reg_731; end if; end if; end process; -- tmp_buckets_1_3_reg_256 assign process. -- tmp_buckets_1_3_reg_256_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then tmp_buckets_1_3_reg_256 <= ap_const_lv32_0; elsif ((ap_ST_st67_fsm_66 = ap_CS_fsm)) then tmp_buckets_1_3_reg_256 <= next_buckets_1_1_fu_552_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st74_fsm_73 = ap_CS_fsm)) then current_buckets_0_1_reg_751 <= current_buckets_0_1_fu_566_p2; current_buckets_1_1_reg_756 <= current_buckets_1_1_fu_571_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st7_fsm_6 = ap_CS_fsm)) then current_buckets_0_reg_585 <= grp_nfa_get_initials_fu_360_ap_return_0; current_buckets_1_reg_590 <= grp_nfa_get_initials_fu_360_ap_return_1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st12_fsm_11 = ap_CS_fsm)) then i_1_reg_609 <= grp_fu_410_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st43_fsm_42 = ap_CS_fsm)) then j_bit1_ph_reg_231 <= r_bit_reg_638; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st56_fsm_55 = ap_CS_fsm)) then j_bit_reg_693 <= grp_bitset_next_fu_348_ap_return_0; j_bucket_index_reg_698 <= grp_bitset_next_fu_348_ap_return_1; j_bucket_reg_703 <= grp_bitset_next_fu_348_ap_return_2; p_s_reg_708 <= grp_bitset_next_fu_348_ap_return_3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0)) and (ap_ST_st66_fsm_65 = ap_CS_fsm))) then next_buckets_0_1_reg_731 <= next_buckets_0_1_fu_546_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st39_fsm_38 = ap_CS_fsm) and not((sample_rsp_empty_n = ap_const_logic_0)))) then p_rec_reg_624 <= grp_fu_422_p2; sym_reg_629 <= sample_datain; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st42_fsm_41 = ap_CS_fsm)) then r_bit_reg_638 <= grp_p_bsf32_hw_fu_372_ap_return; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_ST_st65_fsm_64 = ap_CS_fsm) and not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0))) or (not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0)) and (ap_ST_st66_fsm_65 = ap_CS_fsm)))) then reg_378 <= nfa_forward_buckets_datain; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st24_fsm_23 = ap_CS_fsm)) then sample_addr_1_reg_614 <= grp_fu_400_p2(32 - 1 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st46_fsm_45 = ap_CS_fsm)) then state_reg_673 <= grp_fu_471_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st8_fsm_7 = ap_CS_fsm)) then tmp_14_cast_reg_600(0) <= tmp_14_cast_fu_390_p1(0); tmp_14_cast_reg_600(1) <= tmp_14_cast_fu_390_p1(1); tmp_14_cast_reg_600(2) <= tmp_14_cast_fu_390_p1(2); tmp_14_cast_reg_600(3) <= tmp_14_cast_fu_390_p1(3); tmp_14_cast_reg_600(4) <= tmp_14_cast_fu_390_p1(4); tmp_14_cast_reg_600(5) <= tmp_14_cast_fu_390_p1(5); tmp_14_cast_reg_600(6) <= tmp_14_cast_fu_390_p1(6); tmp_14_cast_reg_600(7) <= tmp_14_cast_fu_390_p1(7); tmp_14_cast_reg_600(8) <= tmp_14_cast_fu_390_p1(8); tmp_14_cast_reg_600(9) <= tmp_14_cast_fu_390_p1(9); tmp_14_cast_reg_600(10) <= tmp_14_cast_fu_390_p1(10); tmp_14_cast_reg_600(11) <= tmp_14_cast_fu_390_p1(11); tmp_14_cast_reg_600(12) <= tmp_14_cast_fu_390_p1(12); tmp_14_cast_reg_600(13) <= tmp_14_cast_fu_390_p1(13); tmp_14_cast_reg_600(14) <= tmp_14_cast_fu_390_p1(14); tmp_14_cast_reg_600(15) <= tmp_14_cast_fu_390_p1(15); tmp_14_cast_reg_600(16) <= tmp_14_cast_fu_390_p1(16); tmp_14_cast_reg_600(17) <= tmp_14_cast_fu_390_p1(17); tmp_14_cast_reg_600(18) <= tmp_14_cast_fu_390_p1(18); tmp_14_cast_reg_600(19) <= tmp_14_cast_fu_390_p1(19); tmp_14_cast_reg_600(20) <= tmp_14_cast_fu_390_p1(20); tmp_14_cast_reg_600(21) <= tmp_14_cast_fu_390_p1(21); tmp_14_cast_reg_600(22) <= tmp_14_cast_fu_390_p1(22); tmp_14_cast_reg_600(23) <= tmp_14_cast_fu_390_p1(23); tmp_14_cast_reg_600(24) <= tmp_14_cast_fu_390_p1(24); tmp_14_cast_reg_600(25) <= tmp_14_cast_fu_390_p1(25); tmp_14_cast_reg_600(26) <= tmp_14_cast_fu_390_p1(26); tmp_14_cast_reg_600(27) <= tmp_14_cast_fu_390_p1(27); tmp_14_cast_reg_600(28) <= tmp_14_cast_fu_390_p1(28); tmp_14_cast_reg_600(29) <= tmp_14_cast_fu_390_p1(29); tmp_14_cast_reg_600(30) <= tmp_14_cast_fu_390_p1(30); tmp_14_cast_reg_600(31) <= tmp_14_cast_fu_390_p1(31); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st39_fsm_38 = ap_CS_fsm) and not((sample_rsp_empty_n = ap_const_logic_0)) and not((tmp_19_i_reg_620 = ap_const_lv1_0)))) then tmp_19_1_i_reg_634 <= tmp_19_1_i_fu_434_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st38_fsm_37 = ap_CS_fsm)) then tmp_19_i_reg_620 <= tmp_19_i_fu_428_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st75_fsm_74 = ap_CS_fsm)) then tmp_1_reg_761 <= tmp_1_fu_576_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st76_fsm_75 = ap_CS_fsm)) then tmp_2_reg_766 <= tmp_2_fu_580_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st55_fsm_54 = ap_CS_fsm)) then tmp_6_i_reg_688 <= grp_fu_484_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st44_fsm_43 = ap_CS_fsm)) then tmp_7_i_cast_reg_658(0) <= tmp_7_i_cast_fu_452_p1(0); tmp_7_i_cast_reg_658(1) <= tmp_7_i_cast_fu_452_p1(1); tmp_7_i_cast_reg_658(2) <= tmp_7_i_cast_fu_452_p1(2); tmp_7_i_cast_reg_658(3) <= tmp_7_i_cast_fu_452_p1(3); tmp_7_i_cast_reg_658(4) <= tmp_7_i_cast_fu_452_p1(4); tmp_7_i_cast_reg_658(5) <= tmp_7_i_cast_fu_452_p1(5); tmp_7_i_cast_reg_658(6) <= tmp_7_i_cast_fu_452_p1(6); tmp_7_i_cast_reg_658(7) <= tmp_7_i_cast_fu_452_p1(7); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st59_fsm_58 = ap_CS_fsm)) then tmp_8_i_reg_713 <= grp_fu_490_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st73_fsm_72 = ap_CS_fsm)) then tmp_buckets_0_reg_741 <= grp_nfa_get_finals_fu_366_ap_return_0; tmp_buckets_1_reg_746 <= grp_nfa_get_finals_fu_366_ap_return_1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st9_fsm_8 = ap_CS_fsm)) then tmp_s_reg_605 <= tmp_s_fu_405_p2; end if; end if; end process; tmp_14_cast_reg_600(63 downto 32) <= "00000000000000000000000000000000"; tmp_7_i_cast_reg_658(13 downto 8) <= "000000"; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , nfa_forward_buckets_rsp_empty_n , sample_rsp_empty_n , tmp_s_reg_605 , tmp_19_i_reg_620 , tmp_19_1_i_reg_634 , j_end_phi_fu_316_p4 , any_phi_fu_328_p4) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ap_start = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => ap_NS_fsm <= ap_ST_st3_fsm_2; when ap_ST_st3_fsm_2 => ap_NS_fsm <= ap_ST_st4_fsm_3; when ap_ST_st4_fsm_3 => ap_NS_fsm <= ap_ST_st5_fsm_4; when ap_ST_st5_fsm_4 => ap_NS_fsm <= ap_ST_st6_fsm_5; when ap_ST_st6_fsm_5 => ap_NS_fsm <= ap_ST_st7_fsm_6; when ap_ST_st7_fsm_6 => ap_NS_fsm <= ap_ST_st8_fsm_7; when ap_ST_st8_fsm_7 => ap_NS_fsm <= ap_ST_st9_fsm_8; when ap_ST_st9_fsm_8 => ap_NS_fsm <= ap_ST_st10_fsm_9; when ap_ST_st10_fsm_9 => ap_NS_fsm <= ap_ST_st11_fsm_10; when ap_ST_st11_fsm_10 => ap_NS_fsm <= ap_ST_st12_fsm_11; when ap_ST_st12_fsm_11 => ap_NS_fsm <= ap_ST_st13_fsm_12; when ap_ST_st13_fsm_12 => ap_NS_fsm <= ap_ST_st14_fsm_13; when ap_ST_st14_fsm_13 => ap_NS_fsm <= ap_ST_st15_fsm_14; when ap_ST_st15_fsm_14 => ap_NS_fsm <= ap_ST_st16_fsm_15; when ap_ST_st16_fsm_15 => ap_NS_fsm <= ap_ST_st17_fsm_16; when ap_ST_st17_fsm_16 => ap_NS_fsm <= ap_ST_st18_fsm_17; when ap_ST_st18_fsm_17 => ap_NS_fsm <= ap_ST_st19_fsm_18; when ap_ST_st19_fsm_18 => ap_NS_fsm <= ap_ST_st20_fsm_19; when ap_ST_st20_fsm_19 => ap_NS_fsm <= ap_ST_st21_fsm_20; when ap_ST_st21_fsm_20 => ap_NS_fsm <= ap_ST_st22_fsm_21; when ap_ST_st22_fsm_21 => ap_NS_fsm <= ap_ST_st23_fsm_22; when ap_ST_st23_fsm_22 => ap_NS_fsm <= ap_ST_st24_fsm_23; when ap_ST_st24_fsm_23 => if ((tmp_s_reg_605 = ap_const_lv1_0)) then ap_NS_fsm <= ap_ST_st68_fsm_67; else ap_NS_fsm <= ap_ST_st25_fsm_24; end if; when ap_ST_st25_fsm_24 => ap_NS_fsm <= ap_ST_st26_fsm_25; when ap_ST_st26_fsm_25 => ap_NS_fsm <= ap_ST_st27_fsm_26; when ap_ST_st27_fsm_26 => ap_NS_fsm <= ap_ST_st28_fsm_27; when ap_ST_st28_fsm_27 => ap_NS_fsm <= ap_ST_st29_fsm_28; when ap_ST_st29_fsm_28 => ap_NS_fsm <= ap_ST_st30_fsm_29; when ap_ST_st30_fsm_29 => ap_NS_fsm <= ap_ST_st31_fsm_30; when ap_ST_st31_fsm_30 => ap_NS_fsm <= ap_ST_st32_fsm_31; when ap_ST_st32_fsm_31 => ap_NS_fsm <= ap_ST_st33_fsm_32; when ap_ST_st33_fsm_32 => ap_NS_fsm <= ap_ST_st34_fsm_33; when ap_ST_st34_fsm_33 => ap_NS_fsm <= ap_ST_st35_fsm_34; when ap_ST_st35_fsm_34 => ap_NS_fsm <= ap_ST_st36_fsm_35; when ap_ST_st36_fsm_35 => ap_NS_fsm <= ap_ST_st37_fsm_36; when ap_ST_st37_fsm_36 => ap_NS_fsm <= ap_ST_st38_fsm_37; when ap_ST_st38_fsm_37 => ap_NS_fsm <= ap_ST_st39_fsm_38; when ap_ST_st39_fsm_38 => if ((not((sample_rsp_empty_n = ap_const_logic_0)) and (tmp_19_i_reg_620 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st41_fsm_40; elsif ((not((sample_rsp_empty_n = ap_const_logic_0)) and not((tmp_19_i_reg_620 = ap_const_lv1_0)))) then ap_NS_fsm <= ap_ST_st40_fsm_39; else ap_NS_fsm <= ap_ST_st39_fsm_38; end if; when ap_ST_st40_fsm_39 => if (not((tmp_19_1_i_reg_634 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st44_fsm_43; else ap_NS_fsm <= ap_ST_st41_fsm_40; end if; when ap_ST_st41_fsm_40 => ap_NS_fsm <= ap_ST_st42_fsm_41; when ap_ST_st42_fsm_41 => ap_NS_fsm <= ap_ST_st43_fsm_42; when ap_ST_st43_fsm_42 => ap_NS_fsm <= ap_ST_st44_fsm_43; when ap_ST_st44_fsm_43 => ap_NS_fsm <= ap_ST_st45_fsm_44; when ap_ST_st45_fsm_44 => if ((not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and not((ap_const_lv1_0 = any_phi_fu_328_p4)))) then ap_NS_fsm <= ap_ST_st9_fsm_8; elsif ((not((ap_const_lv1_0 = j_end_phi_fu_316_p4)) and (ap_const_lv1_0 = any_phi_fu_328_p4))) then ap_NS_fsm <= ap_ST_st78_fsm_77; else ap_NS_fsm <= ap_ST_st46_fsm_45; end if; when ap_ST_st46_fsm_45 => ap_NS_fsm <= ap_ST_st47_fsm_46; when ap_ST_st47_fsm_46 => ap_NS_fsm <= ap_ST_st48_fsm_47; when ap_ST_st48_fsm_47 => ap_NS_fsm <= ap_ST_st49_fsm_48; when ap_ST_st49_fsm_48 => ap_NS_fsm <= ap_ST_st50_fsm_49; when ap_ST_st50_fsm_49 => ap_NS_fsm <= ap_ST_st51_fsm_50; when ap_ST_st51_fsm_50 => ap_NS_fsm <= ap_ST_st52_fsm_51; when ap_ST_st52_fsm_51 => ap_NS_fsm <= ap_ST_st53_fsm_52; when ap_ST_st53_fsm_52 => ap_NS_fsm <= ap_ST_st54_fsm_53; when ap_ST_st54_fsm_53 => ap_NS_fsm <= ap_ST_st55_fsm_54; when ap_ST_st55_fsm_54 => ap_NS_fsm <= ap_ST_st56_fsm_55; when ap_ST_st56_fsm_55 => ap_NS_fsm <= ap_ST_st57_fsm_56; when ap_ST_st57_fsm_56 => ap_NS_fsm <= ap_ST_st58_fsm_57; when ap_ST_st58_fsm_57 => ap_NS_fsm <= ap_ST_st59_fsm_58; when ap_ST_st59_fsm_58 => ap_NS_fsm <= ap_ST_st60_fsm_59; when ap_ST_st60_fsm_59 => ap_NS_fsm <= ap_ST_st61_fsm_60; when ap_ST_st61_fsm_60 => ap_NS_fsm <= ap_ST_st62_fsm_61; when ap_ST_st62_fsm_61 => ap_NS_fsm <= ap_ST_st63_fsm_62; when ap_ST_st63_fsm_62 => ap_NS_fsm <= ap_ST_st64_fsm_63; when ap_ST_st64_fsm_63 => ap_NS_fsm <= ap_ST_st65_fsm_64; when ap_ST_st65_fsm_64 => if (not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st66_fsm_65; else ap_NS_fsm <= ap_ST_st65_fsm_64; end if; when ap_ST_st66_fsm_65 => if (not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st67_fsm_66; else ap_NS_fsm <= ap_ST_st66_fsm_65; end if; when ap_ST_st67_fsm_66 => ap_NS_fsm <= ap_ST_st45_fsm_44; when ap_ST_st68_fsm_67 => ap_NS_fsm <= ap_ST_st69_fsm_68; when ap_ST_st69_fsm_68 => ap_NS_fsm <= ap_ST_st70_fsm_69; when ap_ST_st70_fsm_69 => ap_NS_fsm <= ap_ST_st71_fsm_70; when ap_ST_st71_fsm_70 => ap_NS_fsm <= ap_ST_st72_fsm_71; when ap_ST_st72_fsm_71 => ap_NS_fsm <= ap_ST_st73_fsm_72; when ap_ST_st73_fsm_72 => ap_NS_fsm <= ap_ST_st74_fsm_73; when ap_ST_st74_fsm_73 => ap_NS_fsm <= ap_ST_st75_fsm_74; when ap_ST_st75_fsm_74 => ap_NS_fsm <= ap_ST_st76_fsm_75; when ap_ST_st76_fsm_75 => ap_NS_fsm <= ap_ST_st77_fsm_76; when ap_ST_st77_fsm_76 => ap_NS_fsm <= ap_ST_st78_fsm_77; when ap_ST_st78_fsm_77 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "XXXXXXX"; end case; end process; agg_result_bucket_index_0_lcssa4_i_cast_cast_fu_440_p1 <= std_logic_vector(resize(unsigned(agg_result_bucket_index_0_lcssa4_i_reg_194),2)); any_phi_fu_328_p4 <= any_reg_323; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_st1_fsm_0 = ap_CS_fsm)) or (ap_ST_st78_fsm_77 = ap_CS_fsm))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_st1_fsm_0 = ap_CS_fsm))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm) begin if ((ap_ST_st78_fsm_77 = ap_CS_fsm)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_return assign process. -- ap_return_assign_proc : process(ap_CS_fsm, p_0_reg_336, ap_return_preg) begin if ((ap_ST_st78_fsm_77 = ap_CS_fsm)) then ap_return <= p_0_reg_336; else ap_return <= ap_return_preg; end if; end process; current_buckets_0_1_fu_566_p2 <= (next_buckets_0_reg_172 and tmp_buckets_0_reg_741); current_buckets_1_1_fu_571_p2 <= (next_buckets_1_reg_162 and tmp_buckets_1_reg_746); -- grp_bitset_next_fu_348_ap_ce assign process. -- grp_bitset_next_fu_348_ap_ce_assign_proc : process(ap_CS_fsm, j_end_phi_fu_316_p4) begin if ((((ap_ST_st45_fsm_44 = ap_CS_fsm) and (ap_const_lv1_0 = j_end_phi_fu_316_p4)) or (ap_ST_st46_fsm_45 = ap_CS_fsm) or (ap_ST_st47_fsm_46 = ap_CS_fsm) or (ap_ST_st55_fsm_54 = ap_CS_fsm) or (ap_ST_st56_fsm_55 = ap_CS_fsm) or (ap_ST_st48_fsm_47 = ap_CS_fsm) or (ap_ST_st49_fsm_48 = ap_CS_fsm) or (ap_ST_st50_fsm_49 = ap_CS_fsm) or (ap_ST_st51_fsm_50 = ap_CS_fsm) or (ap_ST_st52_fsm_51 = ap_CS_fsm) or (ap_ST_st53_fsm_52 = ap_CS_fsm) or (ap_ST_st54_fsm_53 = ap_CS_fsm))) then grp_bitset_next_fu_348_ap_ce <= ap_const_logic_1; else grp_bitset_next_fu_348_ap_ce <= ap_const_logic_0; end if; end process; grp_bitset_next_fu_348_p_read <= next_buckets_1_reg_162; grp_bitset_next_fu_348_r_bit <= j_bit1_reg_303; grp_bitset_next_fu_348_r_bucket <= j_bucket1_reg_282; grp_bitset_next_fu_348_r_bucket_index <= j_bucket_index1_reg_293; grp_fu_400_ce <= ap_const_logic_1; grp_fu_400_p0 <= p_01_rec_reg_150; grp_fu_400_p1 <= tmp_14_cast_reg_600; grp_fu_410_ce <= ap_const_logic_1; grp_fu_410_p0 <= i_reg_138; grp_fu_410_p1 <= ap_const_lv16_1; -- grp_fu_422_ce assign process. -- grp_fu_422_ce_assign_proc : process(ap_CS_fsm, sample_rsp_empty_n, tmp_s_reg_605) begin if (((ap_ST_st38_fsm_37 = ap_CS_fsm) or ((ap_ST_st39_fsm_38 = ap_CS_fsm) and not((sample_rsp_empty_n = ap_const_logic_0))) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or ((ap_ST_st24_fsm_23 = ap_CS_fsm) and not((tmp_s_reg_605 = ap_const_lv1_0))) or (ap_ST_st25_fsm_24 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm))) then grp_fu_422_ce <= ap_const_logic_1; else grp_fu_422_ce <= ap_const_logic_0; end if; end process; grp_fu_422_p0 <= p_01_rec_reg_150; grp_fu_422_p1 <= ap_const_lv64_1; grp_fu_471_ce <= ap_const_logic_1; grp_fu_471_p0 <= (tmp_31_fu_455_p1 & ap_const_lv5_0); grp_fu_471_p1 <= j_bit1_reg_303(6 - 1 downto 0); grp_fu_484_ce <= ap_const_logic_1; grp_fu_484_p0 <= grp_fu_484_p00(8 - 1 downto 0); grp_fu_484_p00 <= std_logic_vector(resize(unsigned(nfa_symbols),14)); grp_fu_484_p1 <= grp_fu_484_p10(6 - 1 downto 0); grp_fu_484_p10 <= std_logic_vector(resize(unsigned(state_reg_673),14)); grp_fu_490_ce <= ap_const_logic_1; grp_fu_490_p0 <= tmp_6_i_reg_688; grp_fu_490_p1 <= tmp_7_i_cast_reg_658; grp_nfa_get_finals_fu_366_ap_ce <= ap_const_logic_1; grp_nfa_get_finals_fu_366_ap_start <= grp_nfa_get_finals_fu_366_ap_start_ap_start_reg; grp_nfa_get_finals_fu_366_nfa_finals_buckets_datain <= nfa_finals_buckets_datain; grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_full_n <= nfa_finals_buckets_req_full_n; grp_nfa_get_finals_fu_366_nfa_finals_buckets_rsp_empty_n <= nfa_finals_buckets_rsp_empty_n; grp_nfa_get_initials_fu_360_ap_ce <= ap_const_logic_1; -- grp_nfa_get_initials_fu_360_ap_start assign process. -- grp_nfa_get_initials_fu_360_ap_start_assign_proc : process(ap_start, ap_CS_fsm) begin if (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then grp_nfa_get_initials_fu_360_ap_start <= ap_const_logic_1; else grp_nfa_get_initials_fu_360_ap_start <= ap_const_logic_0; end if; end process; grp_nfa_get_initials_fu_360_nfa_initials_buckets_datain <= nfa_initials_buckets_datain; grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_full_n <= nfa_initials_buckets_req_full_n; grp_nfa_get_initials_fu_360_nfa_initials_buckets_rsp_empty_n <= nfa_initials_buckets_rsp_empty_n; -- grp_p_bsf32_hw_fu_372_ap_ce assign process. -- grp_p_bsf32_hw_fu_372_ap_ce_assign_proc : process(ap_CS_fsm) begin if (((ap_ST_st42_fsm_41 = ap_CS_fsm) or (ap_ST_st41_fsm_40 = ap_CS_fsm))) then grp_p_bsf32_hw_fu_372_ap_ce <= ap_const_logic_1; else grp_p_bsf32_hw_fu_372_ap_ce <= ap_const_logic_0; end if; end process; grp_p_bsf32_hw_fu_372_bus_r <= bus_assign_reg_182; j_bit1_ph_cast_fu_448_p1 <= std_logic_vector(resize(unsigned(j_bit1_ph_reg_231),8)); j_bucket_index1_ph_cast_fu_444_p1 <= std_logic_vector(resize(unsigned(j_bucket_index1_ph_reg_220),8)); j_end_phi_fu_316_p4 <= j_end_reg_313; next_buckets_0_1_fu_546_p2 <= (tmp_buckets_0_3_reg_269 or reg_378); next_buckets_1_1_fu_552_p2 <= (tmp_buckets_1_3_reg_256 or reg_378); nfa_finals_buckets_address <= grp_nfa_get_finals_fu_366_nfa_finals_buckets_address; nfa_finals_buckets_dataout <= grp_nfa_get_finals_fu_366_nfa_finals_buckets_dataout; nfa_finals_buckets_req_din <= grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_din; nfa_finals_buckets_req_write <= grp_nfa_get_finals_fu_366_nfa_finals_buckets_req_write; nfa_finals_buckets_rsp_read <= grp_nfa_get_finals_fu_366_nfa_finals_buckets_rsp_read; nfa_finals_buckets_size <= grp_nfa_get_finals_fu_366_nfa_finals_buckets_size; -- nfa_forward_buckets_address assign process. -- nfa_forward_buckets_address_assign_proc : process(ap_CS_fsm, tmp_4_i_cast_fu_517_p1, tmp_9_i_cast_fu_535_p1) begin if ((ap_ST_st61_fsm_60 = ap_CS_fsm)) then nfa_forward_buckets_address <= tmp_9_i_cast_fu_535_p1(32 - 1 downto 0); elsif ((ap_ST_st60_fsm_59 = ap_CS_fsm)) then nfa_forward_buckets_address <= tmp_4_i_cast_fu_517_p1(32 - 1 downto 0); else nfa_forward_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_forward_buckets_dataout <= ap_const_lv32_0; nfa_forward_buckets_req_din <= ap_const_logic_0; -- nfa_forward_buckets_req_write assign process. -- nfa_forward_buckets_req_write_assign_proc : process(ap_CS_fsm) begin if (((ap_ST_st60_fsm_59 = ap_CS_fsm) or (ap_ST_st61_fsm_60 = ap_CS_fsm))) then nfa_forward_buckets_req_write <= ap_const_logic_1; else nfa_forward_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_forward_buckets_rsp_read assign process. -- nfa_forward_buckets_rsp_read_assign_proc : process(ap_CS_fsm, nfa_forward_buckets_rsp_empty_n) begin if ((((ap_ST_st65_fsm_64 = ap_CS_fsm) and not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0))) or (not((nfa_forward_buckets_rsp_empty_n = ap_const_logic_0)) and (ap_ST_st66_fsm_65 = ap_CS_fsm)))) then nfa_forward_buckets_rsp_read <= ap_const_logic_1; else nfa_forward_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_forward_buckets_size <= ap_const_lv32_1; nfa_initials_buckets_address <= grp_nfa_get_initials_fu_360_nfa_initials_buckets_address; nfa_initials_buckets_dataout <= grp_nfa_get_initials_fu_360_nfa_initials_buckets_dataout; nfa_initials_buckets_req_din <= grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_din; nfa_initials_buckets_req_write <= grp_nfa_get_initials_fu_360_nfa_initials_buckets_req_write; nfa_initials_buckets_rsp_read <= grp_nfa_get_initials_fu_360_nfa_initials_buckets_rsp_read; nfa_initials_buckets_size <= grp_nfa_get_initials_fu_360_nfa_initials_buckets_size; sample_address <= sample_addr_1_reg_614; sample_dataout <= ap_const_lv8_0; sample_req_din <= ap_const_logic_0; -- sample_req_write assign process. -- sample_req_write_assign_proc : process(ap_CS_fsm) begin if ((ap_ST_st34_fsm_33 = ap_CS_fsm)) then sample_req_write <= ap_const_logic_1; else sample_req_write <= ap_const_logic_0; end if; end process; -- sample_rsp_read assign process. -- sample_rsp_read_assign_proc : process(ap_CS_fsm, sample_rsp_empty_n) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) and not((sample_rsp_empty_n = ap_const_logic_0)))) then sample_rsp_read <= ap_const_logic_1; else sample_rsp_read <= ap_const_logic_0; end if; end process; sample_size <= ap_const_lv32_1; tmp_14_cast_fu_390_p1 <= std_logic_vector(resize(unsigned(tmp_14),64)); tmp_19_1_i_fu_434_p2 <= "1" when (next_buckets_1_reg_162 = ap_const_lv32_0) else "0"; tmp_19_i_fu_428_p2 <= "1" when (next_buckets_0_reg_172 = ap_const_lv32_0) else "0"; tmp_1_fu_576_p2 <= (current_buckets_1_1_reg_756 or current_buckets_0_1_reg_751); tmp_2_fu_580_p2 <= "0" when (tmp_1_reg_761 = ap_const_lv32_0) else "1"; tmp_31_fu_455_p1 <= j_bucket_index1_reg_293(1 - 1 downto 0); tmp_4_i_cast_fu_517_p1 <= std_logic_vector(resize(unsigned(tmp_4_i_fu_510_p3),64)); tmp_4_i_fu_510_p3 <= (tmp_8_i_reg_713 & ap_const_lv1_0); tmp_7_i_cast_fu_452_p1 <= std_logic_vector(resize(unsigned(sym_reg_629),14)); tmp_9_i_cast_fu_535_p1 <= std_logic_vector(resize(unsigned(tmp_9_i_fu_528_p3),64)); tmp_9_i_fu_528_p3 <= (tmp_8_i_reg_713 & ap_const_lv1_1); tmp_s_fu_405_p2 <= "1" when (unsigned(i_reg_138) < unsigned(length_r)) else "0"; end behav;
lgpl-3.0
jairov4/accel-oil
solution_spartan6/syn/vhdl/nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4.vhd
4
2896
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4_MulnS_1 is port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(8 - 1 downto 0); b: in std_logic_vector(6 - 1 downto 0); p: out std_logic_vector(14 - 1 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4_MulnS_1 is signal tmp_product : std_logic_vector(14 - 1 downto 0); signal a_i : std_logic_vector(8 - 1 downto 0); signal b_i : std_logic_vector(6 - 1 downto 0); signal p_tmp : std_logic_vector(14 - 1 downto 0); signal a_reg : std_logic_vector(8 - 1 downto 0); signal b_reg : std_logic_vector(6 - 1 downto 0); attribute keep : string; attribute keep of a_i : signal is "true"; attribute keep of b_i : signal is "true"; signal buff0 : std_logic_vector(14 - 1 downto 0); signal buff1 : std_logic_vector(14 - 1 downto 0); begin a_i <= a; b_i <= b; p <= p_tmp; p_tmp <= buff1; tmp_product <= std_logic_vector(resize(unsigned(a_reg) * unsigned(b_reg), 14)); process(clk) begin if (clk'event and clk = '1') then if (ce = '1') then a_reg <= a_i; b_reg <= b_i; buff0 <= tmp_product; buff1 <= buff0; end if; end if; end process; end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4 is component nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4_MulnS_1 is port ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4_MulnS_1_U : component nfa_accept_samples_generic_hw_mul_8ns_6ns_14_4_MulnS_1 port map ( clk => clk, ce => ce, a => din0, b => din1, p => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/syn/vhdl/nfa_accept_samples_generic_hw.vhd
1
82370
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_req_din : OUT STD_LOGIC; nfa_forward_buckets_req_full_n : IN STD_LOGIC; nfa_forward_buckets_req_write : OUT STD_LOGIC; nfa_forward_buckets_rsp_empty_n : IN STD_LOGIC; nfa_forward_buckets_rsp_read : OUT STD_LOGIC; nfa_forward_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_symbols : IN STD_LOGIC_VECTOR (7 downto 0); sample_buffer_req_din : OUT STD_LOGIC; sample_buffer_req_full_n : IN STD_LOGIC; sample_buffer_req_write : OUT STD_LOGIC; sample_buffer_rsp_empty_n : IN STD_LOGIC; sample_buffer_rsp_read : OUT STD_LOGIC; sample_buffer_address : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_datain : IN STD_LOGIC_VECTOR (7 downto 0); sample_buffer_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); sample_buffer_size : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_length : IN STD_LOGIC_VECTOR (31 downto 0); sample_length : IN STD_LOGIC_VECTOR (15 downto 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); i_size : IN STD_LOGIC_VECTOR (15 downto 0); begin_index : IN STD_LOGIC_VECTOR (15 downto 0); begin_sample : IN STD_LOGIC_VECTOR (15 downto 0); end_index : IN STD_LOGIC_VECTOR (15 downto 0); end_sample : IN STD_LOGIC_VECTOR (15 downto 0); stop_on_first : IN STD_LOGIC_VECTOR (0 downto 0); accept : IN STD_LOGIC_VECTOR (0 downto 0); ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_accept_samples_generic_hw is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "nfa_accept_samples_generic_hw,hls_ip_2013_4,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7k325tffg676-3,HLS_INPUT_CLOCK=1.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=1.350000,HLS_SYN_LAT=92262011,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=0,HLS_SYN_LUT=0}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (5 downto 0) := "000011"; constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (5 downto 0) := "000100"; constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (5 downto 0) := "000101"; constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (5 downto 0) := "000110"; constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (5 downto 0) := "000111"; constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (5 downto 0) := "001000"; constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (5 downto 0) := "001001"; constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (5 downto 0) := "001010"; constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (5 downto 0) := "001011"; constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (5 downto 0) := "001100"; constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (5 downto 0) := "001101"; constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (5 downto 0) := "001110"; constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (5 downto 0) := "001111"; constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (5 downto 0) := "010000"; constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (5 downto 0) := "010001"; constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (5 downto 0) := "010010"; constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (5 downto 0) := "010011"; constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (5 downto 0) := "010100"; constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (5 downto 0) := "010101"; constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (5 downto 0) := "010110"; constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (5 downto 0) := "010111"; constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (5 downto 0) := "011000"; constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (5 downto 0) := "011001"; constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (5 downto 0) := "011010"; constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (5 downto 0) := "011011"; constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (5 downto 0) := "011100"; constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (5 downto 0) := "011101"; constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (5 downto 0) := "011110"; constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (5 downto 0) := "011111"; constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (5 downto 0) := "100000"; constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (5 downto 0) := "100001"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (5 downto 0) := "000000"; signal stop_on_first_read_read_fu_102_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_fu_228_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_reg_314 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_10_fu_233_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_10_reg_319 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_11_fu_238_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_11_reg_324 : STD_LOGIC_VECTOR (0 downto 0); signal c_load_reg_328 : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_ap_return : STD_LOGIC_VECTOR (31 downto 0); signal offset_reg_334 : STD_LOGIC_VECTOR (31 downto 0); signal or_cond_fu_245_p2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_reg_339 : STD_LOGIC_VECTOR (0 downto 0); signal grp_nfa_accept_sample_fu_176_ap_done : STD_LOGIC; signal grp_fu_250_p2 : STD_LOGIC_VECTOR (31 downto 0); signal c_1_reg_343 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_ap_start : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_ap_idle : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_ap_ready : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_symbols : STD_LOGIC_VECTOR (7 downto 0); signal grp_nfa_accept_sample_fu_176_sample_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_sample_datain : STD_LOGIC_VECTOR (7 downto 0); signal grp_nfa_accept_sample_fu_176_sample_dataout : STD_LOGIC_VECTOR (7 downto 0); signal grp_nfa_accept_sample_fu_176_sample_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_empty : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_length_r : STD_LOGIC_VECTOR (15 downto 0); signal grp_nfa_accept_sample_fu_176_ap_return : STD_LOGIC_VECTOR (0 downto 0); signal grp_sample_iterator_get_offset_fu_192_ap_start : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_ap_done : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_ap_idle : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_ap_ready : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_req_din : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_req_full_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_req_write : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_stride_datain : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_stride_dataout : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_stride_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_req_din : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_req_full_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_req_write : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_ap_ce : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_i_index : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_i_sample : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_req_din : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_req_full_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_req_write : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_datain : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_dataout : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_sample_buffer_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_sample_length : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_ap_start : STD_LOGIC; signal grp_sample_iterator_next_fu_209_ap_done : STD_LOGIC; signal grp_sample_iterator_next_fu_209_ap_idle : STD_LOGIC; signal grp_sample_iterator_next_fu_209_ap_ready : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_req_din : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_req_full_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_req_write : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_rsp_read : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_samples_datain : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_indices_samples_dataout : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_indices_samples_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_ap_ce : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_req_din : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_req_full_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_req_write : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_rsp_read : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_begin_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_begin_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_begin_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_req_din : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_req_full_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_req_write : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_rsp_read : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_datain : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_dataout : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_i_index : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_i_sample : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_ap_return_0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_ap_return_1 : STD_LOGIC_VECTOR (15 downto 0); signal i_index_reg_144 : STD_LOGIC_VECTOR (15 downto 0); signal i_sample_reg_154 : STD_LOGIC_VECTOR (15 downto 0); signal p_0_reg_164 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg : STD_LOGIC := '0'; signal grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (5 downto 0); signal grp_sample_iterator_next_fu_209_ap_start_ap_start_reg : STD_LOGIC := '0'; signal c_fu_92 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_250_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_250_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_250_ce : STD_LOGIC; component nfa_accept_sample IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_req_din : OUT STD_LOGIC; nfa_forward_buckets_req_full_n : IN STD_LOGIC; nfa_forward_buckets_req_write : OUT STD_LOGIC; nfa_forward_buckets_rsp_empty_n : IN STD_LOGIC; nfa_forward_buckets_rsp_read : OUT STD_LOGIC; nfa_forward_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_symbols : IN STD_LOGIC_VECTOR (7 downto 0); sample_req_din : OUT STD_LOGIC; sample_req_full_n : IN STD_LOGIC; sample_req_write : OUT STD_LOGIC; sample_rsp_empty_n : IN STD_LOGIC; sample_rsp_read : OUT STD_LOGIC; sample_address : OUT STD_LOGIC_VECTOR (31 downto 0); sample_datain : IN STD_LOGIC_VECTOR (7 downto 0); sample_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); sample_size : OUT STD_LOGIC_VECTOR (31 downto 0); empty : IN STD_LOGIC_VECTOR (31 downto 0); length_r : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; component sample_iterator_get_offset IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_size : IN STD_LOGIC_VECTOR (31 downto 0); sample_length : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component sample_iterator_next IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (15 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (15 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin grp_nfa_accept_sample_fu_176 : component nfa_accept_sample port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_nfa_accept_sample_fu_176_ap_start, ap_done => grp_nfa_accept_sample_fu_176_ap_done, ap_idle => grp_nfa_accept_sample_fu_176_ap_idle, ap_ready => grp_nfa_accept_sample_fu_176_ap_ready, nfa_initials_buckets_req_din => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_din, nfa_initials_buckets_req_full_n => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_full_n, nfa_initials_buckets_req_write => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_write, nfa_initials_buckets_rsp_empty_n => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_empty_n, nfa_initials_buckets_rsp_read => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_read, nfa_initials_buckets_address => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_address, nfa_initials_buckets_datain => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_datain, nfa_initials_buckets_dataout => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_dataout, nfa_initials_buckets_size => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_size, nfa_finals_buckets_req_din => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_din, nfa_finals_buckets_req_full_n => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_full_n, nfa_finals_buckets_req_write => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_write, nfa_finals_buckets_rsp_empty_n => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_empty_n, nfa_finals_buckets_rsp_read => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_read, nfa_finals_buckets_address => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_address, nfa_finals_buckets_datain => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_datain, nfa_finals_buckets_dataout => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_dataout, nfa_finals_buckets_size => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_size, nfa_forward_buckets_req_din => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_din, nfa_forward_buckets_req_full_n => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_full_n, nfa_forward_buckets_req_write => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_write, nfa_forward_buckets_rsp_empty_n => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_empty_n, nfa_forward_buckets_rsp_read => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_read, nfa_forward_buckets_address => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_address, nfa_forward_buckets_datain => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_datain, nfa_forward_buckets_dataout => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_dataout, nfa_forward_buckets_size => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_size, nfa_symbols => grp_nfa_accept_sample_fu_176_nfa_symbols, sample_req_din => grp_nfa_accept_sample_fu_176_sample_req_din, sample_req_full_n => grp_nfa_accept_sample_fu_176_sample_req_full_n, sample_req_write => grp_nfa_accept_sample_fu_176_sample_req_write, sample_rsp_empty_n => grp_nfa_accept_sample_fu_176_sample_rsp_empty_n, sample_rsp_read => grp_nfa_accept_sample_fu_176_sample_rsp_read, sample_address => grp_nfa_accept_sample_fu_176_sample_address, sample_datain => grp_nfa_accept_sample_fu_176_sample_datain, sample_dataout => grp_nfa_accept_sample_fu_176_sample_dataout, sample_size => grp_nfa_accept_sample_fu_176_sample_size, empty => grp_nfa_accept_sample_fu_176_empty, length_r => grp_nfa_accept_sample_fu_176_length_r, ap_return => grp_nfa_accept_sample_fu_176_ap_return); grp_sample_iterator_get_offset_fu_192 : component sample_iterator_get_offset port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_sample_iterator_get_offset_fu_192_ap_start, ap_done => grp_sample_iterator_get_offset_fu_192_ap_done, ap_idle => grp_sample_iterator_get_offset_fu_192_ap_idle, ap_ready => grp_sample_iterator_get_offset_fu_192_ap_ready, indices_stride_req_din => grp_sample_iterator_get_offset_fu_192_indices_stride_req_din, indices_stride_req_full_n => grp_sample_iterator_get_offset_fu_192_indices_stride_req_full_n, indices_stride_req_write => grp_sample_iterator_get_offset_fu_192_indices_stride_req_write, indices_stride_rsp_empty_n => grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_empty_n, indices_stride_rsp_read => grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read, indices_stride_address => grp_sample_iterator_get_offset_fu_192_indices_stride_address, indices_stride_datain => grp_sample_iterator_get_offset_fu_192_indices_stride_datain, indices_stride_dataout => grp_sample_iterator_get_offset_fu_192_indices_stride_dataout, indices_stride_size => grp_sample_iterator_get_offset_fu_192_indices_stride_size, indices_begin_req_din => grp_sample_iterator_get_offset_fu_192_indices_begin_req_din, indices_begin_req_full_n => grp_sample_iterator_get_offset_fu_192_indices_begin_req_full_n, indices_begin_req_write => grp_sample_iterator_get_offset_fu_192_indices_begin_req_write, indices_begin_rsp_empty_n => grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_empty_n, indices_begin_rsp_read => grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read, indices_begin_address => grp_sample_iterator_get_offset_fu_192_indices_begin_address, indices_begin_datain => grp_sample_iterator_get_offset_fu_192_indices_begin_datain, indices_begin_dataout => grp_sample_iterator_get_offset_fu_192_indices_begin_dataout, indices_begin_size => grp_sample_iterator_get_offset_fu_192_indices_begin_size, ap_ce => grp_sample_iterator_get_offset_fu_192_ap_ce, i_index => grp_sample_iterator_get_offset_fu_192_i_index, i_sample => grp_sample_iterator_get_offset_fu_192_i_sample, indices_samples_req_din => grp_sample_iterator_get_offset_fu_192_indices_samples_req_din, indices_samples_req_full_n => grp_sample_iterator_get_offset_fu_192_indices_samples_req_full_n, indices_samples_req_write => grp_sample_iterator_get_offset_fu_192_indices_samples_req_write, indices_samples_rsp_empty_n => grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_empty_n, indices_samples_rsp_read => grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read, indices_samples_address => grp_sample_iterator_get_offset_fu_192_indices_samples_address, indices_samples_datain => grp_sample_iterator_get_offset_fu_192_indices_samples_datain, indices_samples_dataout => grp_sample_iterator_get_offset_fu_192_indices_samples_dataout, indices_samples_size => grp_sample_iterator_get_offset_fu_192_indices_samples_size, sample_buffer_size => grp_sample_iterator_get_offset_fu_192_sample_buffer_size, sample_length => grp_sample_iterator_get_offset_fu_192_sample_length, ap_return => grp_sample_iterator_get_offset_fu_192_ap_return); grp_sample_iterator_next_fu_209 : component sample_iterator_next port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_sample_iterator_next_fu_209_ap_start, ap_done => grp_sample_iterator_next_fu_209_ap_done, ap_idle => grp_sample_iterator_next_fu_209_ap_idle, ap_ready => grp_sample_iterator_next_fu_209_ap_ready, indices_samples_req_din => grp_sample_iterator_next_fu_209_indices_samples_req_din, indices_samples_req_full_n => grp_sample_iterator_next_fu_209_indices_samples_req_full_n, indices_samples_req_write => grp_sample_iterator_next_fu_209_indices_samples_req_write, indices_samples_rsp_empty_n => grp_sample_iterator_next_fu_209_indices_samples_rsp_empty_n, indices_samples_rsp_read => grp_sample_iterator_next_fu_209_indices_samples_rsp_read, indices_samples_address => grp_sample_iterator_next_fu_209_indices_samples_address, indices_samples_datain => grp_sample_iterator_next_fu_209_indices_samples_datain, indices_samples_dataout => grp_sample_iterator_next_fu_209_indices_samples_dataout, indices_samples_size => grp_sample_iterator_next_fu_209_indices_samples_size, ap_ce => grp_sample_iterator_next_fu_209_ap_ce, indices_begin_req_din => grp_sample_iterator_next_fu_209_indices_begin_req_din, indices_begin_req_full_n => grp_sample_iterator_next_fu_209_indices_begin_req_full_n, indices_begin_req_write => grp_sample_iterator_next_fu_209_indices_begin_req_write, indices_begin_rsp_empty_n => grp_sample_iterator_next_fu_209_indices_begin_rsp_empty_n, indices_begin_rsp_read => grp_sample_iterator_next_fu_209_indices_begin_rsp_read, indices_begin_address => grp_sample_iterator_next_fu_209_indices_begin_address, indices_begin_datain => grp_sample_iterator_next_fu_209_indices_begin_datain, indices_begin_dataout => grp_sample_iterator_next_fu_209_indices_begin_dataout, indices_begin_size => grp_sample_iterator_next_fu_209_indices_begin_size, indices_stride_req_din => grp_sample_iterator_next_fu_209_indices_stride_req_din, indices_stride_req_full_n => grp_sample_iterator_next_fu_209_indices_stride_req_full_n, indices_stride_req_write => grp_sample_iterator_next_fu_209_indices_stride_req_write, indices_stride_rsp_empty_n => grp_sample_iterator_next_fu_209_indices_stride_rsp_empty_n, indices_stride_rsp_read => grp_sample_iterator_next_fu_209_indices_stride_rsp_read, indices_stride_address => grp_sample_iterator_next_fu_209_indices_stride_address, indices_stride_datain => grp_sample_iterator_next_fu_209_indices_stride_datain, indices_stride_dataout => grp_sample_iterator_next_fu_209_indices_stride_dataout, indices_stride_size => grp_sample_iterator_next_fu_209_indices_stride_size, i_index => grp_sample_iterator_next_fu_209_i_index, i_sample => grp_sample_iterator_next_fu_209_i_sample, ap_return_0 => grp_sample_iterator_next_fu_209_ap_return_0, ap_return_1 => grp_sample_iterator_next_fu_209_ap_return_1); nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_U38 : component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 generic map ( ID => 38, NUM_STAGE => 8, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_250_p0, din1 => grp_fu_250_p1, ce => grp_fu_250_ce, dout => grp_fu_250_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg assign process. -- grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg <= ap_const_logic_0; else if ((ap_ST_st17_fsm_16 = ap_CS_fsm)) then grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_nfa_accept_sample_fu_176_ap_ready)) then grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg assign process. -- grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg <= ap_const_logic_0; else if (((ap_ST_st3_fsm_2 = ap_CS_fsm) and (ap_ST_st4_fsm_3 = ap_NS_fsm) and (tmp_i_11_fu_238_p2 = ap_const_lv1_0))) then grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_sample_iterator_get_offset_fu_192_ap_ready)) then grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- grp_sample_iterator_next_fu_209_ap_start_ap_start_reg assign process. -- grp_sample_iterator_next_fu_209_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_sample_iterator_next_fu_209_ap_start_ap_start_reg <= ap_const_logic_0; else if (((ap_ST_st26_fsm_25 = ap_NS_fsm) and ((ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st25_fsm_24 = ap_CS_fsm)))) then grp_sample_iterator_next_fu_209_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_sample_iterator_next_fu_209_ap_ready)) then grp_sample_iterator_next_fu_209_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- c_fu_92 assign process. -- c_fu_92_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st26_fsm_25 = ap_CS_fsm) and (or_cond_reg_339 = ap_const_lv1_0))) then c_fu_92 <= c_1_reg_343; elsif (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then c_fu_92 <= ap_const_lv32_0; end if; end if; end process; -- i_index_reg_144 assign process. -- i_index_reg_144_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st33_fsm_32 = ap_CS_fsm)) then i_index_reg_144 <= grp_sample_iterator_next_fu_209_ap_return_0; elsif (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then i_index_reg_144 <= begin_index; end if; end if; end process; -- i_sample_reg_154 assign process. -- i_sample_reg_154_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st33_fsm_32 = ap_CS_fsm)) then i_sample_reg_154 <= grp_sample_iterator_next_fu_209_ap_return_1; elsif (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then i_sample_reg_154 <= begin_sample; end if; end if; end process; -- p_0_reg_164 assign process. -- p_0_reg_164_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st18_fsm_17 = ap_CS_fsm) and not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)) and not((stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0)) and (or_cond_fu_245_p2 = ap_const_lv1_0))) then p_0_reg_164 <= ap_const_lv32_1; elsif (((ap_ST_st4_fsm_3 = ap_CS_fsm) and not((tmp_i_11_reg_324 = ap_const_lv1_0)))) then p_0_reg_164 <= c_fu_92; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st25_fsm_24 = ap_CS_fsm)) then c_1_reg_343 <= grp_fu_250_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st4_fsm_3 = ap_CS_fsm)) then c_load_reg_328 <= c_fu_92; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st17_fsm_16 = ap_CS_fsm)) then offset_reg_334 <= grp_sample_iterator_get_offset_fu_192_ap_return; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st18_fsm_17 = ap_CS_fsm) and not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)))) then or_cond_reg_339 <= or_cond_fu_245_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st2_fsm_1 = ap_CS_fsm)) then tmp_i_10_reg_319 <= tmp_i_10_fu_233_p2; tmp_i_reg_314 <= tmp_i_fu_228_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st3_fsm_2 = ap_CS_fsm)) then tmp_i_11_reg_324 <= tmp_i_11_fu_238_p2; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , stop_on_first_read_read_fu_102_p2 , tmp_i_11_reg_324 , or_cond_fu_245_p2 , grp_nfa_accept_sample_fu_176_ap_done) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ap_start = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => ap_NS_fsm <= ap_ST_st3_fsm_2; when ap_ST_st3_fsm_2 => ap_NS_fsm <= ap_ST_st4_fsm_3; when ap_ST_st4_fsm_3 => if (not((tmp_i_11_reg_324 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st34_fsm_33; else ap_NS_fsm <= ap_ST_st5_fsm_4; end if; when ap_ST_st5_fsm_4 => ap_NS_fsm <= ap_ST_st6_fsm_5; when ap_ST_st6_fsm_5 => ap_NS_fsm <= ap_ST_st7_fsm_6; when ap_ST_st7_fsm_6 => ap_NS_fsm <= ap_ST_st8_fsm_7; when ap_ST_st8_fsm_7 => ap_NS_fsm <= ap_ST_st9_fsm_8; when ap_ST_st9_fsm_8 => ap_NS_fsm <= ap_ST_st10_fsm_9; when ap_ST_st10_fsm_9 => ap_NS_fsm <= ap_ST_st11_fsm_10; when ap_ST_st11_fsm_10 => ap_NS_fsm <= ap_ST_st12_fsm_11; when ap_ST_st12_fsm_11 => ap_NS_fsm <= ap_ST_st13_fsm_12; when ap_ST_st13_fsm_12 => ap_NS_fsm <= ap_ST_st14_fsm_13; when ap_ST_st14_fsm_13 => ap_NS_fsm <= ap_ST_st15_fsm_14; when ap_ST_st15_fsm_14 => ap_NS_fsm <= ap_ST_st16_fsm_15; when ap_ST_st16_fsm_15 => ap_NS_fsm <= ap_ST_st17_fsm_16; when ap_ST_st17_fsm_16 => ap_NS_fsm <= ap_ST_st18_fsm_17; when ap_ST_st18_fsm_17 => if ((not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)) and not((stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0)) and (or_cond_fu_245_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st34_fsm_33; elsif ((not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)) and (stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0) and (or_cond_fu_245_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st19_fsm_18; elsif ((not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)) and not((or_cond_fu_245_p2 = ap_const_lv1_0)))) then ap_NS_fsm <= ap_ST_st26_fsm_25; else ap_NS_fsm <= ap_ST_st18_fsm_17; end if; when ap_ST_st19_fsm_18 => ap_NS_fsm <= ap_ST_st20_fsm_19; when ap_ST_st20_fsm_19 => ap_NS_fsm <= ap_ST_st21_fsm_20; when ap_ST_st21_fsm_20 => ap_NS_fsm <= ap_ST_st22_fsm_21; when ap_ST_st22_fsm_21 => ap_NS_fsm <= ap_ST_st23_fsm_22; when ap_ST_st23_fsm_22 => ap_NS_fsm <= ap_ST_st24_fsm_23; when ap_ST_st24_fsm_23 => ap_NS_fsm <= ap_ST_st25_fsm_24; when ap_ST_st25_fsm_24 => ap_NS_fsm <= ap_ST_st26_fsm_25; when ap_ST_st26_fsm_25 => ap_NS_fsm <= ap_ST_st27_fsm_26; when ap_ST_st27_fsm_26 => ap_NS_fsm <= ap_ST_st28_fsm_27; when ap_ST_st28_fsm_27 => ap_NS_fsm <= ap_ST_st29_fsm_28; when ap_ST_st29_fsm_28 => ap_NS_fsm <= ap_ST_st30_fsm_29; when ap_ST_st30_fsm_29 => ap_NS_fsm <= ap_ST_st31_fsm_30; when ap_ST_st31_fsm_30 => ap_NS_fsm <= ap_ST_st32_fsm_31; when ap_ST_st32_fsm_31 => ap_NS_fsm <= ap_ST_st33_fsm_32; when ap_ST_st33_fsm_32 => ap_NS_fsm <= ap_ST_st2_fsm_1; when ap_ST_st34_fsm_33 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "XXXXXX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_CS_fsm) begin if ((ap_ST_st34_fsm_33 = ap_CS_fsm)) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_st1_fsm_0 = ap_CS_fsm))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm) begin if ((ap_ST_st34_fsm_33 = ap_CS_fsm)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return <= p_0_reg_164; -- grp_fu_250_ce assign process. -- grp_fu_250_ce_assign_proc : process(ap_CS_fsm, stop_on_first_read_read_fu_102_p2, or_cond_fu_245_p2, grp_nfa_accept_sample_fu_176_ap_done) begin if (((ap_ST_st25_fsm_24 = ap_CS_fsm) or ((ap_ST_st18_fsm_17 = ap_CS_fsm) and not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)) and (stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0) and (or_cond_fu_245_p2 = ap_const_lv1_0)) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm) or (ap_ST_st22_fsm_21 = ap_CS_fsm) or (ap_ST_st23_fsm_22 = ap_CS_fsm) or (ap_ST_st24_fsm_23 = ap_CS_fsm))) then grp_fu_250_ce <= ap_const_logic_1; else grp_fu_250_ce <= ap_const_logic_0; end if; end process; grp_fu_250_p0 <= c_load_reg_328; grp_fu_250_p1 <= ap_const_lv32_1; grp_nfa_accept_sample_fu_176_ap_start <= grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg; grp_nfa_accept_sample_fu_176_empty <= offset_reg_334; grp_nfa_accept_sample_fu_176_length_r <= sample_length; grp_nfa_accept_sample_fu_176_nfa_finals_buckets_datain <= nfa_finals_buckets_datain; grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_full_n <= nfa_finals_buckets_req_full_n; grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_empty_n <= nfa_finals_buckets_rsp_empty_n; grp_nfa_accept_sample_fu_176_nfa_forward_buckets_datain <= nfa_forward_buckets_datain; grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_full_n <= nfa_forward_buckets_req_full_n; grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_empty_n <= nfa_forward_buckets_rsp_empty_n; grp_nfa_accept_sample_fu_176_nfa_initials_buckets_datain <= nfa_initials_buckets_datain; grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_full_n <= nfa_initials_buckets_req_full_n; grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_empty_n <= nfa_initials_buckets_rsp_empty_n; grp_nfa_accept_sample_fu_176_nfa_symbols <= nfa_symbols; grp_nfa_accept_sample_fu_176_sample_datain <= sample_buffer_datain; grp_nfa_accept_sample_fu_176_sample_req_full_n <= sample_buffer_req_full_n; grp_nfa_accept_sample_fu_176_sample_rsp_empty_n <= sample_buffer_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_ap_ce <= ap_const_logic_1; grp_sample_iterator_get_offset_fu_192_ap_start <= grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg; grp_sample_iterator_get_offset_fu_192_i_index <= i_index_reg_144; grp_sample_iterator_get_offset_fu_192_i_sample <= i_sample_reg_154; grp_sample_iterator_get_offset_fu_192_indices_begin_datain <= indices_begin_datain; grp_sample_iterator_get_offset_fu_192_indices_begin_req_full_n <= indices_begin_req_full_n; grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_empty_n <= indices_begin_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_indices_samples_datain <= indices_samples_datain; grp_sample_iterator_get_offset_fu_192_indices_samples_req_full_n <= indices_samples_req_full_n; grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_empty_n <= indices_samples_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_indices_stride_datain <= indices_stride_datain; grp_sample_iterator_get_offset_fu_192_indices_stride_req_full_n <= indices_stride_req_full_n; grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_empty_n <= indices_stride_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_sample_buffer_size <= sample_buffer_length; grp_sample_iterator_get_offset_fu_192_sample_length <= sample_length; grp_sample_iterator_next_fu_209_ap_ce <= ap_const_logic_1; grp_sample_iterator_next_fu_209_ap_start <= grp_sample_iterator_next_fu_209_ap_start_ap_start_reg; grp_sample_iterator_next_fu_209_i_index <= i_index_reg_144; grp_sample_iterator_next_fu_209_i_sample <= i_sample_reg_154; grp_sample_iterator_next_fu_209_indices_begin_datain <= indices_begin_datain; grp_sample_iterator_next_fu_209_indices_begin_req_full_n <= indices_begin_req_full_n; grp_sample_iterator_next_fu_209_indices_begin_rsp_empty_n <= indices_begin_rsp_empty_n; grp_sample_iterator_next_fu_209_indices_samples_datain <= indices_samples_datain; grp_sample_iterator_next_fu_209_indices_samples_req_full_n <= indices_samples_req_full_n; grp_sample_iterator_next_fu_209_indices_samples_rsp_empty_n <= indices_samples_rsp_empty_n; grp_sample_iterator_next_fu_209_indices_stride_datain <= indices_stride_datain; grp_sample_iterator_next_fu_209_indices_stride_req_full_n <= indices_stride_req_full_n; grp_sample_iterator_next_fu_209_indices_stride_rsp_empty_n <= indices_stride_rsp_empty_n; -- indices_begin_address assign process. -- indices_begin_address_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_begin_address, grp_sample_iterator_next_fu_209_indices_begin_address) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_begin_address <= grp_sample_iterator_next_fu_209_indices_begin_address; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_begin_address <= grp_sample_iterator_get_offset_fu_192_indices_begin_address; else indices_begin_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_begin_dataout assign process. -- indices_begin_dataout_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_begin_dataout, grp_sample_iterator_next_fu_209_indices_begin_dataout) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_begin_dataout <= grp_sample_iterator_next_fu_209_indices_begin_dataout; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_begin_dataout <= grp_sample_iterator_get_offset_fu_192_indices_begin_dataout; else indices_begin_dataout <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_begin_req_din assign process. -- indices_begin_req_din_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_begin_req_din, grp_sample_iterator_next_fu_209_indices_begin_req_din) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_begin_req_din <= grp_sample_iterator_next_fu_209_indices_begin_req_din; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_begin_req_din <= grp_sample_iterator_get_offset_fu_192_indices_begin_req_din; else indices_begin_req_din <= 'X'; end if; end process; -- indices_begin_req_write assign process. -- indices_begin_req_write_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_begin_req_write, grp_sample_iterator_next_fu_209_indices_begin_req_write) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_begin_req_write <= grp_sample_iterator_next_fu_209_indices_begin_req_write; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_begin_req_write <= grp_sample_iterator_get_offset_fu_192_indices_begin_req_write; else indices_begin_req_write <= 'X'; end if; end process; -- indices_begin_rsp_read assign process. -- indices_begin_rsp_read_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read, grp_sample_iterator_next_fu_209_indices_begin_rsp_read) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_begin_rsp_read <= grp_sample_iterator_next_fu_209_indices_begin_rsp_read; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_begin_rsp_read <= grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read; else indices_begin_rsp_read <= 'X'; end if; end process; -- indices_begin_size assign process. -- indices_begin_size_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_begin_size, grp_sample_iterator_next_fu_209_indices_begin_size) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_begin_size <= grp_sample_iterator_next_fu_209_indices_begin_size; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_begin_size <= grp_sample_iterator_get_offset_fu_192_indices_begin_size; else indices_begin_size <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_samples_address assign process. -- indices_samples_address_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_samples_address, grp_sample_iterator_next_fu_209_indices_samples_address) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_samples_address <= grp_sample_iterator_next_fu_209_indices_samples_address; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_samples_address <= grp_sample_iterator_get_offset_fu_192_indices_samples_address; else indices_samples_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_samples_dataout assign process. -- indices_samples_dataout_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_samples_dataout, grp_sample_iterator_next_fu_209_indices_samples_dataout) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_samples_dataout <= grp_sample_iterator_next_fu_209_indices_samples_dataout; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_samples_dataout <= grp_sample_iterator_get_offset_fu_192_indices_samples_dataout; else indices_samples_dataout <= "XXXXXXXXXXXXXXXX"; end if; end process; -- indices_samples_req_din assign process. -- indices_samples_req_din_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_samples_req_din, grp_sample_iterator_next_fu_209_indices_samples_req_din) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_samples_req_din <= grp_sample_iterator_next_fu_209_indices_samples_req_din; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_samples_req_din <= grp_sample_iterator_get_offset_fu_192_indices_samples_req_din; else indices_samples_req_din <= 'X'; end if; end process; -- indices_samples_req_write assign process. -- indices_samples_req_write_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_samples_req_write, grp_sample_iterator_next_fu_209_indices_samples_req_write) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_samples_req_write <= grp_sample_iterator_next_fu_209_indices_samples_req_write; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_samples_req_write <= grp_sample_iterator_get_offset_fu_192_indices_samples_req_write; else indices_samples_req_write <= 'X'; end if; end process; -- indices_samples_rsp_read assign process. -- indices_samples_rsp_read_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read, grp_sample_iterator_next_fu_209_indices_samples_rsp_read) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_samples_rsp_read <= grp_sample_iterator_next_fu_209_indices_samples_rsp_read; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_samples_rsp_read <= grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read; else indices_samples_rsp_read <= 'X'; end if; end process; -- indices_samples_size assign process. -- indices_samples_size_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_samples_size, grp_sample_iterator_next_fu_209_indices_samples_size) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_samples_size <= grp_sample_iterator_next_fu_209_indices_samples_size; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_samples_size <= grp_sample_iterator_get_offset_fu_192_indices_samples_size; else indices_samples_size <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_stride_address assign process. -- indices_stride_address_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_stride_address, grp_sample_iterator_next_fu_209_indices_stride_address) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_stride_address <= grp_sample_iterator_next_fu_209_indices_stride_address; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_stride_address <= grp_sample_iterator_get_offset_fu_192_indices_stride_address; else indices_stride_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_stride_dataout assign process. -- indices_stride_dataout_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_stride_dataout, grp_sample_iterator_next_fu_209_indices_stride_dataout) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_stride_dataout <= grp_sample_iterator_next_fu_209_indices_stride_dataout; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_stride_dataout <= grp_sample_iterator_get_offset_fu_192_indices_stride_dataout; else indices_stride_dataout <= "XXXXXXXX"; end if; end process; -- indices_stride_req_din assign process. -- indices_stride_req_din_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_stride_req_din, grp_sample_iterator_next_fu_209_indices_stride_req_din) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_stride_req_din <= grp_sample_iterator_next_fu_209_indices_stride_req_din; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_stride_req_din <= grp_sample_iterator_get_offset_fu_192_indices_stride_req_din; else indices_stride_req_din <= 'X'; end if; end process; -- indices_stride_req_write assign process. -- indices_stride_req_write_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_stride_req_write, grp_sample_iterator_next_fu_209_indices_stride_req_write) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_stride_req_write <= grp_sample_iterator_next_fu_209_indices_stride_req_write; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_stride_req_write <= grp_sample_iterator_get_offset_fu_192_indices_stride_req_write; else indices_stride_req_write <= 'X'; end if; end process; -- indices_stride_rsp_read assign process. -- indices_stride_rsp_read_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read, grp_sample_iterator_next_fu_209_indices_stride_rsp_read) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_stride_rsp_read <= grp_sample_iterator_next_fu_209_indices_stride_rsp_read; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_stride_rsp_read <= grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read; else indices_stride_rsp_read <= 'X'; end if; end process; -- indices_stride_size assign process. -- indices_stride_size_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_324, grp_sample_iterator_get_offset_fu_192_indices_stride_size, grp_sample_iterator_next_fu_209_indices_stride_size) begin if (((ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st26_fsm_25 = ap_CS_fsm) or (ap_ST_st27_fsm_26 = ap_CS_fsm) or (ap_ST_st28_fsm_27 = ap_CS_fsm) or (ap_ST_st29_fsm_28 = ap_CS_fsm) or (ap_ST_st30_fsm_29 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm))) then indices_stride_size <= grp_sample_iterator_next_fu_209_indices_stride_size; elsif (((ap_ST_st17_fsm_16 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_324 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm))) then indices_stride_size <= grp_sample_iterator_get_offset_fu_192_indices_stride_size; else indices_stride_size <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_address <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_address; nfa_finals_buckets_dataout <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_dataout; nfa_finals_buckets_req_din <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_din; nfa_finals_buckets_req_write <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_write; nfa_finals_buckets_rsp_read <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_read; nfa_finals_buckets_size <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_size; nfa_forward_buckets_address <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_address; nfa_forward_buckets_dataout <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_dataout; nfa_forward_buckets_req_din <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_din; nfa_forward_buckets_req_write <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_write; nfa_forward_buckets_rsp_read <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_read; nfa_forward_buckets_size <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_size; nfa_initials_buckets_address <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_address; nfa_initials_buckets_dataout <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_dataout; nfa_initials_buckets_req_din <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_din; nfa_initials_buckets_req_write <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_write; nfa_initials_buckets_rsp_read <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_read; nfa_initials_buckets_size <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_size; or_cond_fu_245_p2 <= (grp_nfa_accept_sample_fu_176_ap_return xor accept); sample_buffer_address <= grp_nfa_accept_sample_fu_176_sample_address; sample_buffer_dataout <= grp_nfa_accept_sample_fu_176_sample_dataout; sample_buffer_req_din <= grp_nfa_accept_sample_fu_176_sample_req_din; sample_buffer_req_write <= grp_nfa_accept_sample_fu_176_sample_req_write; sample_buffer_rsp_read <= grp_nfa_accept_sample_fu_176_sample_rsp_read; sample_buffer_size <= grp_nfa_accept_sample_fu_176_sample_size; stop_on_first_read_read_fu_102_p2 <= stop_on_first; tmp_i_10_fu_233_p2 <= "1" when (i_index_reg_144 = end_index) else "0"; tmp_i_11_fu_238_p2 <= (tmp_i_reg_314 and tmp_i_10_reg_319); tmp_i_fu_228_p2 <= "1" when (i_sample_reg_154 = end_sample) else "0"; end behav;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/impl/vhdl/sample_iterator_next.vhd
2
31609
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sample_iterator_next is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (15 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (15 downto 0) ); end; architecture behav of sample_iterator_next is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv17_1FFFF : STD_LOGIC_VECTOR (16 downto 0) := "11111111111111111"; constant ap_const_lv16_1 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000001"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it8 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it9 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it10 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it11 : STD_LOGIC := '0'; signal i_sample_read_reg_128 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it3 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it4 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it5 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it6 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it7 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it8 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_128_pp0_it9 : STD_LOGIC_VECTOR (15 downto 0); signal i_index_read_reg_134 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it3 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it4 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it5 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it6 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it7 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it8 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it9 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_134_pp0_it10 : STD_LOGIC_VECTOR (15 downto 0); signal indices_samples_addr_read_reg_146 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_77_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_1_reg_156 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_2_fu_99_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_reg_161 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_83_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_4_reg_167 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_88_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_3_reg_172 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_9_fu_63_p1 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_77_p0 : STD_LOGIC_VECTOR (16 downto 0); signal grp_fu_77_p1 : STD_LOGIC_VECTOR (16 downto 0); signal grp_fu_83_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_83_p1 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_88_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_88_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_cast_fu_93_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_2_fu_99_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_1_reg_156_temp: signed (17-1 downto 0); signal agg_result_index_write_assign_fu_111_p3 : STD_LOGIC_VECTOR (15 downto 0); signal agg_result_sample_write_assign_fu_105_p3 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_77_ce : STD_LOGIC; signal grp_fu_83_ce : STD_LOGIC; signal grp_fu_88_ce : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; component nfa_accept_samples_generic_hw_add_17ns_17s_17_4 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (16 downto 0); din1 : IN STD_LOGIC_VECTOR (16 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (16 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_16ns_16ns_16_4 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (15 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (15 downto 0) ); end component; begin nfa_accept_samples_generic_hw_add_17ns_17s_17_4_U30 : component nfa_accept_samples_generic_hw_add_17ns_17s_17_4 generic map ( ID => 30, NUM_STAGE => 4, din0_WIDTH => 17, din1_WIDTH => 17, dout_WIDTH => 17) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_77_p0, din1 => grp_fu_77_p1, ce => grp_fu_77_ce, dout => grp_fu_77_p2); nfa_accept_samples_generic_hw_add_16ns_16ns_16_4_U31 : component nfa_accept_samples_generic_hw_add_16ns_16ns_16_4 generic map ( ID => 31, NUM_STAGE => 4, din0_WIDTH => 16, din1_WIDTH => 16, dout_WIDTH => 16) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_83_p0, din1 => grp_fu_83_p1, ce => grp_fu_83_ce, dout => grp_fu_83_p2); nfa_accept_samples_generic_hw_add_16ns_16ns_16_4_U32 : component nfa_accept_samples_generic_hw_add_16ns_16ns_16_4 generic map ( ID => 32, NUM_STAGE => 4, din0_WIDTH => 16, din1_WIDTH => 16, dout_WIDTH => 16) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_88_p0, din1 => grp_fu_88_p1, ce => grp_fu_88_ce, dout => grp_fu_88_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it10 assign process. -- ap_reg_ppiten_pp0_it10_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it10 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it10 <= ap_reg_ppiten_pp0_it9; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it11 assign process. -- ap_reg_ppiten_pp0_it11_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it11 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it11 <= ap_reg_ppiten_pp0_it10; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it7 assign process. -- ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it8 assign process. -- ap_reg_ppiten_pp0_it8_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it8 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it8 <= ap_reg_ppiten_pp0_it7; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it9 assign process. -- ap_reg_ppiten_pp0_it9_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it9 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it9 <= ap_reg_ppiten_pp0_it8; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_i_index_read_reg_134_pp0_it1 <= i_index_read_reg_134; ap_reg_ppstg_i_index_read_reg_134_pp0_it10 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it9; ap_reg_ppstg_i_index_read_reg_134_pp0_it2 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it1; ap_reg_ppstg_i_index_read_reg_134_pp0_it3 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it2; ap_reg_ppstg_i_index_read_reg_134_pp0_it4 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it3; ap_reg_ppstg_i_index_read_reg_134_pp0_it5 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it4; ap_reg_ppstg_i_index_read_reg_134_pp0_it6 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it5; ap_reg_ppstg_i_index_read_reg_134_pp0_it7 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it6; ap_reg_ppstg_i_index_read_reg_134_pp0_it8 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it7; ap_reg_ppstg_i_index_read_reg_134_pp0_it9 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it8; ap_reg_ppstg_i_sample_read_reg_128_pp0_it1 <= i_sample_read_reg_128; ap_reg_ppstg_i_sample_read_reg_128_pp0_it2 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it1; ap_reg_ppstg_i_sample_read_reg_128_pp0_it3 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it2; ap_reg_ppstg_i_sample_read_reg_128_pp0_it4 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it3; ap_reg_ppstg_i_sample_read_reg_128_pp0_it5 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it4; ap_reg_ppstg_i_sample_read_reg_128_pp0_it6 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it5; ap_reg_ppstg_i_sample_read_reg_128_pp0_it7 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it6; ap_reg_ppstg_i_sample_read_reg_128_pp0_it8 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it7; ap_reg_ppstg_i_sample_read_reg_128_pp0_it9 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it8; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then i_index_read_reg_134 <= i_index; i_sample_read_reg_128 <= i_sample; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_samples_addr_read_reg_146 <= indices_samples_datain; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it9) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then tmp_1_reg_156 <= grp_fu_77_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it10) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then tmp_2_reg_161 <= tmp_2_fu_99_p2; tmp_3_reg_172 <= grp_fu_88_p2; tmp_4_reg_167 <= grp_fu_83_p2; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it5 , indices_samples_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; agg_result_index_write_assign_fu_111_p3 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it10 when (tmp_2_reg_161(0) = '1') else tmp_4_reg_167; agg_result_sample_write_assign_fu_105_p3 <= tmp_3_reg_172 when (tmp_2_reg_161(0) = '1') else ap_const_lv16_0; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it11, indices_samples_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it11) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, ap_reg_ppiten_pp0_it10, ap_reg_ppiten_pp0_it11) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it10) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it11))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, indices_samples_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return_0 <= agg_result_index_write_assign_fu_111_p3; ap_return_1 <= agg_result_sample_write_assign_fu_105_p3; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it5, ap_reg_ppiten_pp0_it6, ap_reg_ppiten_pp0_it7, ap_reg_ppiten_pp0_it8, ap_reg_ppiten_pp0_it9, ap_reg_ppiten_pp0_it10) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it4) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it5) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it6) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it7) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it8) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it9) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it10) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- grp_fu_77_ce assign process. -- grp_fu_77_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, indices_samples_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then grp_fu_77_ce <= ap_const_logic_1; else grp_fu_77_ce <= ap_const_logic_0; end if; end process; grp_fu_77_p0 <= std_logic_vector(resize(unsigned(indices_samples_addr_read_reg_146),17)); grp_fu_77_p1 <= ap_const_lv17_1FFFF; -- grp_fu_83_ce assign process. -- grp_fu_83_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, indices_samples_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then grp_fu_83_ce <= ap_const_logic_1; else grp_fu_83_ce <= ap_const_logic_0; end if; end process; grp_fu_83_p0 <= ap_reg_ppstg_i_index_read_reg_134_pp0_it6; grp_fu_83_p1 <= ap_const_lv16_1; -- grp_fu_88_ce assign process. -- grp_fu_88_ce_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, indices_samples_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then grp_fu_88_ce <= ap_const_logic_1; else grp_fu_88_ce <= ap_const_logic_0; end if; end process; grp_fu_88_p0 <= ap_reg_ppstg_i_sample_read_reg_128_pp0_it6; grp_fu_88_p1 <= ap_const_lv16_1; indices_begin_address <= ap_const_lv32_0; indices_begin_dataout <= ap_const_lv32_0; indices_begin_req_din <= ap_const_logic_0; indices_begin_req_write <= ap_const_logic_0; indices_begin_rsp_read <= ap_const_logic_0; indices_begin_size <= ap_const_lv32_0; indices_samples_address <= tmp_9_fu_63_p1(32 - 1 downto 0); indices_samples_dataout <= ap_const_lv16_0; indices_samples_req_din <= ap_const_logic_0; -- indices_samples_req_write assign process. -- indices_samples_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, indices_samples_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_samples_req_write <= ap_const_logic_1; else indices_samples_req_write <= ap_const_logic_0; end if; end process; -- indices_samples_rsp_read assign process. -- indices_samples_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it5, indices_samples_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and (indices_samples_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_samples_rsp_read <= ap_const_logic_1; else indices_samples_rsp_read <= ap_const_logic_0; end if; end process; indices_samples_size <= ap_const_lv32_1; indices_stride_address <= ap_const_lv32_0; indices_stride_dataout <= ap_const_lv8_0; indices_stride_req_din <= ap_const_logic_0; indices_stride_req_write <= ap_const_logic_0; indices_stride_rsp_read <= ap_const_logic_0; indices_stride_size <= ap_const_lv32_0; tmp_1_reg_156_temp <= signed(tmp_1_reg_156); tmp_2_fu_99_p1 <= std_logic_vector(resize(tmp_1_reg_156_temp,18)); tmp_2_fu_99_p2 <= "1" when (signed(tmp_cast_fu_93_p1) < signed(tmp_2_fu_99_p1)) else "0"; tmp_9_fu_63_p1 <= std_logic_vector(resize(unsigned(i_index),64)); tmp_cast_fu_93_p1 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_i_sample_read_reg_128_pp0_it9),18)); end behav;
lgpl-3.0
blytkerchan/BrainF
BrainF_top.vhdl
1
2159
-- BrainF* interpreter -- Version: 20141018 -- Author: Ronald Landheer-Cieslak -- Copyright (c) 2014 Vlinder Software -- License: LGPL-3.0 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity BrainF_top is port( resetN : in std_logic ; clock : in std_logic ; spi_miso : out std_logic ; spi_mosi : in std_logic ; spi_clock : in std_logic ; spi_slave_selectN : in std_logic ); end entity; architecture behavior of BrainF_top is component BrainF is generic( MAX_INSTRUCTION_COUNT : positive ; MEMORY_SIZE : positive ); port( resetN : in std_logic ; clock : in std_logic ; load_instructions : in std_logic ; instruction_octet : in std_logic_vector(7 downto 0) ; ack_instruction : out std_logic ; program_full : out std_logic ; read_memory : in std_logic ; memory_byte : out std_logic_vector(7 downto 0) ; memory_byte_ready : out std_logic ; memory_byte_read_ack : in std_logic ; done : out std_logic ); end component; signal load_instructions : std_logic := '0'; signal instruction_octet : std_logic_vector(7 downto 0) := (others => '0'); begin interpreter : BrainF generic map( MAX_INSTRUCTION_COUNT => 65535 , MEMORY_SIZE => 65535 ) port map( resetN => resetN , clock => clock , load_instructions => load_instructions , instruction_octet => instruction_octet , ack_instruction => open , program_full => open , read_memory => '0' , memory_byte => open , memory_byte_ready => open , memory_byte_read_ack => '0' , done => open ); end behavior;
lgpl-3.0
blytkerchan/BrainF
BrainF.vhdl
1
13962
-- BrainF* interpreter -- Version: 20141018 -- Author: Ronald Landheer-Cieslak -- Copyright (c) 2014 Vlinder Software -- License: LGPL-3.0 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity BrainF is generic( MAX_INSTRUCTION_COUNT : positive := 65536 ; MEMORY_SIZE : positive := 65536 ); port( resetN : in std_logic ; clock : in std_logic ; load_instructions : in std_logic ; instruction_octet : in std_logic_vector(7 downto 0) ; ack_instruction : out std_logic := '0' ; program_full : out std_logic := '0' ; read_memory : in std_logic ; memory_byte : out std_logic_vector(7 downto 0) := (others => '0') ; memory_byte_ready : out std_logic := '0' ; memory_byte_read_ack : in std_logic ; done : out std_logic := '0' ); end entity; architecture behavior of BrainF is type Instruction is (nop, halt, dot, plus, minus, advance, back_up, begin_loop, end_loop, zero); type Instructions is array(0 to (MAX_INSTRUCTION_COUNT - 1)) of Instruction; type Pipeline is array(0 to 1) of Instruction; subtype IPointer is integer range 0 to MAX_INSTRUCTION_COUNT; type InterpreterState is (execute_instruction, fetch_instruction); subtype NestCount is integer range 0 to MAX_INSTRUCTION_COUNT - 1; type Memory is array(0 to (MEMORY_SIZE - 1)) of std_logic_vector(7 downto 0); subtype Pointer is integer range 0 to (MEMORY_SIZE - 1); function toInstruction(i : std_logic_vector(7 downto 0)) return Instruction is begin case i is when x"23" => return halt; when x"2B" => return plus; when x"2D" => return minus; when x"2E" => return dot; when x"30" => return zero; when x"3E" => return advance; when x"3C" => return back_up; when x"5B" => return begin_loop; when x"5D" => return end_loop; when others => return nop; end case; end toInstruction; function increment(b : std_logic_vector(7 downto 0)) return std_logic_vector is begin if b = x"FF" then return x"00"; else return std_logic_vector(unsigned(b) + 1); end if; end increment; function decrement(b : std_logic_vector(7 downto 0)) return std_logic_vector is begin if b = x"00" then return x"FF"; else return std_logic_vector(unsigned(b) - 1); end if; end decrement; -- produced by p_interpret signal ptr : Pointer := 0; signal mem : Memory := (others => (others => '0')); signal stalled : std_logic := '0'; -- signals it's going forward in a loop. The p_fetch process will continue -- fetching until it finds the corresponding end-of-loop and puts that in pipe(0) at that time. -- produced by p_fetch signal pipe : Pipeline := (others => nop); signal iptr : IPointer := 0; signal nest_count : NestCount := 0; signal expect_stall : std_logic := '0'; signal should_back_up_on_stall : std_logic := '0'; -- set if we expect a stall on an end_loop instruction -- produced by p_loadInstructions signal program : Instructions := (others => halt); signal prev_load_instructions : std_logic := '0'; signal instruction_step : std_logic := '0'; signal iwptr : IPointer := 0; signal internal_program_full : std_logic := '0'; -- produced by p_readMemory signal prev_memory_byte_read_ack : std_logic := '0'; signal prev_read_memory : std_logic := '0'; begin p_interpret : process(resetN, clock, load_instructions, read_memory) begin if resetN = '0' or load_instructions = '1' then ptr <= 0; mem <= (others => (others => '0')); stalled <= '0'; elsif load_instructions = '0' and read_memory = '0' then if rising_edge(clock) then case pipe(0) is when dot => null; when plus => mem(ptr) <= increment(mem(ptr)); when minus => mem(ptr) <= decrement(mem(ptr)); when zero => mem(ptr) <= x"00"; when advance => if ptr = MEMORY_SIZE - 1 then ptr <= 0; else ptr <= ptr + 1; end if; when back_up => if ptr = 0 then ptr <= MEMORY_SIZE - 1; else ptr <= ptr - 1; end if; when begin_loop => if mem(ptr) = x"00" then stalled <= '1'; else stalled <= '0'; end if; when end_loop => if mem(ptr) /= x"00" then stalled <= '1'; else stalled <= '0'; end if; when halt => stalled <= '1'; when nop => null; end case; end if; end if; end process; p_fetch : process(resetN, clock, load_instructions, read_memory) variable done_skipping : boolean := False; begin if resetN = '0' or load_instructions = '1' then pipe <= (others => nop); iptr <= 0; nest_count <= 0; done <= '0'; expect_stall <= '0'; should_back_up_on_stall <= '0'; done_skipping := False; elsif load_instructions ='0' and read_memory = '0' then if rising_edge(clock) then -- if pipe(1) contains a begin_loop instruction, the p_interpret process may start stalling as soon as -- it sees it, which we will only know one (extra) clock cycle afterwards. In that case, we don't want -- to give it the next instruction unless we know it has had time to take a decision. Hence, if there's -- a begin_loop instruction in pipe(1) we set the expect_stall flag. If there's a begin_loop in pipe(0) -- and the expect_stall flag is set, we clear the flag and do nothing else. If the flag is not set, we -- check whether the stalled signal is raised and, if so, start searching for the end of the loop. If -- it's not set, we continue as normal. -- if pipe(1) contains an end_loop instruction, p_interpret may also stall but if it does, we need to -- start backing up. When pipe(1) contains an instruction, the instruction pointer (iptr) already -- points one past the instruction, because we're getting ready to read the next instruction into -- pipe(1). Hence, while we can anticipate our not stalling (and therefore load the next instruction -- into pipe(1) regardless) we have to make sure that if we do stall, we start by backing up the -- instruction pointer twice (or not count the end_loop instruction as nesting). if (pipe(1) = begin_loop or pipe(1) = end_loop or pipe(1) = halt) and stalled /= '1' and expect_stall = '0' then expect_stall <= '1'; done_skipping := False; if pipe(1) = end_loop then should_back_up_on_stall <= '1'; else should_back_up_on_stall <= '0'; end if; end if; if (pipe(0) = begin_loop or pipe(0) = end_loop) and expect_stall = '1' then expect_stall <= '0'; else if stalled = '0' then pipe(0) <= pipe(1); elsif stalled = '1' and nest_count = 0 and pipe(0) = begin_loop and pipe(1) = end_loop then -- we're done skipping over the loop! pipe(0) <= pipe(1); elsif stalled = '1' and nest_count = 0 and pipe(0) = end_loop and pipe(1) = begin_loop and should_back_up_on_stall = '0' then -- we are done backing up! pipe(0) <= pipe(1); iptr <= iptr + 2; done_skipping := True; elsif stalled = '1' and pipe(0) = halt then done <= '1'; elsif stalled = '1' and pipe(0) = pipe(1) and not done_skipping then nest_count <= nest_count + 1; elsif stalled = '1' and nest_count /= 0 and ((pipe(0) = begin_loop and pipe(1) = end_loop) or (pipe(0) = end_loop and pipe(1) = begin_loop)) then nest_count <= nest_count - 1; end if; if stalled = '0' or (stalled = '1' and pipe(0) = begin_loop) then if iptr = MAX_INSTRUCTION_COUNT then pipe(1) <= dot; done <= '1'; else if iptr + 2 < MAX_INSTRUCTION_COUNT and program(iptr) = begin_loop and program(iptr + 1) = minus and program(iptr + 2) = end_loop then pipe(1) <= zero; done <= '0'; iptr <= iptr + 3; else pipe(1) <= program(iptr); done <= '0'; iptr <= iptr + 1; end if; end if; elsif stalled = '1' and pipe(0) = halt then null; elsif not done_skipping then assert stalled = '1' and pipe(0) = end_loop report "Unexpected stall!" severity failure; if should_back_up_on_stall = '1' then assert iptr >= 3 report "Stalled with an invalid instruction pointer!" severity failure; pipe(1) <= program(iptr - 3); iptr <= iptr - 3; should_back_up_on_stall <= '0'; else -- this is where we start backing up pipe(1) <= program(iptr); done <= '0'; if iptr /= 0 then iptr <= iptr - 1; end if; end if; end if; end if; end if; end if; end process; p_loadInstructions : process(clock, resetN) begin if resetN = '0' then program <= (others => halt); prev_load_instructions <= '0'; instruction_step <= '0'; iwptr <= 0; internal_program_full <= '0'; else if rising_edge(clock) then if prev_load_instructions = '0' and load_instructions ='1' then program <= (others => halt); iwptr <= 0; instruction_step <= '0'; internal_program_full <= '0'; elsif prev_load_instructions = '1' and load_instructions ='1' then if instruction_step = '0' then if iwptr < MAX_INSTRUCTION_COUNT then program(iwptr) <= toInstruction(instruction_octet); iwptr <= iwptr + 1; else internal_program_full <= '1'; end if; end if; instruction_step <= not instruction_step and not internal_program_full; else iwptr <= 0; instruction_step <= '0'; end if; prev_load_instructions <= load_instructions; end if; end if; end process; ack_instruction <= instruction_step; program_full <= internal_program_full; p_readMemory : process(clock, resetN, read_memory) variable rptr : integer range 0 to MEMORY_SIZE := 0; begin if resetN = '0' or read_memory = '0' then rptr := 0; memory_byte <= (others => '0'); memory_byte_ready <= '0'; else if rising_edge(clock) then if read_memory = '1' then if prev_read_memory = '0' then memory_byte <= mem(0); memory_byte_ready <= '1'; rptr := 1; else if prev_memory_byte_read_ack = '0' and memory_byte_read_ack = '1' then if rptr /= MEMORY_SIZE then memory_byte <= mem(rptr); rptr := rptr + 1; else memory_byte_ready <= '0'; end if; end if; end if; prev_memory_byte_read_ack <= memory_byte_read_ack; end if; prev_read_memory <= read_memory; end if; end if; end process; end behavior;
lgpl-3.0
FinnK/lems2hdl
work/N3_pointCellCondBased/ISIM_output/forwardRateh1.vhdl
1
10652
--------------------------------------------------------------------- -- Standard Library bits --------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- For Modelsim --use ieee.fixed_pkg.all; --use ieee.fixed_float_types.ALL; -- For ISE library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee_proposed.fixed_float_types.ALL; use IEEE.numeric_std.all; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Entity Description --------------------------------------------------------------------- entity forwardRateh1 is Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; --SYNCHRONOUS RESET step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated component_done : out STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_per_time_rate : in sfixed (18 downto -2); param_voltage_midpoint : in sfixed (2 downto -22); param_voltage_scale : in sfixed (2 downto -22); param_voltage_inv_scale_inv : in sfixed (22 downto -2); exposure_per_time_r : out sfixed (18 downto -2); derivedvariable_per_time_r_out : out sfixed (18 downto -2); derivedvariable_per_time_r_in : in sfixed (18 downto -2); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end forwardRateh1; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- Architecture Begins ------------------------------------------------------------------------------------------- architecture RTL of forwardRateh1 is signal COUNT : unsigned(2 downto 0) := "000"; signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0'; signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0'; signal childrenCombined_Component_done : STD_LOGIC := '0'; signal Component_done_int : STD_LOGIC := '0'; signal subprocess_der_int_pre_ready : STD_LOGIC := '0'; signal subprocess_der_int_ready : STD_LOGIC := '0'; signal subprocess_der_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_ready : STD_LOGIC := '0'; signal subprocess_dyn_ready : STD_LOGIC := '0'; signal subprocess_model_ready : STD_LOGIC := '1'; signal subprocess_all_ready_shotdone : STD_LOGIC := '1'; signal subprocess_all_ready_shot : STD_LOGIC := '0'; signal subprocess_all_ready : STD_LOGIC := '0';signal pre_exp_r_exponential_result1 : sfixed(18 downto -13); signal pre_exp_r_exponential_result1_next : sfixed(18 downto -13); signal exp_r_exponential_result1 : sfixed(18 downto -13); Component ParamExp is generic( BIT_TOP : integer := 20; BIT_BOTTOM : integer := -20); port( clk : In Std_logic; init_model : In Std_logic; Start : In Std_logic; Done : Out Std_logic; X : In sfixed(BIT_TOP downto BIT_BOTTOM); Output : Out sfixed(BIT_TOP downto BIT_BOTTOM) ); end Component; --------------------------------------------------------------------- -- Derived Variables and parameters --------------------------------------------------------------------- signal DerivedVariable_per_time_r : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2); signal DerivedVariable_per_time_r_next : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2); --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState internal Variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Output Port internal Variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Child Components --------------------------------------------------------------------- --------------------------------------------------------------------- -- Begin Internal Processes --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Child EDComponent Instantiations and corresponding internal variables --------------------------------------------------------------------- derived_variable_pre_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v , param_per_time_rate,param_voltage_inv_scale_inv,exp_r_exponential_result1 ) begin pre_exp_r_exponential_result1_next <= resize( ( ( requirement_voltage_v - param_voltage_midpoint ) * param_voltage_inv_scale_inv ) ,18,-13); end process derived_variable_pre_process_comb; derived_variable_pre_process_syn :process ( clk, init_model ) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then pre_exp_r_exponential_result1 <= to_sfixed(0,18,-13); else if subprocess_all_ready_shot = '1' then pre_exp_r_exponential_result1 <= pre_exp_r_exponential_result1_next; end if; end if; end if; subprocess_der_int_pre_ready <= '1'; end process derived_variable_pre_process_syn; ParamExp_r_exponential_result1 : ParamExp generic map( BIT_TOP => 18, BIT_BOTTOM => -13 ) port map ( clk => clk, init_model => init_model, Start => step_once_go, Done => subprocess_der_int_ready, X => pre_exp_r_exponential_result1 , Output => exp_r_exponential_result1 ); derived_variable_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v , param_per_time_rate,param_voltage_inv_scale_inv,exp_r_exponential_result1 ) begin derivedvariable_per_time_r_next <= resize(( param_per_time_rate * exp_r_exponential_result1 ),18,-2); subprocess_der_ready <= '1'; end process derived_variable_process_comb; derived_variable_process_syn :process ( clk,init_model ) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then derivedvariable_per_time_r <= derivedvariable_per_time_r_next; end if; end if; end process derived_variable_process_syn; --------------------------------------------------------------------- dynamics_pre_process_comb :process ( sysparam_time_timestep ) begin end process dynamics_pre_process_comb; dynamics_pre_process_syn :process ( clk, init_model ) begin subprocess_dyn_int_pre_ready <= '1'; end process dynamics_pre_process_syn; --No dynamics with complex equations found subprocess_dyn_int_ready <= '1'; state_variable_process_dynamics_comb :process (sysparam_time_timestep) begin subprocess_dyn_ready <= '1'; end process state_variable_process_dynamics_comb; state_variable_process_dynamics_syn :process (CLK,init_model) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then end if; end if; end process state_variable_process_dynamics_syn; ------------------------------------------------------------------------------------------------------ -- EDState Variable Drivers ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- Assign state variables to exposures --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign state variables to output state variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign derived variables to exposures --------------------------------------------------------------------- exposure_per_time_r <= derivedvariable_per_time_r_in;derivedvariable_per_time_r_out <= derivedvariable_per_time_r; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Subprocess ready process --------------------------------------------------------------------- subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready) begin if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then subprocess_all_ready <= '1'; else subprocess_all_ready <= '0'; end if; end process subprocess_all_ready_process; subprocess_all_ready_shot_process : process(clk) begin if rising_edge(clk) then if (init_model='1') then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '1'; else if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then subprocess_all_ready_shot <= '1'; subprocess_all_ready_shotdone <= '1'; elsif subprocess_all_ready_shot = '1' then subprocess_all_ready_shot <= '0'; elsif subprocess_all_ready = '0' then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '0'; end if; end if; end if; end process subprocess_all_ready_shot_process; --------------------------------------------------------------------- count_proc:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then COUNT <= "001"; component_done_int <= '1'; else if step_once_go = '1' then COUNT <= "000"; component_done_int <= '0'; elsif COUNT = "001" then component_done_int <= '1'; elsif subprocess_all_ready_shot = '1' then COUNT <= COUNT + 1; component_done_int <= '0'; end if; end if; end if; end process count_proc; component_done <= component_done_int; end RTL;
lgpl-3.0
FinnK/lems2hdl
work/N3_pointCellCondBased/ISIM_output/component_signature.vhdl
1
229
pointCellCondBased-channelPopulation-ionChannelPassive-channelPopulation-ionChannelHH-gateHHrates-HHExpLinearRate-HHExpRate-gateHHrates-HHExpRate-HHSigmoidRate-channelPopulation-ionChannelHH-gateHHrates-HHExpLinearRate-HHExpRate-
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/ip/ARM_FIFO_in/synth/ARM_FIFO_in.vhd
1
39141
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:13.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v13_1_2; USE fifo_generator_v13_1_2.fifo_generator_v13_1_2; ENTITY ARM_FIFO_in IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(15 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC ); END ARM_FIFO_in; ARCHITECTURE ARM_FIFO_in_arch OF ARM_FIFO_in IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ARM_FIFO_in_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v13_1_2 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_SELECT_XPM : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(15 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v13_1_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ARM_FIFO_in_arch: ARCHITECTURE IS "fifo_generator_v13_1_2,Vivado 2016.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ARM_FIFO_in_arch : ARCHITECTURE IS "ARM_FIFO_in,fifo_generator_v13_1_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ARM_FIFO_in_arch: ARCHITECTURE IS "ARM_FIFO_in,fifo_generator_v13_1_2,{x_ipProduct=Vivado 2016.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=2,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=16,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=kintex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS" & "_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=1,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_" & "THRESH_NEGATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=1,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=11,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=8,C_INTER" & "FACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WI" & "DTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TY" & "PE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_" & "ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=1" & "0,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1" & "023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASS" & "ERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF wr_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 write_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rd_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 read_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v13_1_2 GENERIC MAP ( C_COMMON_CLOCK => 0, C_SELECT_XPM => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 10, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 16, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 32, C_ENABLE_RLOCS => 0, C_FAMILY => "kintex7", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 1, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx18", C_PROG_EMPTY_THRESH_ASSERT_VAL => 4, C_PROG_EMPTY_THRESH_NEGATE_VAL => 5, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1023, C_PROG_FULL_THRESH_NEGATE_VAL => 1022, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 10, C_RD_DEPTH => 512, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 9, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 1, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 1, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 11, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 8, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 1, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => rst, srst => '0', wr_clk => wr_clk, wr_rst => '0', rd_clk => rd_clk, rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, rd_data_count => rd_data_count, wr_rst_busy => wr_rst_busy, rd_rst_busy => rd_rst_busy, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END ARM_FIFO_in_arch;
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/ip/Unsigned_Mult/synth/Unsigned_Mult.vhd
1
5610
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 12 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0_12; USE mult_gen_v12_0_12.mult_gen_v12_0_12; ENTITY Unsigned_Mult IS PORT ( A : IN STD_LOGIC_VECTOR(31 DOWNTO 0); B : IN STD_LOGIC_VECTOR(31 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END Unsigned_Mult; ARCHITECTURE Unsigned_Mult_arch OF Unsigned_Mult IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Unsigned_Mult_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0_12 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(31 DOWNTO 0); B : IN STD_LOGIC_VECTOR(31 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT mult_gen_v12_0_12; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF Unsigned_Mult_arch: ARCHITECTURE IS "mult_gen_v12_0_12,Vivado 2016.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF Unsigned_Mult_arch : ARCHITECTURE IS "Unsigned_Mult,mult_gen_v12_0_12,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF Unsigned_Mult_arch: ARCHITECTURE IS "Unsigned_Mult,mult_gen_v12_0_12,{x_ipProduct=Vivado 2016.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=kintex7,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=0,C_A_WIDTH=32,C_A_TYPE=0,C_B_WIDTH=32,C_B_TYPE=0,C_OUT_HIGH=63,C_OUT_LOW=0,C_MULT_TYPE=1,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0_12 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "kintex7", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 0, C_A_WIDTH => 32, C_A_TYPE => 0, C_B_WIDTH => 32, C_B_TYPE => 0, C_OUT_HIGH => 63, C_OUT_LOW => 0, C_MULT_TYPE => 1, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => '1', A => A, B => B, CE => '1', SCLR => '0', P => P ); END Unsigned_Mult_arch;
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/ip/Signed_Mult/synth/Signed_Mult.vhd
1
5588
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 12 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0_12; USE mult_gen_v12_0_12.mult_gen_v12_0_12; ENTITY Signed_Mult IS PORT ( A : IN STD_LOGIC_VECTOR(31 DOWNTO 0); B : IN STD_LOGIC_VECTOR(31 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END Signed_Mult; ARCHITECTURE Signed_Mult_arch OF Signed_Mult IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Signed_Mult_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0_12 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(31 DOWNTO 0); B : IN STD_LOGIC_VECTOR(31 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT mult_gen_v12_0_12; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF Signed_Mult_arch: ARCHITECTURE IS "mult_gen_v12_0_12,Vivado 2016.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF Signed_Mult_arch : ARCHITECTURE IS "Signed_Mult,mult_gen_v12_0_12,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF Signed_Mult_arch: ARCHITECTURE IS "Signed_Mult,mult_gen_v12_0_12,{x_ipProduct=Vivado 2016.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=kintex7,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=0,C_A_WIDTH=32,C_A_TYPE=0,C_B_WIDTH=32,C_B_TYPE=0,C_OUT_HIGH=63,C_OUT_LOW=0,C_MULT_TYPE=1,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0_12 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "kintex7", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 0, C_A_WIDTH => 32, C_A_TYPE => 0, C_B_WIDTH => 32, C_B_TYPE => 0, C_OUT_HIGH => 63, C_OUT_LOW => 0, C_MULT_TYPE => 1, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => '1', A => A, B => B, CE => '1', SCLR => '0', P => P ); END Signed_Mult_arch;
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.ip_user_files/ipstatic/hdl/xbip_utils_v3_0_vh_rfs.vhd
3
163693
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RhrbwOyJB1EkxOJx3ABqRk3Va+7K3EJHZVPGIcCoGsSMnOOGWH7q6VzPOfjcK/djKPO6aFBoil75 jQwswaRRUQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LCTlbuF/Pe5PDxJKJwDmFdDkdDk19GHdt378mO/YQltflOygDhr11gCVrBzfYS02NHqaPd5/bySu 7JQ7BQOeRxRaz6kOAXIywiBhmVX21ozJpSD9YWX++cpoX2Hzx21vie7VHdBuVCd3dcSrAK02PIh3 KQYQ85S2o8AzlKpsFk8= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o83p4yh0oTgLDG7Xfc1wgs4jILGH1Afuo6ZEi3g5oOtKQrlkia8l0l+AzK0CZN6geQVbN9v3By8i WzYycokm0wzcIz9ice0LtKeT+ax0xhsgQnz9Qm7joJjyaXidfkKiDSXWs9qUO/5yg0ocMCtuV6Vy X+oPc9kihxC2JoIOAIk= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qFbja/sHwn/4C9HJKO6mwiiXixbKvz/pY0uQwgBSvdDvsJnVpURPIZcd/6cPrjI7jCb2L2ZYUxjo OD7e66fAIQ4Fg//zuIIx29BHdcBxENfBwvxV9WMdbgO5JbeM8TDH7sUVg1FYVW1Cj6XD20DFLK2d vwOuv58tfuLH1qA2IJy1LreXjKAfnSYwXNNgkWsLHf0HNlF1BLaq0ZYOS35wQ0+LX50oyZIXCr+N JkR13JyuCDomGP7fERuhdzE04K1CdRn3rjEcsxYOwLOnB0SPSbBj4lBx4ONU0cfvWBzoVcsVMIbP m/ybAvJlXM/GrDa69R8Y8Ovx05heeJoR5H+zQw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fvKvImhKuX4Y0Y6AUieBoEW5oZj1O6zYwY/+HHrKkKgaM1CnSrcODNJTpm8quvRi1mZX7OA786d3 2FySSCAI7NmYiW1tWydoja+l00gQTFMgW/UrwN5E4V0JowxqUF1iu1epVZ+6x/e25PvEtYJ6tlHd B50G3sCwsdy49pORs80wHiIjPV81HJpnQ5cU2wNr9Sym935FvXHSrzPAmhKxjh0sRZWkS8X8pJFE 3vES6QHURg+O3x6fyl1zEzCdtoS5kk4U4rMYiK4DGLZiLIBWYSb7RUzVsPxP0Ad6390hx+wSZ+hX AIvESkLWW8PZxdjlqiH5uMh6bSBOcXXXu8FeRw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rx21WDVFigb5iRNmq/GF+n+tT8sAt0anxbVCjDKiva2zVerqHXLBxWwmIo8ZDwgQwl6kPSNATurf wsLl3xuYZbupRQiXSV62L2j7uhoTg5gdexW3J3ZgJbqydyznH8end92RJqs7XFidLZ11oY+sBEX2 WZZFU8tlC1UZmwKKu4UKUHOIm6wu0Nuh+yeD4yP6OiKmRBn9y40bNX6p56LkCggypHithHpqzIuX hkij3oeZERvwFCR1LaKtiFF2zdqXORGlBWM0qYixWDF+Q8LzHHgalXIl9W7A8JdruB/VjFtsacGQ bADNP9K30JY7Cl4D9OCU6Jq1EhIksCcjUkhB3g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040) `protect data_block aI7bWNlUNY7c3ZGc2pBm9hopUS7X9dogWjraYv5tIlivzz9gczwsyTYslt2YnJ+/LMUD0nXdKdYR pIOJo/aWGlROGQNyxtDvDPMgCW7a4DPe4d2FZKyxCYU+CQOcwKyYKPMu//M4Nk07v5nerSVaQ7Le OdKRx8fLib7Mqz+v6M2QfuG4WiAH5mEZMrMYGvNc6cM6lzrDDv3Vo7a4QH+HgtmYndaMRsWTsgUV LCdDYAfRyVZLLHoT5OUk/Z+g6Wev9BpvlH1harOy8yu2V1ObxlXarhHEAUtInUsg+xmZa3/gDaEd h0y4u1D1dYYk5K3bwsKZ7TqH9qG0MuGogByVHGubD9Wbf6bbWI+tfdCRfFRkuAlRANSEycVNfo3P dvDLoCKNv+fqlqATA/DirJlE4aeX1FGHg/Sy0vJnD4eqTf8y4RO34KE6Pvb7M0PRmAy5XsRDV4Zz ZUHzpVCDy0rQl5XPvf30t9DFPzgQ6FM+B8uy3eZDgxX+LPa3HTzWL9755yXRXxMtu8bA+7kuAIQe w2vRvE9w3z8vs0PKdYqKQprhC+fJMG09cL4BrJdykfHiJNBtr/bBq+WSSVPZfZb3NZri+LVtlQ5W jHJMYlSNitgjITDwAPMvlQQPz629GFt5O2ia7KgOuPF+6iQuXxZY1RCnj3kp6HEugTHcoaH4w7Vj JXDcb1BaaqKm+Yai4kOYJmC+zhD59QujL5xgv9Rck1BDQgnz/s0hnxDtXCAVBiVUOinMSofPVpG3 Oh2elmXIbFm6Q73lpwzNFJEtQl5cQRg1up1wHrTJ5aZHJSP05vyv3UJQxKd6uQd/o3uRyBgiHWt0 65f89jvOJbql9IsL/CjV+STNpy9RICtYTtbzPVXcxodX8MKdhg2RA8UC+WYoOGc2lMAi1lH75FC4 LP1jaW8BNDH7US+A58TU+vyCzp9xaemgiaHwAYTUj7Rvh/K0cljdPIW+FZmDXdq/YiiR7yHG4S9b UwpePm30esrpmCzC4N+NWeuhdgHFuYCfP/IfyDP2jtD4vyiEB2evaMbpsSMPK2jbwI/thbF5ZesW FOFifXwxCksovXO8BfY8ss7Wtmwg/ZGOr78cnYtbJvTKoGIFklrHDTzADmyqQVnBclXwVcWdG/oL BWoUPqGuQ6ICIW638WeVvTLsShCmHFhE19G8gETeeBqmaiN9OwIuBx5Wbh4W1V0HF3iGSe3rGRxm h01hav/EkgtONJYaIq73OYEH/5d+BvW94TomD7n4YQvzARdLreD+xrHNKOlnPBP7mWiNiRpR+MUG 0utyazgOV/G8ymwzMEVXEavYeXP0sVR9PAvJOvm/56pM+q3i4iYZ0qJbHgA0hoKf8L83AghefJwu 4bYMPpHTLsAAnMLQsKtuwcLTmYKsiCZBl0lAPGoh2R76nFVB53R9rx1L4sKlAbUV2pxWQRGDpPNy IquA42Tm5Hc6cLxV22yKiugnVBmKxq3bphkP2K5Bf7iHhSM+MjJJ5PMtMS6C6bQqdoMeV6Gt1c5x gl7fcm97rx1LiFjx1m8K48Mznqs9zgH0t4NUU2QjTy0gNYiTx70fny4lBuAHVAknqUvzZqUKfXrz D18b/rSoCRLFHNo6zLqi9d+6PsJSvctXBcm990cHlx9gNKrz8hKpbLQLAeLxtIq9lfFvSesEVTPI HAj6jqi818uv+cD3r0HmWj4Mj6WzLQqgFkEUtfB0vUl7HKzYdKaTcDq5aSmsRj8DFP5Ry8K/c0VF 4LTTdrG8vPku40J4p6oH6LDVs8n6J0+FPbs+B8cPSJJoF+ebe9Fg1xWIwNzRVYS3Ren8vMxtjka1 nX6eZOa99Ll/+gYuxI7vXo8L1LhsBhBUyOjBvGjZOZcV1VqwqYvuLlUxEwsYWGxl0rj1cbHiaJz2 lLq5LNQKn0FESAOs620rUNwEtn08Z3Cg7VtBd+SdFQomlmpzyDGFU54j39yIKP7EFPhB5FfSzIUU lsaAF7qCCucRTipNYbD5g+vmeTiD2X5HDgmgoc3aBw5RG4T0/CiZzPBNIcz7NFNyTedmE+1HJI3q x2gIoEqfmbTKzw9h7pokjXsPZB5CplrStcimcWaiASptWEISNoonrCKFffatvIbK/QVumWT2yOrp J0AcwsaExOxt9CgrNtco2RZrszF1Vq3bTB/KLwQATNoNYR4N+S8WAYfUyM/CK6dObGw6Nzj0Y0ML 6eAztsoaDfnsVo3N+gVIOFNA4Itc0tQA/dWMeNt9phrAX6LJqWZi48I0rjpIw1dYq/LGsr0M0D0c ZIPqb5fWAf1fnVCIgr5MP7QIncykiPJ7Zs/J2Lo+gLrGCs2FvhACYdl7ub1a/Pe74Pn3HdytiBsm pMPA5WhXo9j4nTnt1/CsELRA6JoduqGWStAQdcyr8GUWo0Bg5NrGU8X+9R3AXd7L5F0RDpqOxblq GldFv6ZaB2uBsfPqNYtiwonIPClfUYbvDFUSzj3e3LK6by4aKV910o+qsaBtfkOyz4/i8s9ETCJG RhYTq3EeGvg6Ql/5zjFyslGTZm0C2vFxM6V20BttR1vV6Yw1JA1pLBr8vod6tDA5/2qgRWN03r/a W/tswr7+KaqiOW19hmvweCAGiHkH+eesnYCTZuzfwI9HMAwhfIdikIuvxv6k58vS42oFcO8td5eB EMFTLMcmiJoXMbm0nS0zbrZA7GogD5oSRH02bW8KXeT+T/GOrPP+MgGxpSr2fWe+3tUXIXpy2qG1 5zkweA/aY2Dz+dPz7rFEUnR/oIQZV9ngVa58o0xQ6EcbmhfiqCPaa19WaMFnon/dwIs1E7VtpVO3 bHgLCwXMoizr3J/ZZZSy9cdUr3hdjNFqnOO1zgIrBbcU8b+rTr2Fbwkr3yF0+iruXhYMTmv6je1H OHoFYAfzrcvr2K0ha8TOXU35kQ4iHSzKXOScp7FSWizIjdrwoNDg5STCdV8YRLVF+QClpOeNuIOg yx66l7Ok5OATJdfxQymZNxhDrLM0PnouDA6jJd9yrDcrNEja404uFQEB37OFNhNSJ+5/OVnXB7VQ +v3kBBU/iWLwSYs4+JSQur2H/cHVixyHYBKWXgiH12k4wNOPhGRx8sIxk+xZfuHhwg6r1LBq+2L1 5Nh6mEx58l4tJdy65zh/GexHxdBFB5eZh5mBHss0MrHZqu0EL1JgiqbSzcs3QX1xZXerdyNUnbHx diLMmSGhKR+ZLiPnx2cItejFRM17SYDc5t/i5iCM46Ei0I8U+v/Cpe3Yw+OTdNhvjt9QQKuhLubN 8+pxnX7eRCFN5Zdp1p5S1YDucsGnYI0hudU67Ot9mDH9N8Lh1Pqlj4OnUoY9ak2ZMC6zESPdL8Ey UyFwljmHNbCd2iH5tutiOLc5qkJtgjbboZj0bWEo/8yWvQys7mmp5Ts9gDFcRPkpMkizITlxkbBC I7VGbgagUttzL6TJgA5+T3YcbEKDbYjLMFkQL3LWljL2pvGZzF+HecWv0dLltcGqjlQPmUPwJwoP bq7lF17szD+91/FS4YDEAZhRJqORL3uz+o+d/pFFetxQiAImbX7IZ4eHMCYV3j8XIHjyJJcKqTRB 0v7pAPV1bX9yb0dOZ2oDwf8GPRGRvo1RRkCzhwa5G6Kfn+ScZywr4IGXHkQ0Pl2yRTYEdC9yz4qU 3JK6lKgqmqOcaLfp2YSg190BzeQeJsEGRf2A9cHdZkTakngKj1xHQO9+E3uMkJjJv3jXho/aZN4w EFaT0XYgkCEfU7oCkUn0Obv5oQ0oCuxQ1PkVL7aqeiUL8QhoD0RkGOCt7faSpw/tUuAwvBA1icKR Ia9muGExd88VN3TjbcvaTruYnCZ7XE3HBNcJw3UB5En6gDFDGBJ7ktJpAHTBQ9/uFawBi79Q38VK LQYoLCn4WODfNyy9Ang5RxojMcZcBXSziKyZL6HlQ+9C9kitYesx9juQHr4G57CoAFBteKlMFrxr Ki19ujOxu2lwnESO6Aev4kvlg/8tB85vt4cmG9l1SPPNlvi2J2eGZVBFRyTZGETF+YrdMAmV9s5k 95hjAWGG65R1rA9XQEImyazL5An9vwweUzsbj1WSqfH9KSHE7cx+y/ONtcJ+VeC7MD8qQCaEUJ3F rnjSXu4D48d8a52/deybiqEp8eQaZ3wd2TeTs96gE78mlWFy9bx0x0jUhfUrzOlsW45frs3YARbj p3tKehq3Y2bg2nF8YDaRYpiRTFYmVWSrI79zvQ2BI5j/RONzH8EwiDVNmjrNeC66AXCx7MKcp7ET tsV27AL0GYF7w6bTTv4yLi3flNr+6MkIJUCVBbvhUMQvGYG70rZ4uK7uxtBpYCQO8KrJjju/569U Cl239/ROV0qTn5ieoy9xqus+YKR7QzooxY4jlxgU/Pf4pJeLsrfKtqepWJ6KgNk50/9NtNmBjK78 FVBZbN7INXVlcgCWmiTh7fPp56wo24PEd3XbrEbtfPprjr3HsC/dYuhfaTrpFSy3PrtzeiCkanBX JO9TCiEWsSvnu0oxEUhW1g26Uphm2zTw8s1+3rI7oRd06bkcivoIqJhXtv2F/fnGGxfT/1jCJlm0 BkscXgBjN47TLc2Qk6fVYhUMr4I5F99Poy0eG4IfUqi1lXuSg2bQNI5HZLQAhdl4jIWh6z/REovV 1/z0OCSbudd3tqClDYwpsefk6SYLSoWDH1Yb2NPlAyi8jkdsXoG65kn7vunvc5xzeEU/FXc1q8Wm vEUS/F6faHae3qnD4Hb+jo8hzZX6BIhTyVfootR6yJccvZRdR3tUzNMUYTAe25WrB1lCIGh//7gR n23/fOPm7fNLayIqxpwOLwJeghnqZSljtSsi3EE7aY+we2wS6pRn0g1g7ZzhRJD2r6KzBKLUIihR B04YZgM8wcX2ddArD5ZrwNvRbwxuYj7vu1cWVmp6+sBD3bktfkCqhIx3b0ZF8a6I7h9x5V+cGjKK VzkICzY8350nkEutXEIp/jwByf9Y9hXJWbaGOxba8lF14FvXU8ZqV9H4ZWXR2HwpBafxkQegr5cd U4XIiIpwwvMwFDhqgRe0S0AEvDMfhQQ9EHyUDH1qffSqaUR0nc6F+Po2QJaK1QHzsPxmx5oHUzFt a8YN9YdPwh6pg5NqNCoxdogyx2uhZx1j0uiKuAcmkGWn1WvucC96WzfMxLSbNhJnopWQg3eSoVJr bDJilycM0+Wom/CwM1GC5IQwEim+6Q+NTtsw7m1tHCvmBCWsF0Q8oSxw1tjMsapk2RY9l8j2kP7w 6uPP7IQnHq+ha+/7N2eMjYhzouEtL29A9/4np1XhWDgYZ5pBJq8DDRSGOdNJqcy2HbQE/fKTDfds FAc4yACFHGG22yxD5OoD/ibLGR+zad4WSIKT4sb4b6si7wXptvekygVLb8i0/honnC40zopWpEQb /xVhFEh34169FdeG9mW2s88L3lQQGS/NkuRraV4ZZkdZTZ//nN0XanGxoePB8TXNjK1Z6HSlcX2a f8yrVJs47WXgj/pWPrDawMdEHeUGBecMfUmgWJIDQ3eGcihaz3XvbDz3GT/I3WFcElGFWf2bmbV7 esBQVWUzLrQk92AqueeRH0fPz8yK9l6Q1oISDVMnP57DxhaXlBUINa1tzzzY5CcG9DHKYBUcT96T idRrxNJ3lAlpz7g5/KIP6yHgvhJYxpdrPWQNxVEOqjxJM0jrLEYcnPBteFE5j5Js3DO1vp9JGm7M GrH32j5berycy4I7THaGj702gmwsv+gomgl28sZYBbD+MnwUnJZmWjcdltvosp077NXZH+62AHe/ RGDqXM0woIcAHEQf10BZEr03vecsHCFKE1PbSyWKVTw55qF7zfViwvHbvsQ+cnzbGqGaiU4yuZGO tqVtc7d74CcKkcuWWqyJ12AtceV94Qzox0vj6kdDx8FNTyG4x8hFluzRdPPOJ5JTWsI0U2SdOWwg hzUTytZ8MBMlogWSArWswaPX5TwVEgcLVtTv3STzy3b9UQaT3W3gZg00LUPXQJ1dyMyHf9T4BB1E YzsLJkfWykMFXRUy9Kzt3fBD5qhFdL0d+ow4Qj4SaU2p9+BNGwIWNnjmvbzimamM+FPk7CYsmg8i PXcPDIicie7+xEkq5LaY1EdKcayDBjkFHxKcQyQ+1Ul+I04IdMRXvH/s8bqaMxtOxxxfrIl5aaDl 6x6U7kaLTkJEwzi/X19JfIlhfZJLQa+fy24n70Eb8fSBIbmSBedmRtj192zN+Pc5UCaL/Eh1MkAP k17rsPlMxQXGFk72T0Y+4mMK9c0c786/bVPbHAsc+jlu58vSatBET3NLH/jPNRys55a6f/vihN0F tI/3DYEjdKl3Pvx6JUcLAcHWVkSf+MV68XgmCnv2G+tPEf6jJvORsExBvM84QhEoRgqKCFsV2TfM wuSVa0OlFShzTy2iZNd46IEu8thpoQ83I1x4TceCr481lKbmiO16bgXt9u9hApDkix35vY08jz+Z tLZ9zwr4hpjvGHh8/WDtkQBlJw5Bu/uks7BbDqIZAmLq9UcMtT5BsfkleyJTYGKRmuSLLRn5qpOG 3B4R8YT2AsNtakYRh97PQcIwQAkWCa7PvfKHGyAlp9G4IlKH66aYAHWVDlxMtqVcDBXetY7BWclc 8tlFzOVOKwPJ3Vy97TS3AdR4nH7Ga0PcocRdGMk7YE1z5M+bEfWPG5Yt4Ou8oaEUkuPC8bPDRdzZ VMIJDc2KG856QKUJnFAEgJTLV3zHwukr4Xf1ip2PrHIA3jtyBXXzKOvd58kD0xdvKJlmQmZ7LSdC CNzImHzgpH+n6OniwVQvDY71qwJST85PsYRzHzUkpUNyF8UNrNbzdrXfrmCDCkPtJOYOQjc2hHc9 RC6OJd3Q4eXz9vfuIC0Tuq8sBlQ0VC+QTfKZ3Qd41sWceMemw7GlUjiZ6O8+YMOwL48/zi8ScvTw KRVzz3qWtVoK/By4o4K/QWD1BHZzSpNrvHUXuc/7TvYj9oeluYYnn4H9tZ38PYPjE6bEg5z082MJ usy0WdhZPuhNxI7U4wbUkOf+gxajXOQ2DNpyzDh8XGSXIb8FRNClrYWQJMSQ9pirNiA7vAlxFvqm BAkEYzh+JlhZeL0YJzfomofEX1DOatO8s7ysexTTovEnVzm26AjipWiPHT4vP2mRmYHcfDZmAYwR wV1xPvsh2BPcbVa0sM2SErEXgOQ2ESk8vRxw4I3+q7n2rQ1NrkHBeJrveRcnFfLUpPbrUi44Jb+A LH2XeJoTeZHHFkTpL3ejttjiKuCE/sJTQ6jq9FKZJcmMJVqftLkHCaC4hNi4ciB4vk6xuYzzU9Nz 8YlkHDfz13/5zZnmr3p7sjD1ZqiXnyH5H0nkPkbtYGbHwKDYUa6dKN/8vr3UgLZda/JPnKDacNxg OuEmdRcZzyJWix0ct1OhgMMDIBBX/FEEYzw504SgSzbrZynHMmm9O90jPNH6yriqJLwHxZCsJXeq wSU0+q4R27w+C6hvYzMgZ40/l73kMujW26bqvuuSdO3p8lspsG4oZOTK58PiGW49V2uhWRdZrpDc d/PnPzVLlDghuUc7QRz+0gOxpNkdtJVx3Xznr4Gu011/hqiJrtnIfGh7qaAJbzR1Jjc0mgy3KhnE 7FRXIVD9vBDM5sm6tmLUicj/nfCIXRGb8b3BTy1j7Q0P4TmAEQvLLCQDeCN8Sscr1JMsAuCHE343 ECJkCufLaeiS6Jbl3Fvb5fr5+pzvIXEcvxxV3jqx+Xsr1RuYg4Hi5ucChWCijJQB6SbXjRtBdX4T sqbnsQ7gX3TbNSYqJ4mLyMDIuOyALj+K1fUmGygqNbLgZOXunUCmoObi7j9z6/BIKJYGBAmMiN86 4mF/acgqnaLS19mg0jz0dX42CqGbjcjW6fIMTt7YTpPJLC1cXLOlDgeOcFku1xX/OQovP3DBrJXL ZIC/2H4YPA2zFExyZNYZw68oqYXjTU6T+quxWjxNfZIhqpv5ksj80mOj6Y/npijNX4ioAFQQThb0 hNkER46/JitttNytSCS3htueteG9WLcMTuF73CM9pRPeOpImc5gBpNJzy2JJLNBBO8lPjh79zF2m X/tBAFx8rAOzE25NBEW+8w5txt5/eHPgCk1diRQEkIF9ehIPp1if6JnTv6xJ0lSl4k4LpZ08KBbF ts4FaCGOR/w96auZ2OmPTTJAl6lIGxKDVWiq4s5SXkiXhJx24xj/uZxm2XD6zFCI/K0VLFrZnQLI 9r3qEOQ6ppmQ4HUn+CCIMGOxBmInw2ggdrhsJpU1pHe97sNqDY283o1NcVGLLgkPsqAtOA91tRDT 0Kcb10TSCyGwxC0mj6b1UV1G0FA7X9vvvMh5/DbEjKySYeJ0mmWV19iz65ae4hymVvMQ6pwirLS8 BuVwbWnePtnYkPgbVSf2/7i5XGqqGuHIG5xtSq1lklHvr6UCMwTFHpGy9O4KdPXu0nfF95rLg18f 5+N/V20jLN2wFpp8ghVnLEshKl8ZXROqfKUrVyAg8cE69bKo5WS+DEF1geTKD9EOYhQ1ak7YQ7Ur /p4/DJV+UTqZkCIdbN36u37AkHjVHhavXZ18Ow23pfmN5AAt1oMqkf6JPhl2YYBGW+BamrpTwIgK m+8Ggg7p3RuefqqzoCeLftKykmtiIRo2OFvwBGyWsA9NAWfJ71vkaJmap4ztcL8+9ZcTk3gR/SyW T+8ArRz5dBAt4cmaAkYlOEGHwk7opoaMFcd+1/Z5lWzMXtijCIH3LcH5LWv3XHGoRCA0BV4pdgBY rQru7DXl0jF1+qb78c/thAIjH6vxOAZdEGXqd+yd39ZREBYxPfOUxL+5vo5eOJ4EoZ/q5+OBxYpq ImtQkuphewVyWA+HIwGIYyM2tTZ9GUNtdwp5bXJBf8Wnvqeyjo0RVxnc9VOBU1mD+q6gTjEMy8q1 HpvJGXhhJutjSqdBA/gc9jgkov46n2OPp6zH7tW58yPEZRxJ+6hK9GRXlWWt1GilIjBs2yAgLtr+ r1Nk1QJzQQgTtskI6227lNWvfODYHK475Bu2OOizg9ebPVhRWZ8IQpjEGJRR62BigqfOkZYG1Sey 3Ud0IPanDWDPvVISnQFOOnyxM6hU8JkWjAbkcfI70fK0E22tpZCFQY+ZY+e4WYaOTmh8DpLRYt2r tnjl3ohePF5KhpOEjA/CVuAxpAzWb+L+qr4XJdoaKEmzxgcMJAzLEW/E7MWkS+fUlSjEh1BS5OkR Mn9/BP5LEbhrdqOU+E13NApyFySq5a6aiR8UotejM4ZrnM3olh9F+YVjKNIDOv2PQUCgWlM2GW5c yKPvbcgrFokxCtR7BFqysbJQ8BUCflmBC0dbfNkX/+YSn3Db+NkGch1pfxYrKs+lrCBdUV6GCFwQ eyYooW9rYF2N83AKa8wWMrLTzovRIK3vswuPwpJoLsaDe0zEaN4rekfQJdjzma50GLgaS0sBkxpQ Pf7Fh0uDc7PCIDbw0fktpOzd1daBkeBW1eq5gcU5veGgwQcRM+qf1g7crpLQ6E75Gk/pWGGZOn5v JKY6ft32IWOcp150g2YnlLHEX30JhniVl+yF+/LKqNc2M7QM8NSuxoQ/ika3/IV7ScbJD4yPFNNp g8cEBx/Kgwg3Kcg6UObQJ80hxKr5xoGhf/OKguVXxoMrwZBYr7kCQf7fnOw8v500bl+R5/5vxnSZ WvGVC62kvORHraYeuK0lOXKHum4T+gY+ksO6+dauKl5YTNIO0DRwybHi0bey5Ti8KZia3uEvcuCv JP6hRmVXbNYpkw8GNybKz398d4Llh7wb+whmWafnfN2C8uGmGQFF6HtuB97Ec4MTzHx4QslQIB6I H6i1vybIdSHRv1U+Cmq+8J71jsisoa+1GnrT8Yb+lMpeAmv3KqZuRay5PfiSbqMRxzGp6aejjlPc VHSLLD+VpkHZLuZozXhWFdiAeTzL+XQgjegTvspZlYvoE2kdpROwZRFr3FVms/2IlQ6zY3r6x2Xp lCBd/e7jRCQq6sO21oGq0s+tZv/wzrFnpVMBhyvsSYZYgyUvXHoq6En7nXTbYlbHZbPZ2GoZ5KBg 13kg76ZkJQxd3qAZ288rdvufzqnvMNUAoIBhJkTT+tDC6fOnWqlV+8/cvHdkptiFYPfUWljkX1Yw xYe7Gq7cET/eNFKC5LXOa9xQ6DML/oU//q+AYHAJ1244c6cHZ+kjQcJkfMrf8A+7KllC4tcjcMyX 5sLCQo6mDIQM4LduAoLfVt/GxHbQKqm7iHCjcd8T7bPXmDc4E3VHZKKk8DjyPKHEEuxYStY84ph2 MAx4Y6LOM6osOKnfiuvh8mI55vHVKbEFQudXSEnTGpYJULr21WbB152XyDGua8SuKFRalcPdmAfm vCcrWUuiYjA7JbkChp97JjsVzJo1Tq1N7Rj+thiL4tZN0bnbtv5XSIAxukca4GDPYK7emYgl2KvQ MSlBOOnzK8qtwLnQb6hKbWjYVkyXY0dlHmGcEfzLhzYWcXg5PgUqmM8EIpSf02ZusYIOe+IsOgXR 1PDrPDqFzAr65J/Mo3ISLGO73KRSG46HgAIIUNLSwqRWJVjGtZuTvZzVg/E2WTBO3tSRKHu0E6oo NyO344V5/ejUNyl7vrSYzWUSkAybPgq2i0NC93VMuHOsxA3XyJTo/GmPD1RLp31SFIRNi3w+KQ6g a93acJYyBJ1N95Kzvaj5iVwaqOkikvXC3uUKfE1cQjpbDnRapxwG4zGif5IRqiT1csymObzFoP14 qaEdfY3BwU8d7kHvruwYJ9xgoeFjmPLZChZX3IoInleWb8lj3O1zMNsfMOWtbwFuf+dm7lubXF1I /POsqnPxlm5g+o3bQ51SOD8pueWg/70xlL4ZyxLUAJIBduScAPb1afDTxwKlcHLYC+49+3jb0BcX GKgoEOLagXe6FCOqfO0qddWr7E07rReYN8+6sJOtohqZvmh9l4jSvcIghrD8yB429/jbpC68b/0W sBYNiNhrGBF4NyBMgF3qS+gp0tmTfsqOgmxWKxgHEgGmn4IA0ybepnhvlRTPhIa1UnIzdzjoNbNU 5pl3vKc8+P/Pe9qcNzfzQ+A9/LCUV8S+u9ewSTX6HiO7mV1UpvdwIV48Hst+a3+K19yxnJN2//6j MpZ6atbrnToS7BLsEHmq4GRFwzmCCX4jM+OcTMwyqK9onsfKSIAKqfpGz26YuUNd2ArpTkTrsleU 4Y6JbH7csJDzPvgqx5QDMkdwPoqHNOQnPshcXV6R8jxVv4nx7liDXKRXhwO2yoMhD41gY1bbIc0o g8m3JAHjlZRGuYeWklmHAQ40Rx+RZlndUW94AhrDearvcF1VYVldQlGOeZ5LIMi0g/e8kU3rTj6d neGMKXZztNbPvcvRNF3QdADZOcCI0PqJuGRdlJkeF4XG8ZjhoNLnAkGg2TBvvzVAFXCH0wyZOy5s uEBNKQ4bUyQlDVae44xMas6iEVl9C8hNHg9xM+gXFXWCUI5nRVwcaXLgPoH5IkAB5u/y/Xx0c90o tYfFiH2bnF9WgMrBnBzcTYzgT6yFSy/DlO8RHGbyFtOeD5KMhWvxqcPvWguH/BPTJcn2Aro4+p75 NFn45dD5gsCv+dkcM54G6DRQO0pkqdQwWGiW/Z6Rr20Hv7vJm/WQLBeJIkpMvVhMFk3s2Z7ItdXw wPRe4GWYjb9T/5+NBfPAZH7PTepqTnpJUg6yNUNcIOAZ2DVPlcV+B3qUZrWHO2h8ZCgnMG/JAAJ0 EqOm5tmV0ludQzJQRPRJ6BoXTIE3PiMEmJagICyCKXrSSeAVfJDVWxq2V7eB3S1XPmn2CCWnV5tl 4Hw1sYEIduj7fsGkIabPXKunZLOsXjQvLayd2HxBu8Px9SyqTLb3HezSaaBdZei4FZISwIPoHsqz 6rpD3Dt1X4qFCIKDH9qKihsvLWmElviK5AobitJe8Zmu1yroq4WAcC9ncKBztzQAf5Nvcaqjdm7B O8FScs9YHmB7qN+5hQCkdVzIKJ5z+GoAfEaqYZTEH9fd8DYeSBdV3wH4OfLs0LaoG8J0zRBsD+LC Mdax+fj2KSaMIKEcPTsdqMpQ4ijZ2EUiVx+W2MLLEtiPuN3CPutYFKvSIvN5+gPgZXTVfK3PajH/ 9kU7PjMDnODotj0jmKliecPRPwL7cLBBTiqK1KtnFJrl9ArXhp42+RirkyDqTpJxx2cpgCnSlRiY iPN3Krm7LyP4zKOrhqO+oHDZ+lDlSc+qKOrYb+B76HoPF09g5vOpgMO0eGAyH+6jEBpQDw2y+0Xh mbg5vewuqeARrSlhi4pkE6GBspomE+6mVUJl2TsE25SWQeT4w9BWB3m8thVnhPBKeyCCLs5djBpK XqerV0EwpBcxgmSQxLNtuFYKRVvabszoXIJOWVn0YtYbsoEBZIYKbkzo4WbpOmGLxyEHmqTKt2A2 yrabyHC7J3dEzebue41WntW2B/54VFDdP531m9twllyQz52BkEVPzftq+6NpgZXAgr7tE3Iuhr+K +F74LlVaSFZUvUdyKdzTJes5zoVcQF7Jv4/mcN7xwd7xnpgFigZq2SRBZqMIUvjgSb6mrudPMMGo Pt8jIA+jYifF7Oreg2yeO440E50roN6w4VU6ys3amuXvqVxvu1pZc8DQKBATG2awItQO4g4znL4E Bg3DkYY19KLbEon8GvP+vPeevf0c4CFESU8HDgNT81bv3TZbvbps6VoSm+w9bpYTsZ8N2FXszHN7 ryoYhIY9QdlPqjSK37LS/d4NBETPkmVByzBNhQGLe01x6RRhALyhi5dFD7Xn+1lLINaGxI1JDhXg orJQy47Zm8RL3l3aVCk+696JP3y6F/WFYncmuKfQc3ptBibxjLV75tvELnEAujDv5lzRmSLiSkZA +fjFnS0i6/J4CN496INwzIS0MSigqKf0Q1y+6N8q0b7xz8HpIRU3GqLivbzktdc6jY+6GX72HbQD JRLM7eKA8AIoc5tI9lEIVslhjaZbQht8w0e4Fzor0bX6ZMTkUOP+KFmR/0DZYS7nvRqdnOfMkI1r cFeDy7Kl9dcJKTh6MmpkumIebOCa6t4WTQ8RfngB6xsHKE0AxXcFQKjtUg32JnR4eIJiOeksKOwc 2Jrd1aXuEbVeSlpKGB2yAkjWJcrh46YHmbUDucz5TN6Y3r4A7cMv4xmpay7wawrC7RbxpT9VMF58 Wll1n7+U8sBNd6hUD31O8UN+Mg7pADq6lFgJ0qXeGedU/8dQ2Elxb/NkLjBMABT27LnBwyKiegrf upmp2x5fXkOaYTiRF1/1619/xQN95aPeEGMgdRInFBEp2HoUjZ6IxnFGst7gOoNqquFV8yD4Mqr4 XeWcgcUpkTEb7DNN3XnA9I3HTs6t8SrR9TLjAHVK+ksgAyWNsmeF6urpRZEDcK82sHKrg3drm4ya ownR5oUTGkuEDUM6UrcGcPxV7l3+bFAVMLhJaQK+yLC2OV7VANV+EhalYQW1cyBgly3GospDc0nn IG2/nXr0rhCmd093zDZXK0I/jyoSiXeFGGDCCJU4CybHEcuHZIQEeaK0DiB97xogvTkvS7RtzHUt fvYFGMh+LeztMXe2iUcUYgLXxRj15Kow8UQlaLNGkggwoS0JWNiDRp8TpZ7BqnWKOEGirpWXdp1n 6J5z9aawIEQYRE0OlLfapwNsnE7dP9s6O5qbHO3fvWqaoOxKeGEkFZ8+XnumhTlDzzKN3BqHZ1kH lrI96GPZKyE7YUy2wahz+Oh/BnMpy4vQkNb0+fTo3Zni2IZgkfbGb6FgARIAhZEQ7orVhGLdqQ7j dertFwq6UH8nNPs5jnB0Q3JXKztkqXhXQJFetHAeZHdhVdr2BEXBjH48BLhVPKcVjTzZ4dLcSlXF Tyl/29EpZsXA7X2a77+HyMSkSdhCYZH42r72VEa6fLmEY4ifHbLjUg5750/3KANQMyXxYGwMPP5L wfpZfYuEqhUnOOsbaqR9wDZyMmsVSWdYX0yD7SQwevFEkK23G7zn+e6MD4p49QjODnIrMvYgWwAf a7hk3+D3qZYh/jLLrkHS2mrJGwUte2XbTroYwH7rO3vGpnkfMivr7szesbrGOEhq5sKXrORSPqfR YLMWZhlyk7HUyF6HGCE7IKBdqmWvqQ/XdqLO3ZXqKNMp1dyAR+Wci1/P9X7PenpjdJOPQ5NYVQIE QhC+PKjXypRFN8pD9JYaGkDyREEtaAQobqQgrci87ioC0v67ybJwjXe5gLt2pN5pUEldmKA4D5at Elq1ILcCs3o0kApJIUU4tIeORxsvaBYmRErHpfeG4GWzzoWIurfLNB6Ssi32WRkf9ILP4QUTEeRR 6tBF9C7DkVs4RGZy5ngBaFgn5OIKxh6Bl0WVNemxnLHincvMy2ckXS095FQRwdriwo2vNWG4Jp0a eAyz8cNR94f75qOihSl1EaFr8hIWZYTQf87B9DlPsKA7CGmNiH8mgApIKzAsVw8UwHm2I522d3Go 7jZsaGoUJneR0g1Vf87xBJ6l4svzadAWeoFM4sCZ9YQZSDX8zHGPHXnzbggQefzakfdnylkruTYQ 5ZJLfq/iRsB5gBg7CmHjya1CdNeYce1l5YFy9LolNB8LbZ91rLG649GPp9M9lHgn61IxTDq2iWFG 1oGrBu/URfAIqnvAfNDpNUWPn49sBl9OSsn+D5L9NdxDu4KIpnmwOob4t0OlKjnNuNCy1f5XklQ3 E3YY3/D1kV5y/XqTdpTmRoAuT2eSIu6KGa3+vT3ODwzQsR7YLKPJjFFeV4q+mPlsSFFgVyMDJwbF FSIquAUECZge4nwHopb38sxawgTV5C5oa3c0+rjaYR+zwPthIvKapIot7nc1loMDcQh/E0NonoRg bFRIH8uwB1TK39EqadsjV3RFU1Aa7U1OiRCot+EutRvZgsRT7SXFIKYNXtqjlHrvaA5NOa9LTvcs JgUQiC8R8wtA/xXvm3jtyFuABIkUGHofHdg4qRA3JIWYXvjxEJDQvfsP8SaLg5Igof0RqJdKJBOj VSNIT6aOubtY52vUltsIw7UYmQGzifM07XUXiEBbM8WopwCfrGfdnw8LgH5tTUBB6CBqOwh/ipT4 l7G9SFGMf5F7kehnqU6etNH1vcaQSxR1SeYCNhfNdf03dPXGGJ5GyYUYe/v3GbYWYCzFK1CvGKMG G7nMX5GKli0RY11r0LfqQQII/YbPuCNH6Y+qRc2Q94kxz+PYMcPEq6KTABNZVu04lZUS15Ntd8UG 7bqTq76H7SRq/UlN4w4Y+XwaWoQUNKzb9mvUk7b4V3bR1VropOLxCOyELHSVLnCawSgANVNadVeZ KKPEVC+MkKCEsA3Sk+7yypDK3/br+1Xz4xkcs+5fmFkm+8OQ78JHVOAzpgmzkNkqAqi9AAWP/96b aP/SwJAt+hmO+y7lBaT1cXw/2bAxbHWrTVrbAVzPcvCMRPQ/KtEbIeWpMl102LDoCKcIOS3PEHBd k5EHeqQbKHA/k0FBeiEUR/FKS181T/goJ3Wlwxqgfsink88bvwjx3zdRVhxO5KUIDiGG1JDZ6AKo sFdEIHkeBlLKfWeiS4z1ycs2tXFx+WiDQ0w7axwHLlucFHbhQo/NUCjYRbOog0dxkwZOesqgszeD MXOpbxYgaCGc61CFK+YlAYY87I/btkyOjtMUuAp19jBuB4nakyjyCU+LjvlbFKQD4LXU1XHKzyxv riZWsblSnqvPw2Tb/COuUGIbhBYHXzbrCmyj58+t6AovyojTjqS0YhpT885XMJWVEV5Z1FkicoFU I789bBSVhTNDTdTn3Asd6g5nzYGHVCz4J3L/bk6itb2Ce5vaYV7+Ni1Inr+j2Zmj5a7I2r/PXY4+ hVgDt4q91TEYQog9bIkKePf4Fu0zLuW7kt9pGN+fOY8ZSftCOH/+udV/BuzbOWCnIfHuFtOgFmgZ iUqCm5sC0EKj+LOy9tA0kmrJRcK3boaSlSUwY/WPfPrXj0ErEI48OpVX+S7Cj+wllU3sdG5jBFJO 9G2gBJEeEo/ao2ZLjUV9KK0ymQiiG4bgIIVf+3b4jfSaCpfhWv3SddzWrFBYlyIZJ7rjQaihk+or nQptKvKoZBfEk65ckHXx7JO6GQDy1817vcvbhvWucpcV14sNSZohFrMMCvAUfKi6n+7beqbkB1MG unY4uT7u8AVasJzaRfEyfLc/WAHeHkh+gjIiIXua9dybRsJY7m42QFs4/gE2QeP/EnW2KLo2vQIo O3J99nLfM3p8DxNBjul7ncWX4XuJT54WUjEySCFP3nnTf2vc5Fq41bor+8zfg9x9KaBglJLSP1iH MIBM8LIMwf99nhFQuZdIlU6OFTYc0KRK5khYqHTr5G5sZNloqIFOxawp8cjvoy+0dYEcZr5a2QJn 05x4A5NI7jpoypIMJe2mfszfzoVjgMPyX3uuIgTsWxMLmAt9ASokqzdF1B0INHKsEzFldbxzFgms jntihvMtL5VKUG/mUU6rE+whKwd0gs+4gsEL4fWrROwvICaN6x6xDezhX6/AANdUAa3xOyA9Izta hYd1M0RKxV8/TngUvGEeW7jjoZ5g7ZEyySu5aCD5M/YKEk+qPR3Eb9yOthHzPXUkFW9xDncCa9q1 6h5VAOsKBCzxBsGZQSAn5VKH6MEvAE8ju3bq/2lv58QjuFqIFNW1oLrSuIX6FQ4tqaib4CCNAp+n kcBIjzS0xdsGsitFEQqyNKYBr1iAIm1B4Rmugi2Uhn5gjhldr7yWPrauC/2lFe6JM6b8ac7/F2Md vw9JmAj3BVFHnhBjifSWGN71HeLadKf5FZKkkh7ET0dYysdfduBL8q/Sg/uZSURb9RMyu89ftAR1 j7QxUDioaEX9yR4ubKze5C5I0kAQT0MgSsgrMjEJcLRtfJ3Hb8szEC6CrPB8B4qOAyKeM0eMwAs4 KHw3cdRCegpqeA0RJBjSUsHKn4sV1uk/7kBQ6zNcSOux/V3XZ0cy8kJ/q1pK87lmoG3P56zcIOnf 7sgb9gb/1TATBPShYxvZlIG3la9tiP6BFWcsQeHVjwDpJIQR7hEtaetTjMlODFg2HlvWJK2p32Ur 7iutF5fBQdpEhpnsHPsdt84KxeYRQoUZsBBkWjeYvZ5Ntoaqy8Wst/LnMC1duIoxScvGMEZndzaa FS5xZ1IM6LbMEPkSeJbgzcryU1eDS9HoBGfPWjc0anQV0kKCMilIL0/G8PyP8kBdlRddILbEoTpm dQVK9uPiNnSjeo6whW2KAAw1BivTOgX3RKjyYl0WC/h54IF2Gj9QsuocujJYZk3+pHYGpzWqtAqS vfndfpXGWmnHM5k8jQF2/GZNUbHG74hNBqjJIhU75k0shLDDvCO/bkcNS21ij82H8MdOe+PrBy+k fuLEQWGsktvCVowzhYVoajy9q4NmIyVIa4t9KFJrdI4VxybauiKMSN0Ct3JHqF3MMBwxJp+IQR4B I0IO1xNrjasU2ohIK0aysomYpJ9oZmMfyPuBPvONbRhE0TloANwD/IC46oy+JLf+xaZYM3PPf/gd uGYSJ3BPx4yo66hT5Um6yIsUcmIP1lr5ZHwaGSe6/sjg+6gwa37nIsX/HlRYDKTc36gFSsdUO0/X WSya2j+jSGE8gdE9LqlfLJo+X12h5JMsjAXyfIrN9JUMSajp+0/DW31KNqVnDGe7D4Xv/u9JgNlR A6cDu9I3gQQYQ3xWXEjyfHnRxRE3poxtoN7sMG9q7WyK6BddPYLqXjakbhPEuZ/1ejXrInCSCXNd vwkEv1L+jSjVNwP+0wpicQOg+FVO7XBnXOipPt6O9IrcOzTM9Crav3CKjOrIx2o28W9L/trc1PKz izKD9Hha1MHSOUyPl+4sh+AepL4ki750yMMIMh2ciERKetouc6cfDDoQl9hPSJlgxYCbPULDAy/W 7dlCPOzr0um66myTOmRHuP6R6d6mCC1Kii6GLgAtzoG+VSPw5J2bNLZV7F0sb9qDCGWtQbHdMfeq Kjw1DJ8heO4Suhfwb1A0jn2C2qQzpa6auIl1rBWF5I/xofqlqnkYgZGOWQne/E1qrUjai4+axhmQ L/szcP3kbf9KMZXaPeNjvqZa4LSP0KK7mcz2Qg/FVUsgXayvEjLle/qHoNq4JlYn3a5trQKSRYgj pQmmWpsUE+RA5jT32nFzwlITm3sgbodGL2VR0+n21VE6YQv6BXyUh9pZZA4mrULwNaTHyvOobzzI c9IAM3tmTaZsqWSnOxzvGZmR1/nNN+Hht7jHAFqZqLdatYbu0AtARjQ+zit5ZEzUraZBiVhyl2Ol v1lAWFcLU8rmJ5/yDAgjGx2p93IQSG5p1+n3xNsE2ZpZKFioCltnD8uCmKnFnv/tz4nfADwILSgd NvUXmDDnt36Kkds7VQpER/qXHlqrKTRspZJNhMsA5DUJwxEjgGydYRmtSTPPSKdFMCGfLCq+p/yG MVJyTFlDcoPtE2AjY7B8mL6uO26hhLn3avifpKp8nwGuWn7Eqh3uCASDXTPKOtv/xY1ip0yyp49i b87A5p76+AnqJTXFNFPxkulbr8gnkVt4SvaxaQZBfdhnN0hUrmCkJchL2P/939h4kGj6pgo1wfuC ZWICTpnWeSh0fAUt65cx9OE08Vf6O5uryplYsq9X91NF93THaxqhuL64zKXnfAK53IQ8D3ONINor Y71WSGBF3gipsSsrt9vdUEDJpeDTKcuJz4TE+KH2DVDpRS6cbQ1/u0nqd0Cv7mrUqFvS4p9F7400 izIr5Bllv1fSTpi+ObPTfzWZcx0FHirN5uBMqoRSc0JpUolCQHAEXyxAbwwGqwjct9kRwpt5ogrG WZG/VrX/S03Een9TuW0lMKnfthMFZrZOA5YTEzJhYBwTirDlFCsDGdEBKa6xWwMpX34X68CWxC2E fJEJ7icG7h22Xz6tCBJaPp7E+CQqaC5lvmUwuEk9XtJ2MzcBCbS9AIfw/MxRLtZWy0zpzGaRciV0 Vd1lMILV7ZF17r1NIL7fmTnepuTfUJAf/nOLdFeoe4BA4LcjSC/ec/u2IEzmEXIZTnRR/d4iB9Io oh+r50O9Zy3qnuQGQeCMDdQTd8gf7wDhJ+rbon///DhBNHHD/fdGvDE0GxZzPBhZbCv8bNPULoG1 +cBDQfd1KdNbmBH3+X7vESzdC4Ype8eBUsAZ+mzyQ4dvj0uGt45OjLVAhQuEtDa0oM7x1XHWaSkj 4G+B27vHvOPRFg5WORbvDKiRahnyywAFtW1UzZ7c4fuv12yRQsc/eSkDorinZ2MIqksCZh8aNjx5 5/xygRgV0liaB31Y8+75tMy2frcwfasstYnvdaJn3Rz1DTiD9Xj+S0bwQraDginay+Ct1n189zC1 pDs2WIaJZgVR/+z2upxcALwT87Ck1Pj3Jc7N0HdxKBd941m59+QCKjpbBoe2N5cEDSnBrW8LE8sd c3V7DTCDIdanKDjfV7PPYHHu5qbOwkua8udYjqupyx433coOZD1xfPaL+biG9UgjW4Fhblb1/mUk lNERwPnWKL+1+s8DbVc6puWISYzANnPRwscrhxSzw9E5cb8ZjpqcW8SzP3FDkewGn8yxUrMSrC3p m9b9KzYa7x+StIEqn/jCWQmqLClDo6sqAHfk7iRiNo9GDvFDr+z5kMQ2a16+V+sMMXhKNsy/JUpe UkuFi7KXKYifTOf0jGdyyn/Kv3UbBLImqziv3drDXSe2Feh+uMpt/9XZ5VJOgn1/RrHb+mg8WUS1 XO0atp8ze/BF70CAwTyGcN/Pto8xsJFTHy2ZKmmz2hzPd24KT+Ok/yX2Y04vJSL6BMmTwrRkefr/ RkEqirtlz5A5Fmmvcn6T9JvDA+H44VdARyDeGADtK5aMWUb245fCCgK2GePb9L6zzsdgQKiYTr15 SzR/cbW6Iaj3AXPks5iKhRQdbTv40zYksQNdbTJwv7JOt0+e8yytFuCeH0zeQgGIhcf0Nyr8EO5S 3iR32LCqv6lW3BWfuzMQsVN7Sphc7q4EslLS08qkpQGolrVRRtqqZKyfYoH1zMv83zWRmok2urIY LhiSzfgMm5xtzMLL95N4uCJFdYKoeykEHXWeZgkUKD959KnewdR0FLoOwSCSMO8fnZp57GbxUvdd jRPbK4fdPTj1ozgztIva5sQdO2arWAb/cwsQrI0TlZUMp8xG6A81X+8nSlmUWxJLjaQa0+WP73si wqvNYINzMxclFVPTKA2MWQySwxn/CKNSZ16kI2PlWP6keuol42U9uA/1eFvnfFFGdlUi7z1NwF3l ZbJbLrU5SA7G25WyyD407gvRXRo9A/g39A3XzPMTk067tCczTpA/rBQsU+S3SJIHx+wQGd/2xGEk +H2Rr1KIxeJZqQWllT1elJsRgIs3DH8RyqcEuHvvYgOAwtVyHiZ9yOTOHyNN8P2Tx0+HxZVq5Oix PYH6WvhAUyvxbJZ4jI6nhjuHhLUR8+E5zh//WmS07zPYzZYFAmHAGiv7a93Kxp2ffNNgnOodSdMF 1HWaASSqvHd1E9S/ljVwdL8q82FdXLVbQRVd0ZiTJPoXi+TXoSeFn1dDkRKQz0JDDsjFoBKbyeFm kNeNN4gdxep/XkPmFR54JZYLUNLv4eqso35ernhnvlhBJm4F2aRuTbtRyqCHCDjiVfkODYIYoXbG +eHbr4wFnxgjTpY64qNOj+0zk54QNrcnzQ4b8sW3UUY6RIEGqU7EnX+e6ovbWqQSicEItELN8L6V mfyepdmTcBHao04GXQSrW0LldttNHJ811ExvdU/oOeq26RY7+YzcwzMSLergr3/zjg8OWeAW3szm f6aYoYPMkj8JBQzrQ2Lp2Sw/ADcOvJ655OsNBgaf35AB5cyHr7+5OerpYxP2MiJP+2jFJ7EyT7F6 xdhIqv4sr/3heKxTcZMDNUI+uj6Z/VhcABOsXAwwtEBKHmqcqRnhA7DmDM7m4Vrm8kAvm1w0XWfw IPMlisi8fp15h0PlkQCBLWTOPIg/QEHf2NqYdVNWwMuWiw07K75nzjDSWUWxnQ84KMLbE/pn6HqG h5PgzEHdWu1OF8wC1g1r6wsVThtNExUnANqBcRQLNscxVzgFG7Y6OuZ1kgmz8hCn2+IALaY/3e+Y HhCncvnjbC5RJekdjkesbaGSzXEhILIcK6cuX27WMkTkJ7RGgpHCfxFMi10XrnHA3L5rbZtcV0qV WmL8yCqSLW6iABAhD0eLF22fHYCJdzWdR31XJ7UOH3OodhvPK57cZtudhCkkF3XdGFvpK1DaYqF7 rLJNB80TOo4Pn5e4w/d9kmlpnZ9WwdYlD4+GuR/JJQvXAxkuWqR6CTz4wHaFdpL7HS1OVXWaua5c HOF/cq6QElyhs9Xktj9MvED0hq54W4GwzdtXM+PTJKZFrNMDHAuUbbtuXYNzaDxvcNwNSMGIMZUP fIaNXM+nt6Ib5AUZH5tnZISnKnwtj5JZHCSzE9W9diC+iu3lpWYGLJwcKCtGPmitm6S1Q/jquOC8 4uDvFn1+btsiVgdQWFcF4bPDyWUmvsB3F0QoPyPxuG0ow3IBrVZdN3DYYUw3fcTxvtRSTR/Df4+B CtAr/5+o5CCO1kR9/pyPQJQyQl6/M/k+fnb8WZPkyEpORLeT0nkG10omQNHGzFMy5n+OqbCtVEWo 145LoE+kypxQf6BF51GaXdoqBF2FUdNVJ0KjXscOj6KQz7WZFv5PCzL4DXt7/lgQaNosEUX7gTuu JLPR5YS53Nql9CUulmrjjR9tS7XQyta71Vy0Nohzl7HrPKD0G7IcVdgTLL+DR5lfwPj7KzmO4Tx+ YSGTXtYUyYLyVW/ymDwcgzzmYWI/iYEVqzpl5L+C9PTj6lhirSBqDa5vJey718JgF6XPX39M1tsj 5B2XrvOXf8IGcwbn8kPg2UxeQsuWYV9MOIMuW4+/91q2V4/Riggh0sHVyD1xFLnAHQ11euBmfBBC HTDXnBo9oMqsQL2Z8twvjgRd0zbnGnS0G19Wy/sXTAxFhBZk9gQa0TIqb9Xvj/LBeKTDOsSo2Ris Pb4AeZ3Yr8eTiiUgJaMdI17PA2AMvUelOdJ1ynzwcHriVcBIQR7699mF0qOSpdT6m7/S+aooAB1m oI5dWtDZqGTlGQSjUOy28XOGulEOEf7G+Tg1/eGs12+rjtrU0aEU16BSFCyQSI4JUzDv3RInj7BK FAkQyv0TCPkxZjJtyI3gZ552OSWZQpO1oBhQ8WTboJrTrCgLjbOZZHfI99Tt6u1+nVwz5RLXOFrk SLVrsAAJTBITQRCqVqD0C+dCu6OruTzDKAPv+Ga5vGLv+snffZFN4vRbFC0fzwxNE5yGdHLYfbqt GGEUh9NT8J1pn+uZNy8YEl2ZHOseTDhrLVdECsy1hOJPzQusW7Om4W2ZcmYNT7LcABVMfQKHH0Q0 too7xAhcVpQKtVhRWcXcNg+vB29XWtT4oe14UvWOjC0WO/3x8csRDHejDml7+fKgKtzjeEGcgTLP zOlyEjscJjNzgYwvrW3ftBSth/Pr0mSSLwyDjIxGM5ANnDkbA7fS+qSmjl3Ab55jntpNPEDh1l4R OLaWDNw17np1aMMZCNINBeGfs8VNbbz6GiGd/HW+TrXA+vSDxs1PQPmYWJjogpCK5J2PzbPWdlhF WkpoES0n8A0HA+7AvAQ1EK0ipHldPkwRXEcErnPqYMkXEYJyCX6HHuBy04aEeswRh19c217fDEYb ZmU5H/2tUdT5GuB4NAgwAj9PxwJe2Z0/7EQd5yaYd/t5rMR8WsKuANYHSmm2NAHtDSt1eEe+iLhV JhR1n63T9kkHE5t1lsRwxT7KqCnQu8jGjozkKTW1LdyB2Rqh7CI2rj/6HM5t9jHDylVF/QTItW0X OTwDzPYcdDsl8Y4MqufpP24q0qDL+g+F2WRahvF8yY+D8XNE+9POWlRGe3dMnCrBGg+hoQa9t6Hu Lob68cyx97uund3uekYkDVrEpGue8Nu0aEGoRzk4q6zwRLxTCNCM9ih3VZn2ANspXGOEC81BWrql B0aAzourpZiNgj6dLeqQ5q9+Njq8/Oyhbu9IgfraknwUnsWAuW4hRKKQf+N0jTKN/nlTw5vNCXMD ba/dowkPHpi58ZZ+5DLAla3j2sPmg2cRH89esy5Y9o3EwJNm6MjeUiDln5Gmn5eFDguVwr97UYO3 RBYvltMTwvowMsd8+DiE41qPiqiXYiK1eZEHD9kpIyOl98yRu+34CvOgmz8TRZdBsuKmDnSvNo2r VKscKnDRBuZ4ep04oyySVXoMl0nXvcEqXNRrRBhV4G2uSQ9o5fiaBthDXfPnUNW25sjQkmJa5mzK oV+XrzO4aMIevx5i9HsPxOS2Zab85DxdAQBo1uE9ZG7P9O1JImCQYAtkWznqcGtAPQEbS3cfraAb vGH30oSei7s35mvXZDtm23HJUMOGKgwCWu9Dcw5M8u5rzvCNM/iPXReDXUWIZIo0jc1+bFFMfhgR W5rNSmsc1UUBnMATI1+qY5QBAArmR0QMF6zcgCul1UHZiGHBU4uZCFpkPFVkU7zwqBx63D0QaPBv XWuvTZye27F/z+VikxuSAbk6Cveisxo9K1odfyH8NhYY0kQtzo2lGJyDNcQD7gvXfTAqyKudgoAY 94cwpZW0a87MubPpyLoYIRe7MhOvP8dBe9pqgBRTIrU2ryNP5PuHtKBJ7zTdFEYG4PPdsTHmC9ol mwN6PJqDqWvr4zHJ7PuAM6YxacDp1Lkm+wXY3XKKR70AADhlIpMj2W4tivlxUUXR6YidBtU4O6tf 29mlb70Uro0aybxbR/088pxf43oI8vEnUQfT7LlgIEOPbbkIeNO2PdkIaUAqbS4Mjvd7+Zvd2l26 PJMhETATKZZH6k9+e+ZUlekpCqnm7HY0h6q5BY0umKdt/5aZjsKb+iisBZJZgJ7/8OKJQ88GOAMc uQvom5OKZbnVXNPpG5HkyQ2KfGt6iTi2SMNAxv4aefkcSj3Nd8cWLDzUz27caEyCktzbCxW+sjNN EJRvS2YlY0ec5uWZhkkx7JgUmmol9yDjwL8766l+OY4/s9xxIoQZYGMm6PI255W7Wk2XOpitMceM A48VwPoYh5fyuj7GzFPF4X2aEgvzfkMGNltuilsAza8Hk4Z6FESLtSItnwSxPVxYzlzSwL0R2LPy 8zQYcHXkuTpzPMyNg0lNZbcHledqPhfMqVWfY3poC15dYWWbGC7bIkYkf5oxBaHC20lTWtsLTZgB pJdGtBKPLAfZhLBWFSstqp7zhp+NmyXTtxOnrLqvOqAlWCYi4dcRvjkEkzt0/TSwcjCflxSA3IWL lpf+enKMfrY4aKPSz1O07+Ey/qr7k9d0UNrqbWVb+BV3iiU+XO+3fkeF7Yfn+6wsdlAQx8pnk9U1 e4EJu9DndKl1157PCVB+FT1UuxhSu0fCZKC48bmjVLSBihf0joDpFVg+Gg64Exn9OW0t50OaXAyr p8ym7kD3/n5Uupt6WxwmkeTuwFnWQCgYthchEgXxZPdSXOvm5TFUnYjf13SeRUjTvhKErY8/a2VR YEQxHOEDElu4r8vGkZEt2KPU+wpS1dcKaCFWitHEnLNALbndyNS51IeYsad2cp6bVM6P4oPPS2Ix ulAG64TtQdmyBogMnl6qXErGyM78EPv0oF7OyaL47Z8pMpdaglNWde0jKH2TozOtCAfSRT2dSs7x vbRpzhFbvJESNm2kokmd85c2ONCe9zYKh327RNg99/e2YUHyQecaAsWvGCJm0rwpO8bXq8xq5rsU vEH009N6d4gLA3ZXXxepBINOkwKpQKf3zKia7w/88QAlwP5dHtYmW4YuScmSjYjr8NNwM1vNgWeY GXvXH32pleM3zDcYw2nRqb2TfUJ6mV0Fv9G1UZ32EMMEzpahL7cfIICgY5SebnCmOk/WTzU1l/cg FngdXa1M1QgQS3S4aLwwYMi57LoiWdMxIT1gijhV0vDMuQNu/ZamqJTgDEUy7CTGDV2R5fWDLzd3 sqYjCsvV3MN4NVlnJVvUJE3CBLfRonUtqTN0xna+JR+5a3UMMeUyBeuRkZcziKmFB7dZDdBVP66L +WtjsfJTatUd0HmVOLR7d998dhXrPWVsjtunKEha+kta59x0gEI6fGUZQ6rQ4VzW+S7ne8DkpVeH X56/ryR71TGDD5Lzour/9g4NTwMO6SzO0IUJNjd7GNF3NfMYbMwbHnF16iCY0JA+G8AKMbBYincT sYyMNIWhw2lZA4dFFMCFjcLKTpKTvz8PX8WzHgl+2xUGPvX/MTGnX0WX/z3AYH8JDSASTu84kZXy PE75FvftklbFK4kQBfYIPm3wRbz+ofaGA+Aebvah0FfhPj8XV0UlggO9qkBYlFthmDCPC/FDVHr4 Vkdya0uy4i1BxOerRHIlSh5rq6w5ML973JVMQ1Tk2W/7O+4opiu7QYS00pb+3ivl1ugnvCFn5IFy Ge5McqmgtMybMlV0xw0wOnN/cPRXpwHEpFNT08wGl8JJYmBL4gjAq8JWCqnNOmnvBLBOl0t9YLCc 06QkXSdoG8M/9CsOchOr7nSI8E/Y3pDUabm6rg88edLID3dYcwDxoLoCYMBhaFmLCzbvwVctmE2z Ysv2PaSYNLhxeOJvxlQDXOVV6jB/plXjcrwSuXv0KdcRJ33G83pPCTeCyBRje/wgjHDtdygIWwpf pIjN4m6po8tzvtZQgJ2mvT8+A03RzT5Tq2IFtOApgS0lpP6OuIv0rNIjvk0zC/tk8hd/O6hf4qFR lbzBaPKRcP+3ZuAegUkk0yGgKfpG5u73TR+1Lv7f1MZh4REWbYDzrzvzWg79NG8FI7UDP+w0jxpT VqNslMaCyh1otXqlqfzK3nLdmKqgJYRWydayRGg9cG58+mpUS654YyFpzSq7k+IrtEn9uEcmOzl4 /4sgy0Rhe+4053svrdQ4FPksqW5dGeA9CgsZSD5Z/PdZnO9bABTxxTsfCWK1nqfnHwJz+v3djJEI Ums9sBYPkCha4L2E/T+6p41mZMKFZ+CxyIeULsv5NNX522+UHjRUmLS5lhHMjFAs0U0N4mu6Fmh1 epQE/ePTj8FQ/8l2u4zs8KUcUZDkvxRjCZurS1nJc0mgg//bWPk6wyRGD5jpFzrG9cGHzcQmXP+k CsYQpyhei1tu+6IeRHuR+P9H8aqZKmn+MvFN9Nmd7Y3lnGdMDbo8tw7koe3/tjnOo0DBrIY1LY8i 2RcQbifb5/BrNBZ2QV05UMNLV7ZpFoTEcNYhRtZbX3U1A5iFmSTTBqxFgI5zyO5cCvUeIQoGOPMr 3eYQIFRCAQhHWVIU8YD5k/8m5XNNkQvorHAcuTCQMBKEYNQagtjvMP3mpp3a3RyDh05lws2XycAj 6nKMuVeWEWAEJTXB1oAo9AgdbseoTjevLceRLgQ30BfrwT+BpfymA7FGJAI5KGV+FRz7/v1UdeLB BM0GQV+NTgZ4bBJHnRSGCrfKdkt6Ht3fL9KY9+/bxiXOW6NT/+wXj8scmuCZjMeQqATDOulVkdBB 13CoAYfSFHGU9F7xbM9o3vQKVDRb9/2zYxAhjQlc8NRy/+ceJb8JKv+sAQPMeD8m2SXKzTBRuAzK WbNZjt3XyWFYjeldEiyda5g459jeZWImooz1oBWUN+GL88cIXX2f1hOH43uNFyXdDs7l+SYw1BgR zoeLvvJJMeial6TKSlmBThlClbEgXeJeavkpVqlnx7rPDYpIxEDhLbr0kB9gAF/nycZjgs/z7zOr ia0VTLiuMsaYNONSKHl7fGCRl24dSRfSeFjF1dJBXdC8N+Uusy7Oiai8wb2vP9F1HeIwAm0zYArO jupbeOirac0xWvn0AP1I/K1dWKaKNX8u5YeqftKw+reOUfKGf0mKRhkaZktdHtlJQMjg9vCxwdl2 zJNfDVFujPaxqO3fw59op23S9KxEu8iJQHH1rFpocTYqUdVhT4KLaBsPCfGs2rEt01MWfFlZyPVN Jwx24zuKHt2KHRX6vIUxUdzEumUuThkxUBv6CxD67X2pDy6S6yUs2+JpTQbDDMWdpzjwminDnLpz 0a6IUh5ose8kAdGh9+KmwpQWSZ1l31FtY9ipPsbPCsGY0/r0T8IjryRA9Mrnu2reeWlUN9BcX6Sn 00n/hanpTkQ+FOT/NCVrFZOMKqKbZ9do66lLPqrqY2XNJNseyC9nJostH0mS5vUozZXNpNSTV3KN noYWx2VWew0X6DnMY8W0NG+38IRV6PYtFcJGvbdTLGv4inQJsDdLglh/yGgXtQdWzdDzC/5SZMwP r1nqAkS/Pu6ZrX6dq1amdgBDl9G59xHc+OKWLzHDCF6yNKSxTc0ScU9tL0hH081hftyXznj86XSf IhQ8wEl2n3Mg+zlneERtGJ7jGYWp+TeITmSdccjKbiS0fMKTEco8BJvd+TP0xhseG1giBsqpTyjx g4u9k3cZPrKEUr4gCfa9cleQByJ1no5jwwHiaYG8OL7/pAiX39IVt47JvgZx6iZJxbfZpxQ+sZuW WW9gatN9i46djhlRutej2Tkus685Ozht3mFlCLP/gajlaParrN6RRlADPoOzwChtptFm1gou9vX1 WDPXJWX5SfqlScpIm5VlPbdFcZupmNAB/mofHlD5lu7C5iJb3drHB1+gKO0kuuYmSQtEVvc5SVBj FeqoxY8PceCd0vqswSrEQAAQr7QAMGM/j5CGNnPsZMkOGoYI4MooKj83Gel/FdFo3+Uxr+m8htGf 2YFrkEjmySusJN2sUFwoOwN4q1kP7bX+4idzng5DA2ujMJ6lE9pqIa8PuEsVv/N8HqjyJQN0GzIc GOmBO6gfgudsqqkIifvw3HD2LIGRfZTOSl3MBxIxkrUzZCKwcYvkO8dBvmByHPGcawN3U5qQBQLi 1E3zbrEaAatA1mnhXmkKtSnj/HddrcWiBlR6Od63S0ZF+NdQIjcVVKd4l8+I9yJl27ro7mRARvtB +XtMru+yfg6eX6hlO+Dn/WzwmO4z8IO2+c+jZqzfn1yFj5r2++X4rya5tAznkVR5ulUHPOeb1/d+ bcqKeCaolCmR6RsbOKJhTx/qI7VztW3iZEUV2+8mT8BhrZaRWS2y5mNINGB+y7pAOxKhdNacW3ef pH3wWXZ0oXDR5GDU6eZe/MEUz5FE8AMbGvocrX4n3LJ9TJLzYmhhGIgN8A3teD7K7Npc2F5lhs2n n2xq96k3Gd1JhF/Y4xlfgsQGMDe/FrZhgsVsFt+Axwq6BVOW47uwShfUQNmIGVTEyrfTCtDqgiv9 jV5skvxKchePOUMkr4VNAwVDaoiZtn6Eim2XdtzU/oR3pqTicBm0wCYZ+rCPGvzpPSWLr+kwJwdO UM6WCZSioGSUEW27IIo6tKq+GpJCxxUFNYxwaAuupcWbK/4PsymUV0Q9jT0okittrbbTy16tPaSN 1s055WX4BEE0Hh4OcKYmmxQCouF3UbljPynvult+UQF7EkKiGQqM7qD19wkeu1m1WC/P66NP1Q1C n9nA2NpCAi9cTzVVQtKEPPOfj+XqnjWa8Cg3ZLecLJe4piy/Z0OPzUieuKGwKKBDBW8L0YQXBXEk b9xUxNCK6QX3xab+IzYXFEfhW1JpP2I0A4gcBJDBKeXli8/IHQ7s/9C5NilBT+2K4cOF+7S6anPX viyrnBaCEXwrmwvcFfh+oiKN2GwpCi2vBCXNPZGLKm1DBOo6YM4DBJtUdMdNiwn4hdLz4UT0FzFz 4CcRE5ux2QPZfsXFF7TTng/+J0dehG0CdcqkWbSCEdeRnf1xGtwOJ46a0Sriw5Rm+8b3FQX9YoTd +dkqHbIEGxhFN2LzsRzuJ9Hf4Xk/TniBDK6xdthY7wIOkHxR/v4Wi4Dcekon86Mxox4nRsjEx3mn 4EOilAMS8nKkA8pdK+j5gWdQnHhKZ8hRn3mN/YDrlgzUe849vcz5jcW8mHWoBQJ6nZUFdI87aG4U kfsmHzm7Sz+1oV8yUhRqtM1Jq1MM7iyyixWNNpcoyvpsJCzwUlmS5Ht2kAbyZHmhq1r2BaQ2J0Oh X6lu7R7j0y1U9QSOMuMRczf5XQQGAU8v+5dufyaa3ACnAHh2JBxaq1c6nRNI56hxP3AeZFCZEIME o1JFwS11F9y2srpY/UDDyHFIw7dCXYO78uq5QJX9rR0LuZ2PR3U9+9z5uz6noMxpoQWHBP0MZFvC jldqnRUgu0aNk/VzepnMtQxFL3+sq4gbBnSX3/AF9pNLKD+beBg6pmYPbPzaaySQ3BBndvYnSDiC kz7/WZWYL6KMfHnneJaxG6uyQyS84l8eDmFdJAf0YC9CETm8iLHzVqmHgFx1dNWcFd8viwz8iTwW zwWhlg5FAXGTbJ9MSytQzWlN9SNLDtWEQHK6YXv1kF/x6BVdR1nFoHOm+wcrM1uufZAFT9lZSNFs NSSe9JfTm8RnM9M4UAkp0kbD88sfcJDVIA5EkcSDsECWMMjkKiX/u6SXCSzmB9m/vr1DjepBM5AG a1pfV11aSTTSQ/g1WjfzYTgdscj/1DvQZaCZYoirrAbLRAZW3jtsdsJhlDoCoq3VknFjC71JAkoW ipjUvZJ+VhaaDq79Uyu1nVqImbQl/EoIL92zBSEO8Yn3x33ejYksOz9KskUDON5of8SKECKK4eR1 jK7Ea+6WqwWfZ1m7HJCeJ/tfs/rnRZuG01MLOOznJH7BbdgP9DG5q1u8c4+AItrLkvgMIAdmD+6h VCUDxM24njYv2TZkb1RYpApu+x+YRZPmk7e/iYXbTlR5+IS8+Oan3Ehlk21R9/c2UN3bLqegsfDV p/1ySsSDAqKf1V+BasEnfb6j7o7E06FZKASOAczq14Zlh+ibA0h5rHFZyeJhOIPc11t3RiYJDUXs cgOTjUJ61+yBAgATzungHdgZgqLHHocbE8dJN3lGx4GYOGcjf7d6Vri7dnSv6sXZAVpO0xelOYOu rJ2xjJqCGOANvTGWen46K5D8MYnxxIADWJS2i+6giOf+DBZwmZbhZ3k9alCOo4LIHybwnGMQiaPf u1yautR/OnEVHgI95ySEH7SvLJuENQbhgAQ61ZFPAz1d2nzKmnxEexK+5Jj/Tq0OPD8dIjzXSgrt JxocNuOpVjK6KPwfF8FC8cPbjkgfSq9fQpdUkzeVLPWY4wW2AngJAQxMswDqgAo7lIDVnwco6vUU PwrtFR6d+vzKERbmde0vQU8DqGPAf2h/hdqmmD9OGvxaHJ8b7k4AiaOONI5bIMZW3uX87EokpbGE O1SonR5JjweeXKRWlV2i3NhvtmQToVEexR1XBI4zmVCWhb6JkusKch4gmQ/5Qa6rosef9UoIO3o/ pjUNbidB9zDUJ73jfYDUWATj4ULLGDKG4ViG16OegHFMrZCtsc4yE3pDBiI7w0XyXfH1tyPK8/GC OKa80Hj5qaTSHB1m1nbPkjf9EZrHXTXRFPciamkZxRsrFyERUjPoFgDa1UKuvP8RQaS5gzGWemHq aktJaWeXo1NAK+ujiOCpqp6rJP9HSrQltxeSRH9tHPMmV5JZhydTuTiUBykCPnv4vsdZPg1SD40O eyU+S5zEUmD4bHm95WKK9Xcst+i1fZM/SSHrxXIqUKxyq5hqrd4Axk+SV1DBfcRFimdQgX8VTITY 1kYqhzr1pAnNbZvz/MXi7cCPPZCCaKiFDN5FTFBqk7hY5jtz6CRut63dIOKs6Y88hASd+6/yrZni q8hfNAO+dOvbgobw0t7s3DiTY7qZVZPG6TNww8Kdn1p/mPTF5OmSiGv3ao6SI5AXB8UvhaTmFVtO GupobYs0gtbkOPVZs+6a5+UdcRbG7r9JHaXjdpE1M91TpNqO7IqZuiNEaUikOMx2Gs0j4WJEmFw3 mlSeGChJlMDhNR5avP5/hOa/ytUx39oNW8C61vbvrZ1MXkVB5HE42y/1+zjHOduGm2RsyDI/p/EU 8Zqr4F7WD3284d8NnAhMDT9yL7NGLc5DwOAcLp5KdzZW9JSjekCzEwXdqqHG6V1EU05Cq/I0JjBe /APC6bX8Y5CLjpHk5x+i5ihFhVKQ0u8RWhUWdWtjPYX5wTa8ekqdrE8x/SOfgvrXYPbdhIvJmL7b 6QP41Wva7pYSgBxTt3VTE4fzfzPae1qxcGmEGE5qdM5qoaf+Dq9v7UO7pVUYBvm9MLV2ZRaPQ03d Zs+L4yXwMSLPki8ie3pkCWLYvRVbnPSfMyuBvGpUf1AympDy1F11+XlupMxjgNx9xWL1xZRi3Mm4 1KfSL/opNw6DhDq/5ZknjrlsY3k+D8MzYnVQSt9gnFGhnkLnrecfqL91li/p0P0Q9AS1pGS8CLXy uOo7wbAndhVtJ1BbfsTnsSoLGKxvAjvaydn7HS9Fg9LYduF7EUNkLUSNxbhuPr5Ex2Ni5y3HwVX6 Sr1hGV3JM9+SqlyqRNKXQaqVGezivfqmhjOcJ0j1qcTWe5rO9flefnmlZFnuPIWxEzpnvoYmxm4e TQSCGsVjrMC16frfNUKB+m9Nn5BVPhLPVJAwU7nC1mHS+MB7vzuIxUZQNy/0+83DL0ryjtGMNC9j Q3j+qfxJNTxLmNPFGHRZ4s47bZ08WwFXPMca+ON5BQ33ZqPG0hsKYQ0RSVlqeTyDQG1EgHmhoM1V pKsgUw6BSV76JpWnGu4EHyofnHpYa1/JzVdBQXhy+yrnUAcj3+UxWQLuu0+1UD0gpF1shya0VJ3H zQv3UFzekGyK1XHGjTthS1HB7oPTzKAz6L7bWtOBHEn2JTX2eVkXYCwn7/bsH6xMjO7fFP/kQ83s 8dNL1Y6fGshtdvSyDsXg2xJyvHbnuN++Jqapeoni/qAIB8hLtx5j1B/aiHBrISjW0FnRTTgYGDnu OI1qsuQY0TEv65v9czPh4jQIhSaYP9XyFF+BZ5OTzLCeu12OgodLpQZBW3aeNRvFmZcya4CGtARV Tl4oMwhm4P/Zgot/mBy5yoEwIsaJny/uI9aSUg3cOMs4mXBtmE7MmkOCJ08479Yr+BsFUaWEq0j+ 9kN8bBGvAZnM32WYaSkc7LijZiTX4hLy2G4If3y+UdpbkSty7I0gdTzIAtOYfxPH4a1TnsDcwx9U QKei85QGKSDiDNgO471Sistp35CVi+zeDgQyiDq+7vssB1a7TMKKV+XvuPJgTg2jB92HaVdv4DtH i6yiMDsRi9SytO/kvQIISZ862mPEa1FSxCMcGnCooV7EWEqCYDlFFo0WwWEgkoaJ4NqPV8VBRE7S qG9eAKHYqCJufSlrst7d1e3MSl6ZDInmDwZvOQWpaMCxDO5ZVcRu49E/b3xUvOaMHjONOMC5TVyl Se/4COJ04akKJ92J9zss49Ib/6R57iTiy7d1mXsu6+z3F0tAys6tOKrBvkVPIaJtFvL9sojXTKBK 15lnwaYe4nhunHZ13k5blfAYLqhT8UdOEZMQ7yBII8mH6Hcw+aXtuXnqC5CftXDevlS6V6qTolZc 9k8JnU1S4n00XZkwCKnDT/JC/2PWMnA8v15botMohluW9rcY33elKhuoNJ/BWpb89tusIMNSPMRY iTXKXD+PQ6FIYQYEacoPtxSaumZTUzozjOiFcnpFro67U7LLNGjtgHZbAlf2P7oimC2QvhVxMQnX c6/SvlBmjx/Bd6hLHE0oP5h3anHP99NRR76WnAc6LwGRZ7Zeq1liLbpl8yQ0sE8NX5NZQiHhpHj3 8v2RB6dqoONgbRxu0EoUQjmeVMq8upe6fwbl62ZwdpZVywshl9jw4T3RZwN1gjZS5aupiSK4KUrN 9ok6gp9l3N31DjUSk2LPGNL6KxojASCPm+zBndCvJobyzVjctQykFr00tBh/f4LaSGQvt5KKmRQv 8+hSFlJtgRNCU69fxcau4Pf083jI8Mfs+fZLJxoiUm2/EDy+1XAJT+lg4fopLQyzE5WHt5zPTxhN mZK3CPnVmflekOgZpsdjhsqwmmtKettjdcTmDc8t1cgnZzq6K02kJ1XbQfM0lvUWH8GCaxUZebCD NDNUOUp3nLf9DjXj1vjCq8sgtzXAR2kRnHbIrthj7rF4AkCYAgiiHhiUSwItYOoN8Yb3zdn818ER ozqTa0VHzxe8YGQbjCl7lL3RXw8G4xNau2h7+lUEfpOGvSWx09x7/cjHOnJxdFMlowS9IOV6WksS 29OxkG8zLqP5HH3xGzoh6PGHd0CmuLkOmGcdvndoOBfG68RuMMQ1NNroxT4hT3GmywhlFfKqnA/1 4GDXa2cLIx8ZY0rpj5Gbo3IyIv4K4zvD7vBPB/u+kmn7NEYuXyOE4pL3CeDbriA/P5boHIyLkxvt 9fO01XKxcq9CSfLivc9il0gp8dn9HIrnHh3OaXViQgx403qr/+Kcfkd/BeqQUCvF9GzSyucYCGG3 wo1z5deFrSsoxM5YARts90LV2DjuSb6YDOo8Cjx0jsjIuQKTx9SykfTy1fnqXmp49a8IwYZwhC5N aMUXl8SqVIQSXh4IihRSSgqb4aQSjT4Rq57EWTacdVp2LAN3AjWErbnbuOOWkcC7XoeWrd4EmDdP RkbMGctOZIXJ6o9Tp/qJt7VTvfz90sM+0k6aOwJ0GoQq6HmVWVKO5vHJoYnlsw26Wh35BQxvxGFL T+AP2nUjfiBqLSnxXeOM+Nu1OnlEF8BuAXB8l7E8j3Rbrhn+2fcXqBrRos9lPNGFtYd1Gp+NyL5z 5l/jqSQa1PwwwE62kJwfHn8ogfyxMN+j3iQe8C00+FmhvOc6tffruXd5rrtxMkpGI7m4Q890FyDE fmxC+jJwQvae6J+ADMAxQFgS7xqnX7go3WNnH19pOTndHj7xpK7IqTwVURHeTvBWWytjjMOJrmg+ ZSyMBjtQS5N6cJyMYu/rTBAr2qwChVXQcUcln+I+meMAu0ZFMwD88l1y8wOnkzJ4gqYRypXLB97j ZI4hCGif40dXssrlAPHWmRajInXsfx5QnoVlunl7r92mnEIWj0yDLmvC9Y89VAKB3lUff67Z/E6h 4IITiVPCiAc/E4l1bCzcq6ShmADJctvWL22wcc54kYF8+b6ZUtVAzHeAkoS7Xv/YlH+dOc/zpYXj eNG8+qG7NGEe96vUQMWXNvGicqoVdw4ZbGIrLUVRgq9M9HPMluJ4FRL+B0wIdp3a84dQTtiZDS/7 k2y6T03JMXRxuez9hBMnqiochbqdmTC4nWIHKZEV+Js6+caFBM6xm8lhX5jCXHmTtBf4t4OCTW94 XRfTvOywwJ2/4+UJVJhFJ3IJW2kcNuIgyBz+esT40aeUQU8uriDTouCnG1p3kpTrakByugL/c3+n NA61I8LtLtiyNxR2Q5nfjhqe9yUt8ewAftmy7VXNZKIs6paB8EtxrYxCEzbh856C/R6P0h057CY+ R8O9rmO5HzB35TZhZe9QoOR9hB06RPWziqQJX3yP3x5UZgNBIDvfFa6z5vuri/4xukeyj1vGmTu+ /kOlPNCy1vf1vfdmZKkYA1znDAYF+eiWD13bkKdoj+awudirGgRlk1jiPWfE3aKhSwTh4RWltbCY 3mWWoPIb+tJEaSmlcEtX57lwJ35CPfgMmgs8B22OuPbZHpEcWm8lUz36dExNVOiI7BmDuKHk8rWJ bt9An8HF2uv5yq5sBrEd3xdc95KoJPJ5iA7JSdDykVZmL5T+RQzNrS8DDCAV7HfKzcSzoHwLdY1p hGnlMkyBXRNjiWUNMP3jr2GDGzUhJMocoTO6mZ+9D29AAReqA04U02DfEzK7Kow0EysbKG5Fk5yN Vo3EtOjCkddeC0BwHB4jLIQ5fALmQduRVCqWTWQbHdbIzd5DgetzPRHcxc12ghoa589Nsq4I/BYl c2WkhgEIV3KIIT/KQcF7rUCc0PbbkRYOqUuVtdftTrIN0NhyKFo+8knfoN3tMSpjmK5GjXqKZe1M aABYZ/lDU0OazSlHilU5inCfusIf4tqopqlF5SSa3gtisxQq1VjBCuWmNQy9RclvvFwNshYS/4Dh Ms8YQquDID/JKKYiYgT8fB1qaKHy4lW5qa9iQEW5HxtZF3Ta00sJUjU7eJ+cNUJzL8WGiy31+QCv ZGpwbj+5XSYHMglemtXbGfaKcFnD2pAetFudB52P8FHlLOwbSdtehSl8ln/NPzDj8keC+CBaEnmA apv68dTBdyrgpGqImyGx86kqCm9591xzp57selVO3O/SxUZoNyOlwHr1l0ezmWlR5uHV966y9UPv fBk5CPbFNOJowezXtnRxIMglhcZsTBSj1fRuNCzOUtgxIwSZNZkuUoh07QhrV8KMgKJ7ZmSqh6Hr 7leWjTZBZIxtVm66Ivm/aL9Gu/sl73JkJ5VDQ0cd6iUwQnLpgOWRJ5VY9UnhiE4XbRZuv+TAqWr2 DScG/dkVHNwXKeT+iTM250FKyxDs/QUKKtSQ3XrZOb9NMHYj32CDLz+cRNTYSxXBwDiKY27qpx3z OiPrUTk4tv2Efy2ImnBiPWNK4Z6eWD615bSXLh8xniHxV/z3wRdYUJtbsnPW233NBFDNca6OuHGQ qosK8nlGN9zacw5NPuUoFG+XgdOkTpyVCLnVTAM6Xh4PD4UHDWuY2crGCDujlCQM4Cqtsz7slPx4 riVV6qqNeQ0PfIeAQB0rfm0ykVLLslBWvmDIMGRah1NWx13od/FyDXnog3gSEngu1IyEimHJNIyp bK0b/2YFjynPBDSgRE8kHbULJ4f+RPsdgwesRFNvGHU5c0LMM4Wky23JkjVFgimrX5OFo3fPYyci MRJcvA6TmdRSKBpj+cwIRigB/qSwqTG9dqHzYLIS86FyRWzGCXTQBfw7QuLcdZp7UFYegB9fp8Ov 0h7zfZ99fpps36ug00/aKErRVhEFG2Yovbyopzw7IoFXlPoEDGgMF9fAFYdTV5kcEyzpz49BkhoV 9uVR1577uGD8rp590GxwZXwJcLneIEGYU/KQfMW6pazGfMQPW+fwZPN8N7VsyP0AdnS29b/4eYiP 3rbV7+evv0QkSQ+6B3Q2YXULigCTU4oUmyQP/KNsb7b0HsfDptXvl3UxpeNvYJeUV/Yw8z6TLUyr kIjVJO3UsN9AvRydWeLLRwSGD4uCPcTsFQ4OOM2OFs7VOfdHkzZsAna0d+zJmv/mAhiH/k78/h3Y g2N4nRdqsGBaPmT6Jj5XuJ7/JUEfneX+EtcWRceNfDVJVNnnkjROGtXwhQcWO/mxpT2YoJSrHcmb 81VxMeqC3wcHqBmQusbQ83hDDSf71sbqHM3rMR1LWKaSYjJq5uENZnY3k3ZT0lRj5vmL7ELo+RuD 0R5kbf1qwHMVBuFPBZB2YUFsVCLxhoiAvCnSfVmxjiQuR2wL+s4rQre99WL2WiRFMaIOEAKhxyTn 2p6ndASHN5a6CfrE0QPg3pm626TRDW0truhNFkZr0/wK7RWr9yDwEh2q4QlgvfpOMLbxGQpSjbAb m1RVwqqTYxjqlYBa7vorPFOCGSfNnLRnkkUbmD4ER7AWRq7Vns9h6Waa3VHS2cEdoHcqMrnIdOKN /6an8fyNeYfxbxaGRpocJT0L+vbI0V/fccN2KNBevOlMyGmiVRzz5k2RZWfEZM6pLudLF01lt8hq FUJocj16NGU22Apnv4k4wfBh0LY/+uM3ECBbsB9Vql4HZAh6o0I2x0AyKifD1ynqT0s2UCxdunDz TcDfSuQwpGiKaQafSbvqe99Z4+IKLrJi0IlyB+HWywjYXh6/dnTWcb6+jX780hnTOwBBzjQQxqoU MxAv112u8tuiFnwe4xcMXEnxOaM8vCAiwW4/mD2gPCufTxrskxkQ6xNukoeJIlY8oL7J/Eihc3J0 iZtkG1VtueXZVK06zsSzHb8dl5iwoTmcdEg3pbZt6g4Y4A4LaCqeWudQ4futJa/qiF/pGxw/VKg9 6FeAqqlcRnN3aUcbHp9+paf9xHAuVSZu9YOaMxzn8+eigA6p0hOVY+CLnAcFaFC7TeNnGt99JiRM gJ1iTfS0oE3le172U37KYATQhcDl7dDHo1m9jFcNIau7gLXLfDk1JTRga8Okol20/86rRRfdVbY+ zLjarmoSBBg56Feb//yvEwmGa1iZkFj7AoQUB6LCVqz2H7zZN5Q7gF8HoKU2kIUgJl6Q+o/oD8d/ ixIXG5fMnu9HkbnUiVTgxz0LXXvmdJZ8kwiEEGlmI28H6yiKnIN/AE5zlqofy5Zq/aXvafTFX5Vz Fl2++78n+hBkxM7A5CI0nda9wBt/G6dCQpCng+S7nrYZtpdi0wh18p09LWfSqx1PM5cufbi3W0Ty YIdntFSWMXX+2SGQ502QEPAJVsa4hJesGgPsMTpu8jAPyRNoQRG9KyXz30B1m4EAWtBlO+VGuOST gdHOdZRWr0WqhB3Gx+fqCUcIkD+NuF5fJxzzLQk2/D/kYEK4eugP0/qyj/wYKBLSkZR/K/CmKRKX Cjwp0f06fOOCTRpIhXPDmh2WZ8A1gjnGkDJYasXYaJ4+d0rYXbaWBgrySz27V+EEsNOXrrO0FRhW gzKRI8ZKLRuwwZt16Aeg2W/FrYfaN1llU48lG8GZqOBcYF0uR45DRZaHl+LVkTptb0+hW/Vr/o1B jdY7sWFRC14qPKUZlCf2UodxIaN2u/Ox7WdpUjsWnQweSRH9bL6N4xbnJBXx+IOa2CFW8EvnmSY6 w+xJWB8l/zV00pB2DaoYLKMELwbpXE3OCCz3wlGm7R24EY3yKziqQ2dwdDuI8SR+rF/rzBZdvvAz hw4F7ubWqigo/Fm8o6X9UZY3cs44Rk2pg8ofCAGeAbqTCpBIG28ECLFid0/LWNMuONqo0f8ETS2h UC2CGmW2K/MrC+nFLJ8BhtV9ALP3jKyGLSoRIS1SXb+HJhSMNEC4xZIbV7oQUvSZb4C9hiC3p334 Kuw0PB230EfHoYQZEmnMDL2gscMp6APitI15QZ+pdS+xPjw5InFN9OWG4PmfWsOo9RGJIc23cGju coMdMKpOAcLKx8qPhomx6rdiNU0D3xv+6kjkV2VwYxlKNI8PHkhboYiQTv+LV6FyvVXUirXP3Sax Pq7mRLlKMgM9PHddW++ZCyjt78BnfMe7GlbEb/WYTs2AMcmcm/Cdf278B/R63MDUv8vFRPb53vUe CzmgGmFxotG1WVLDJEkMSte+UKkP6UIXIPxD6U+8MRPpRgSHILtKsai2jVZtPXF66TtNsU+TbB2O MF6h/r1JtKGwAoe/CPb7Z0ccr6Vzm/ocrUc9Rd/AyTCINQzA1WJSCLgUuQKDKq6Zqv+ctogjGjcQ h7uuJFbTiNyeF8E/kISITmontc/nh0RRgIEni3n9SKusV9iHTaXVIQeQJmhYjlCwcI8u7x6GSJBL WErhS2hVwDVooVGqmLlFkyvnBZEfFx9iQujbUxLfUmumc1sB0EXCzVqAk02iLzapQY8Kv9TElRid YR4Pxq4uyn38UQCaNV0mCXp3mrjxIpCW6NIgsaqnvyEikk7lWy8B9nWgnTfj1jFkVDEuUmDjZIzM kDhFzd0ImEqQz6N8ksHO+gK0kp6+Po0X7N0bLDMjH33MNkPuPfLUPpam9KT6zhPxNkCUfmJN+ju6 iUG6dOJL2v38xksLK/6Sx0WtgnE/xxsSliSumVnJLGL/+wvwvbve9ozSyM2+Y90UoSpUoanvDK09 VKlis9ivB85QkNsiGPPjWM27nLCWdi9gRNb9Gxn5pOOGf4Y9h2OTrIXn064ehkWMsvjFPZFFnVXb T/JQDSbMB+3s1mULoWPZxH1U3buvDd3zSIJknY+suQs7DOjz2x1WpsGiu1U3NE89QBXewgG54eyx pg07IXANC5HhfI+EHd3Czw/JRW9BJUCFHvRZ7wfe5+IwCDgx3W8aZjge3gRdlyWY4VxdAAbEiSRf ERb00/QZUXhcKwV+ol2sNDtX6eLD5pqZpr+goWRXiH3XKdon/3EHa0AkUemd48FjhiTzQ2X2kWA+ T+Fli/tuuDLaqkanXil6gedStUo6NhZ3XMdR2aKyBScUQZq1H0/JqpTQWe8hXNVxmLjLsLxZJez8 dRHd3Xi7oXk+b3oM7kuTgl06IYjZ8oyXbDkzLECMCL+0vaDD7aWgjzPnCnKydSFQzHMnUoNGUxG0 zEjyL9XaXkSk7ArDF/F2lycUzKivWCuFiiVqZqJDRRYJiUL6EM2Mfplzst/SaImxjbh6z8EuaL41 bPChAJDZNat9xUISBzvkefGambOOpYu+nSWPPv88SudLa23vGGiGmZumjK17pzkPKesiQDxZr8s8 jsImpLRlEbnOs2nnwC7EgmO9uXi4JE+Vb3B810pMNIawcd+Y0hB8Pq7IilOe3wC3avCuEIpK2WQo S0szYa7CyW7GcuuvrH2uM1yT5B1nm8iqLxxmYsaD95Ikd5A2nUox8m7NRptnYXCdLxtRybdmholu Cpzb9i3Qm95Tkrb8OqZBiw146FnpcOMFO34dcVV/ctlVdno/kZTTFbQvGRvDUJiHj+q943k8zFro 5V+abMFtjG5erEnAuM3ELHo3kfiW2kZXeKMLPaRyHaUTvKxWs5FFue+j0sRVjV9R0p36p6DIPv+1 DZXUy5DVWNtbT9/YM85U2a8VwBjS1LvULWodWffJgWDake3p6x/CQ3Mk7O+CK4UxgDPLuqhos8hz C/YEtieYjMuTTHPoGevCNG/HVo0JgM6EBRvhitttk4Mk6OiaV4NdVJiwxNCY7ro/6PEuoJy2YpKc uTm41wz9bGqGlu0+MgKX0DAeL2fz5Q+/aBqTY1vl2dlXtjSb64AzdlBlX0bDLO604GJblYTgZUja OYeSmXhKCK2XyJ93ba0SEvSZnU4wCGK2UTNzNuB3S6UPnJZU1vZjb/C0EamYLqI7NuAnJHYjgExJ 51lwyyghmE55baDS9W9hHYAJhLDCCrVDBBLZT4GfVhJbBo0zmRCAv4rpPz/+V8JtsKnPHe6LbeMG OoOUNnwT08u0DEDPYjlGSz4itoB9Js+czCAUiDLeBerwTmK97czEj8vqp7EueD4KLlsMS584jZF8 4BeU7YbwbrPe2jf+4E7+V9RkoZxMKEBlQ+8kaCP/E57GqylmYVZH5I8soiY3SP96yZctZ/W0r34o lWw41qbKaggjElcaFIaRPGdVnQBXD77vuFrHtlc4/hAC5dsgJTR3VgSJkfZ583DwUvxCfaCS82pR OJvUqk0xMTFqLnp/s4I0xvTb87W5BsVq3ybegUZrENx9law+drHdBwUpcrBRRjDtD4jwYDcckjfa 2K5xMf1b/44D9RTr2FPrlk8FJ//ScLtLoKzHZ2QfoCxBR6s81Wb8spZX3HwtmCc1mjIlB0W/sQN/ pSEqQaxBYElDoaSqTjY/lS+VvpP2pUScKIJV/tlZvVl5/P9DFau6DmrT4Q331lQtaTgOEV45p7eC 99+WGfkPGCs+FUR/LNrhsDOHZupf/5EJXJhFy/tkY+OEhFtPB/HZ//nqxvhxjSzYSG0F0GQvHpP0 rPjh04T+jbfKUoKToYg9AtS51Hp1RcOLeH17RN6Rc8++6S2cKZaMkpA4QcQmvm9FmyeCfQCjlpFN SDaBkuP0CT/mZEx4k9MWUdj3I3XP7uC6HH0iepomRUicOhgXl2EQfGxD9x0uNgcXnqEABDwMLpnf Dlo3dm6C/ShBdUP+QIQZwSaE8vr7FZa+FI04FKkyWo7JdlrKY+cA/LuTJsXfeKiE+fRbrHVZtYUB ktuxv0JWquLn9uA98whMJ/1XupSVGRkey9Hv9umj6N6bJ/9KV/w3pz2f6w0AMxZBpq/8DAEEcVVr Xe4cpwSyUVLSRJMKkEfms1tzyMKz1ir05VWNcx5gqpZTR5J5mqPmnB9k8eE9VwikZ47ffRzyvSUz eMQLeAhSEqn0WiB+/dL8VazEK73658E0b52wHv1DvHwT9a+u5B+H0rlfCNGNFTbOQTIcQraZjnu+ koZy5xoUeCAxIeuq5Nhe/AJsV/tMJUB2AIY/3LkOvycXiNC38DH9s0uwyHfdPcP/yp2uBAtrJ/b0 FTCtzLOeeQPrKwZaPysAPPIxz0MmPmZ0liVmP0h0RWPnkQzR1jGD0fHUVfI9lTtV/H3amRSVvTTv DssRBC/EXMPW4nZLwZpjOLLX5/NR0yP71GuEN6tPbw2wAUAJgLTgOKApbMmoryLQB+uv3Xgi+J4H NUYM0FDOJAaaOb5n/kSy1VrqRX18WGhhMJn6MM4+bweeLrLwvShu0NtGMCPv0y1DflQWtXy5ZJ08 bUHpeqlCp2ISEjo/SZeX56MsbZS/w+TCWAPBuXKNS8uYSMQNbzIgl3yEug8+eYbO9RMU2pwGlH9T 9vTtBaoUbCJcbdhDXhfx8Q7gZ1U5yZk1QAJafNofE7QElebdaHak8Io/CQtda+cDUMMC1mpHJ2+z qmg0KZw+j2FlRzDLZOlNYWyok/wag6H3iBO8s3MjzEpW4/O3b07SNFN3sgqSkvaaJcdJfv8hpOna KbDcDnfeUiu5Ck+AhA1oKF7GeD3jfraCCzfsujZtldq4/pjY41Bdzq/4I9dPNLeaILvSVyH5pQrl j08SFrrbJL63A5pflsBYU//dwTe9Qx4UWvrLpM2bUq5yVEU+fx7KRU4pTbqnu5gtJtBiqS5Ij0rw 2j7B46WeXs+KnyCL9Tg4AvLf+pXBYsWDWYeq5Sdkl7nZkHo8nqmtno5apylk362Gv3AOzbyVgs9P Bpxpfi3EgyGihFTkzIF9lcNVBL4lU3DF8/ohXgYj/WjvtqIJItHXEF53//Sg0QQ09rdOojokQRSx zp1AVmCr0h/zkgZIsFeGgRQ7Kj4gtOTEEapLxnYiNBlqOQL5HPLec+qfIfUbN7PiFsF4++3Rit/c minwlktpsV3n5zA8QGNwrTnAU/O3NrhZAKMY8SiXnwpIE4/G6VGwWGBlmZCIbFCKukWj4RQG49UE Yl8y6twFn+wLm3pt4NvyOE6+e8iMo7Q4YdmbJeb8C3HLxB9PJffm4rkbD2D8B411g2i3JpLZfn4i CuiyfPa5FldPs6jF8SDrBswZpodKsFUgHTwG4VtRbuQYGeJ2KhAL4ePKCwcPQ0Bchtg2OAaPAIHW 0X73WBQ7iDuVInzRmxX9LN3koS+G8pXHkt8n2K1o6fv/p3mexDg/L/oZL/1OKf7kX2pzchgCnsPj 2S4W3vBcJRrfGT3UUyzU/xPYTfAruDqXrj00DWiJLL34Z3paRp+xPYkpI3sj5S8XV+CxXudr39Np /PulNW91MznqlrSSto18ldSZnZ/GuhY17y1iq/lop+UrJe9VBSiAMcfIcw+j8Or7njc5nhscUMUJ K2e6lBfCF2+3nv+5a4rMdZ2VVsKbvei1ozIcXHoWce0fYcACcz4/KXJNpdcSZl0/UKYMWtaGZMLq qmSd7UaoA3cn5VC6+VIBdgmZQ20gj3xxTCjfy0gbKmjYeoNKZC5Jd+6pw1HUExcsKLkhj8Zj4VLz jWkJMz9jU6fdXHqRCM5T6tD4ptXnEnVK1UbMD8wk6WcTPli8CtJDuts1A9rNrgJ41U+8ZBTBZ/U1 kl8aJ2pKDBUZCxgvL9EFuDagREyUcGQ7QXx+JESYI2gqXHPmaNEiOuF6r6SGrLTdvNK3N+YM4Jm3 FQ4BKz4gi9DlrwxegtfrEjHwZJ4FIIuGk4QScuxQoU6mjX4k6OiPi/CZ4hWg68cnzt+LePEZpydA nQ3qZwwf5AkSbXE+NDPS9icEZ6UZjp4fGuHQBgiSLzi6lrA+RMVR7Yf3OmywMn9Uwhq0gLssY5TX XYimP0bMl+mnaVBElnlgCJe5sGj6P+2g4nZylfZ4HBzWcWNHw7yTb/Tkq8TYunkbyYiwOmWySa43 Fp4r3fht+pEvNqiHtWF3AAwtG0QP6v/yVywy+hKSaYMoDdmHSLKMQqVgi4vCs9lDENzX/Y2txpHT sWMiYh6YGcxoZ+5eGt5SWF6JnJ0zmyiPt9qIX7DzOTPjSDh+d5LERbdl8HNvmSw/7BtSyoFzyuXP jZD3ZLKLs4irdqiOLINiWf6YGz1EQhXwdUVP7abOVjVO94fuKvY0PBzap4U64oTgN8tfc2WVRCjs xgTGKSWveAwu9t21Bb0ypudHyelHvb0q/dfByUGzNa4dy+1eQ/WRzrlKQcIYNUCaJcvLJMGl2ogp S3UGHeXaMckjup3bntVZ/6jF7awijFpMiYssBvurhxuoz+/h3E5XK5+1O762pTVk4b+YqygDQjxv oZicZQYrfB/1xAVHJCFqicraQHzmLtWPKt0hxQyxUljSjL6sJxo9e21gY+qBGvsDmBA/Jodcb2eg cdlHqhHfgXK74FTgE7UXg4F5Qab0r1gfy5SNsXMSzih5ci1HFS9zinnPlVWu2HVmcFVqQ1iAQTOr in6fNCzARUd/Uvj1r67gXOi8lY3ygqUsSKD9hFqCvaLTALp0sko46FpTRHhxP8vzMRlzrBbNgjC6 nPv66NeZ+fiwTeSgpthrmY4AzcfUcE06zAjaAksOxf5vCNkyxWqbFqZ1NHUtrFrHMlfKYMR6MYI6 gGloIr2jad1to1FhEWkKPFByK4wSE0w2vhKZhhgYAYYdSiYXFYvnDAs8KdYuBROn3IbzTOjb4DzJ mI2sQj4khXSz4YQj0KvwP6+BDR/kwm7fG2qSvoxZU4xNsuKzyu3TmABa+dUfqnVsF1cFRu5QOiAC /0PTq/g3pPEKbU7P7bWWl6C+X0CDVPH7kWMItaYso4qjkhPzpjqSHKX46qYNTbNDbwV3PTzWjUN5 TmDLYh8j5ZRCwTnG2KsS6UArEhVFJjfgkextIDOw3wMV5YvW/kGAyNfmdRONVse25XxN++Lv2Cma qt0OPjy7oYGJe425YpFcdzF7ixl8AZtwY8pSspkV6me2P1v4IAMOOjsIUwRzGDTbTHO1bryDVpsQ kCda+VN7H13avNrS+wCMPWXs8F/ZBS6DEazVLUVu9Y4QIg68FviVWBHB8ymn7h6AOk9IuOs4C1Dw bZfE0f7mufUT4LU2ynnawt2GgrCdJ+kjKBDwxUTgZ3S2CeiZZ2af8/ePclR3q86jQBwf65cgI6Om InsWE1FiEQOu0Uf/1PbRKKn7fuqIuix7+WteCMe3WJSmQu37iZLhTls4J+vqTgA/sw27ic/cce7j Ek5mNGs6kjRUpDjWf2OnJQog+EKwk9KhZh/gtrsgo4yx2IF6/HOL+HMEuumWd9jusa3ZSl++f1Pv G1SHuIlv09USCWfNDR1RaQdOQ+sZRy3rmnalhOWwIBxbS+QJSWVBMYE9wtGqjYRv0YLPEFZLc4bx lSag63wyXJjqHVWndYLPArVYcODF9BUPMkxJbyrcP3SukgewunVjFQkXClXC5ItAeIvkaAkeBxUG xPxRUlPFOCpNdpsrE9DtAL2Asm6ASv8ePPz624VQeEAqfW/aeXDcRti5dJo+IAhfflVZYCi+9f2b K21XFa5N79h2F65dpzcK072Tc/eX7lUJlUKE97mndt10Rj7nwspRpRTN5yKZPsPG0w3JtVeaWgAw 7ORuD72YszaDD2iyNGA5/Q3wyX6BEHwHr5WLLMP0dBZo1OTiaLbzcb31XZ8i8BD1vnsBrzhEk5/e nmX/7NKYSLW6psv7Etwqe9AX4sF9fd9BY6mHa238NQ78I1edAwP7fZb5RC3+3K/mRqlyWNOuQEtX QfSp3IpN9pM3Duy/6rZ60SAuTorvAigQluLZOZ32SluPVc8lUSRbQjiiPqxQn6xdZwQfbbpI/Ayy 0SedA8m6Mn1uacuDMbKbfV6GBfhWw1OEHKRuyQhgmVUrmwWGmqDE2kj7/EqS3DGL9f7sjXFiricY a2F2wDP4NpezH/+4R4ODAfct0xTD/DE+ZtJLyX9gpf/sa0fsla95QTRt9X95/Kq4nUP96HLAdYiX lGEMqcPqDzBeF9PFEJjDeP7288xC4uKs3XTSnhrCwP6GTU55SNbwjbSLFUniC3FR+m75eXy+QZnf SVAHt0TFNCJmr6h59UIUdE3YdnGcUcJQvhYbbWZGxyWSUn7uJEKQZQmri37Obx+4PMPvwDztVVR4 1PwPwR/anviEKhh2EV8FRmV0eFh/w+P49E1EzpKj6AkHf/AGTiuneYmzGQmU1jYxy1JANi/gF1jz CI/A+EwZknnIlaMWr9K2xOXJKH9hit5S7EIygLGtgA1vw+Tb8JdbQVwXT8CLYqHEP2XotqDg1+Wk 7Mmjgsrhgu5x6UIK0n1v5Ik20qYvwOmX3NTcwE5zxXo1pWs9fiOtg8+tS8YU9Masp0fqzjhbqksv rW+Sdeed2U9aeGbsTDDVNJ+78mFbYAxmaZwPnG17XyL/LKdbPeWyWrKIlK5FBfrRxduoc40QTJiZ S4m7OvsP/Y0ag7VX4mIU5ft3qVX7c7vVG0gj2IQrtiV3jC0WWFTDSQPFse7N1D5pXgkeSvCVXdHF 9UqYnFI+2600IXLrsqPb/Zz7nqUckqhZvBMmlMfJX79UziFDo+k6kGOKfRaEOgE952iZq3StzxwW g39VWyOE0peo/FMYq7cn+EXFdAgiiwA8fq+VMeUHNorO4TuNEeJHzlfm9VqipU5mmPizIjS/8kZp WH4otR4Vq/yWlycZo0NTeK+jXUULh3YORp1sO5MpXdihfc913IcBFYC8h13F8rgB405kb+msdTn1 yM6xeMDWDE2sWbsVMgEXZRQN97aM1cdz6esbquq8+bMIghWlH+LiKID1QgtwfSPKNADHXrIe39JC wB4lh6jvzj6hVZBCLW8iMYK7xF8bq6QEIkR6d3KRh4RPmATf3wJrxAvwsrft+iegaWtOzKgaBaHs yVl+hj1crUpt21knN41TQy4Jl9GsX1Mv3uaXwR5/CxF0s/pvxASMnorPU7TfxFzxiVvjfzuKnzpZ Z3seR/Lf76u5d9Vg1aQHe7i8zPMD44OPYFonleitxkJmt0nY3aO1F6y6JnawQGODi2pw06hSOZUr Hv9Se8KyFz06M4ZgiahRM4UAVOK+gvWg21MB3xmk2bh85IiXuYFSMUL+q1bWjI5/3UeLisIEOjN8 rohZ7AuXmfQDo/u46m8ZmmUEIjwVw9U9LVAPBqtZlxGbzDvpGcZ4R0Ri5gbwYvFqoG7Z0C980mNC 7VfrwZ9349Xuxz92uTIitbVVN5FE88W+eyOyQvLPrlPJ9zzz2K70unVCNeEH1ghai/TH0513mfme nFqkx6zPc0Sts8pSkE4u2c1J9hf3t7Cm3fgmDEPoy5Z3iqv0OVrghX1x5l865Ry5tgbT0eEsNUmK UykJxkvQRtyvOFtmhpCpBpbXrrc5XJtXFJoG5AFhtBP7hV43s7n57uZPIvFeEHvskumUUjcvnyFn b79QJsTZerNZvgQ95vjkt9hyiHwGPb91WIjeilYejq5+mX9AIvS54vjMHqkesysJC6s/hB8bHfD2 bbctq5Q3mZETsBgvq28nN6MHAUs8Yq452OYXUxpbZov5nYHG85rreBfrUHfJeUAiKqQuSbJ4kSLD 79+llqtNxDBrsd3s4Qpj6/lQjXUfLdv6tgPCZ0ffKKyQgm6X64C2Y5Xb7/Qz0+uCfPavBYQVH+Du NbEh6bsRi9BpBaziiHOuMLD7uzL1CGGGwB1bIcH+73HvJUx3cpIB9pOIRMBcB/8ETQJv5ABL5PPA TSk9IGIZPjziUm5JbN1JlRwpkLMtsWF+yP86UuM/iD9X+C9yMpAFohhbvvvSh205PagNcp+QQmyi Vzw3Ete972DqNh3Ss1doLxSCgaSnpo5FRz7ZhcMfMSnaUYD/7HPPqCB/tkSn7AcX69yTjj9YNwpe Swg4nfb84DcY3svF2VBPTjR8dSyzXDc/oE6cuoGFuUQlxlz7Oq5JFQFIdOK2dL0bv7hTJR8NZgne 7PYDpF2OQYWhq4elBc1UUlDhCQzm7LpGXMOuRn8y+sRePTMoC3TwN2EA2eDb3x4oAkLfZyWVTxM2 IhQclKNpcUwcxqseAT5xMKpdm6EIfDcMSAKE0hqW+zlbmYTkNC9pauGtE7tjDHIKn8hKe+cX/5TW VJLQS3ZsqGdc9t/TeDJtG0vplJ8UKbHm8AhRPKZq8fH55k5xo+2QSH15WX8qD8aDiKq8c+lMASjE mbRkqJn9B0vPfoG6t2BBbjNy8GbanAlf+2nQ4+XV9qo5twJkoGryKL4zYVpGs6DBG72t07cIVTog EIQPPMqj6I6VUcUo212KBwb+dKLHnRCyRvgQiDW05B29zfw8pOmolpEZWC+3BRdwo62HBYGCWIKi Tn2c4O4veLscz3U960O4LHD/bC/7xNyklXJCzh1PxApiNrnCI6BZmF7OXFewaXS7d/WkIzgofg8n yQxDRKVHIjYyI2GGAHduEEC1l+1DROAmM+VCbA8X2r+E0ixYnyZpEsv9hUqjjNaplpYrjTxvTwCN K26ytWR8h1sxsota1SuMeGuWojWKc9k+rxaqtA2FoAqeJyoiAGIqV/QEEPT2wCAq0pUxUSibQHai LritFyOH7L6WEwvmELiODhhyfxL0SteNf8X0DwkLyVQObptQxRCuDuGYjZJrnThZApH85Clucjk6 GoJXjpFpxGI1UgFzPZ4xCt57UHvsKZHYiKIZ9ARKuUODaguSkGGKduOp9AaZreIDE8sBecb+hY4R ZSxs/rgxiUqbKF/2fRCaerLg99rI8MgWSj+R53144iD/RENGmaVcfEMByHYvqkKgu0Zdz3q1/CRK NZGQIkqXo4wDGhqSdGafXfkJFCwiy8u8baKr86lt6Wegpr4HHgvl73+lq9palLL6O6WqkNC6yI7M C/c2eGcpQ3JV8aQK6WoNNiII5setelIXgAFfDFyKaRAQWswmG0p68MWT/HdCuJfxNfhVzUWB6DTR 0Rn17a9gXOCfRDY9XYf1AM8FYR6rsPVzDIsaPVL/RHNZTM3y4ITBUACgJ48p4Iznky2a7rleKfhR hOHQzuSAoYQcNIWwtOzRbv0YRKDbh0/qlkoeyFbcWdI8Iz/VxuwKUg/SDnu5sZCWHfI3/9mZzgKO AWLSWWjhgMtjNu6eXlJDC4gaVOQrkFX31xLzpUVAdplAPPwkNa+yqY9pCXcVdwkt3f4tBrdQO+TP 9ktYGL8ryCFgj8HMzrcKBfvSvnhdU+zwRfEnobFI7Sg8D5oPSBQoX8Py8l4OyCVCqF0YyWV0Eb4A M2i8jVlebFv8sopoIvc54y7FTAYpsQsxByNKA0IoYgweR177IN89EiTZDzYln18ezzk/Lki0Egd2 v2Nm9vVXP7rFljhUrL/L++YgrNXQ41THddxBw0P5Eeu+yGksZBCAXnPePyUkXXNGmKXMCcTOi5rJ NFhvOzCyjh6sNYQj6+vMZiHWLBPzdG7DRrOlXrOBDgi53B+Wq4JcemDzZxZ4y2Yp/vP6YmVg7BZ9 TT7+7WLEcsF4AsieiVyT21fpv5hKovW+z6QdgGZOdEiLjCaB7ZGmgri+OyZmz7Fv1RqVOehFVSbB 3oe4IunQ4wzNGHxNjFffaYKLPeWSuNpBeuGHj60mBGYQ7YSRPiziAUD/C3l8H9hV3EtGVJWVTbId xWrmsao3axdhTcIlnjk+LQ7224VfchnHDBmm+8zlsilmBeCQMQvRcuXyA9VW8tuVcHcm5ABVlFDE kmQwMtFS9Gg90U0GvnG8GQGUXYNJuy2ag2LooxmNXX7jvqtxdnhMs+Cwcx7KFItvo+jDbPLd1HIJ MG84eORuoJYWezK1R8S7+xcLHi3SwygrIfpx4N8uZ9BDtWPF8c9cRE6jLO4li1uk3nsrt8dhnC4S jX9/7QYSvv/Hm1JbI+p114rii+NnH0Zgjnn7lVjukF85h+WibKFny5nCBfZtGVonYTqD+bZkmAVE Ec1rbRB07WoDCSHUNpeDa6KVbWtqmKg9hEpc2ucbptLc7JjsKa3aH3HSle4ynMZk4xHjBN7aF08y DZ3ux1QX454dV5iu3c0JIN8YEhRzZ3Rgmh54Aa/cXyc+w9Zv4O7+XbLEfFKumNjXx+UMpzrzMZHB T6y3LpSy9ZDJye1akQ7gR7a9wNlmmkql5R9hpoEo3sVTLryfp84FdXX/nc7+dXRs6/4JH2HElz+B CE2Tm7/7crv7xehEVOQrVls1iH7jnIh65XTVeoC1cu2ZFTMlqUDebqU7aYx7l+DYZrvJlvzZucsh uLHjmk5FTogFjvZlh/wymugpZ/WifgaiTAe092Bbf3c/s+Kqf3J4zQKi3gf78EWqoq3D1jNjdbwy evrjor9CJe6pucNsspfCxZYMifItqsx4XikS2LTim1v2oDl1v+7V41aZdWYRqcGlR4NAwNVL3VSA mnGjjulVwgc79yZxzZNdsdeM4eNtLlOHdlu1UbiWXqd6h6LUMx8syA2136keLM4tDbW+l3kex8M5 2A3/wxQFtmw8aGo9OCcUGYo6tRLkSaj4vQqUH9ZwcrznjRQ+12qcDYLO37sFUXKWnlxpwLATbPiU cRU3eSunGBg+bhqvfYF3Z+R2srBjnTJfitlJGuki5ITL6JhHKF1b5Hsc0EbWRuX4I0Xb/GpcocGq i/99AG4tep5ToM3yMagQlX+uvXsbR2z9YLNe/wPqzfyK7XfhrfzWMhIq1WShqudFHGjxSKw2YRTj Ly16ImDXJNIJuGc2KNrVx95/OYZzekYzorwiPY0VoVomp8dHrssHhSabfFQo7pJQlWSAdXhLiXZr 9GOzkZq3P5UrVEIkYRek23NkqT2S4zDF6iRYepVhxF3ERn0H7ESv80pUHBfNSj5U7XhS2nItTCNb 9nmkpgz6kFMGGff+INVBjLhv0H+PthgLc4tgKVbUAXHiVIiZfj9gBJHMWDWSMTUwc23Qpe2UZ/dK Y/Bd2k6cR1I5Rz0qC45BRZ+yAfyaqqXDMWghPc/0zIe1EWyDiWA4A6aSKpo/oRjVslVhBn1HMelx 4C5N3gXLhchAHTX6QY80M0BfIaXrKUvtVsGv0YEVK2+T2fQSjeNteamSKqRg/TFY0nN/Swb+eL7h mw2xwoPlh4GvjXu06ORa38xSmJ3xo13pdIRR1t2/viLONL6kyzlbZ2a7/wm5ueXTMSLjC6dqqapG ZHLYs849JNdqB8kTSX0y45JLKrUW6vCIooHdknRxo4BodoGvOO6e/Knn+4Nd9zHoXQw/ayZwYFg0 aOxocH41H9fN12CgRpZh/UxmZmp6GFGxyxu3r0AY3OOkfMZBRt8pi+K6dLePvCsZdy+lxEJYl5Sq RJfnhf9OY9rk4wf8NHVl7Jv2h3itqIZoE+r5KPJsxR4Dj8Jl5KlM/eY7fXOqHz/6dTBilbr0KFFh gzaHcNnugE0GmZne7G0OhkL6EfyIPfmlK6vHkdsx9CRxB1w6vgVgPoJ1THnB+/0XnY3Fo43mZfyH KPQQeH9yJ7T7SXhfCTYzLb1QJiVJflnOZZiUihnglzB4dNsNEFb+MVTRJLs0T515uSpL7R9MuIBK +YN2EIkQ/D/7wEHrAN0N39YqtgA3rUdhsnzB10Afnb12yruL/zyzEQ4AuQ/Cvnw5LJhqvvmh6dF4 wYSAUNbLKU00kknglrKYathQmQ3vJ+u2GDWwlHdlr3d0FtwPSDuPNycKNbOfpZOdDNPazyabdZ17 nCbU5RAwemcxyWIgfkg6IL2DAgJ3FZq/oXU3V+w5EB7aWXPOKbxXm+E5OmJPR55TyYWZbxM6B1qk iUnYyHqLCLCmXM/QjmKWN4XrvaM8v3c6hmIB5QlAab4h+pKA085gLB91d081NTknaC4b52kc1lZK Fwzy+2nOLMTYo1mRx7b5VYhxsCfXSx4htrCxtYPKiSgiwR6kQj3oTgpT86vL+OtA+YZ2bDmpdqE3 zYaKBFJsU8ejc/3oFij6+FtXN/4y+Md+WgEI4txfcVReeneRdLK+lLDHoIH+xCZtxyv47T+ubNbq 5tAkeGV0DCxq1AjL+9Ge0XCF+ReSJecPSwwDr6KVLyKOYftUlKO5HuQd3oa0qhCF9TeY6D9sVp91 g2sGhebJq71Eft7xXZ4SlOFly4R0ZhQnUqYidGkM9hoUU+45foVV2EBt6zn/fI8Krm3eZKEkYu/p sfKdu6dOp3jdS7FeVGC7EHfITiyNMzGiG1C68iu59/A8SclwvzC0smJo6E6u6+SMAecWBqQ7ve8h OFDFYtQ/svCm1FniqFtTerF5grzJy7phIqdlh+Cc+N+pbghvk/anUmcwKfW+rvVJJcA/IO3zEJU1 panYoNC8ADTfmZScOECXC7ojdCygIvg9cSPjPYSQlt5Q9QgFijUf+9PZWdgYFE3w5kZyqinFEpgX dYXuaTzETo9CEywMGvKj6/R9fhI1kaG3bk0+uWnXiOo/Dr6pNyAhR0g3wejk3KzyVUFto4i8VjKt YiiQx5aNFnRFgfFWBRdRQInZoxQ4gB65W0npCVa6YyB7VMfpyoy2JKQ8WsfMm61YpPxUqwMdqQfk ynyMpgTGqhZSIScGYMhSd74WPKeKXvdykYbwidu17hdl+pr1ak2dgDs5EZgtUFAQurcPcCJr7XME C/Kj30SY5ZXIRNcRaMkDvQYVgfgo9vKTxzoWgd2J4/WWqfZ7rMG84IbexMW8i6La5qWGNId3sjLT bl+nRhz0w4aZiqz5V5+W3rAb2w6jUgS4cn1to0klEeZYYklfITuMlGODBZLrcmLBlRfZ2ujuCAaO qPqUS05WN5KPB+4aB2vu5zCXf1jho3qFNzv07JfNelSzcuuUw5XrL2pruzW8/jQjqx2YQR8m52hp YWyEUksx6nkQxKk6cl4txwhLYt7XBvzH9DuRhMdmBdmoWHp/Y7o29BkPKirrXCBRwzWUlA8oSl36 tYoa0roMytV7jzc4bG5D7DXM93SF61sYwsz+rBenuXFWtXihvzzEvo+ax+DTP3pjmeAY8rBG1IdZ SsBnpUy0ZEeeK4RMfrehl/RDBgPug9ELO2tZaNgB4VsIRmPHwsZqTB8n1mw6/CvVzWUH4r9tiDY1 LXYFtcMUzlHsr8ctWSTALQhJHPKprcQLTSPdJ0ngFcWUrohZ9bDKVAl+8odwk2I/k+TWRZbgaCYU 1SprgLyenQ78Q1jtGXV48vv1yOv8RWn8utm0wYNbJxHK40gNTxWmjSwvmKjWorn9LgEehZbm2EZd PXZpzliXUyKKqlZ39APl70Afxx01v7hQVIkiErjFYMTBugf3Lfh7bgreZEr1r8l4QB0OYUdSNxNt JkFEhy83f2zFhIEexRiDzOatyzilC0p/Amq6EUK02lO9UA4mjoyfiPWc9G4hOiziaozmcVt9TmDf z72AXjQCho4cAYX+MFkhDoEnkUbQ0AHx3g9UKH0FG5tidm1qdyFmseu73I1C4KRsLuXXHT6lkQ+M KrwT+xObkJ/JljMlTBpXxeHY9fU0i5FFYHVa/BSjI+dzS6dVrkJhcA5tERnfYUJMa+1panf63qJj eWfd8ugjBOen0q24R4QE4+NSA3OO+kT7KV4oa/rP30SwpHAt+e4+AOxsA6VOPwCq/8lk5uEYkZ3v viOEJgByGACiMtmpDTX1tF+Ed2sC3L6MJshuz/+hilGbP6nZgERl0abGRs8VGg3h9p07r7sPGhIP EyN10uqoGnHyDk8X+dZVN86ZdA07t68j3Dv6bmrd1iEZdwRNMbxlLytbRVBb9LJmPytckhiIXYKV 9QpFFZK2n0eHryNbaDyapMsMLzqkBOP9xi7ED6MtY3BMb85ylh1NT3D1vIMqjmIOXpO0BqATKEQx 0UHx1NoZ99f9MD0BAUNSr/IvGCpU+66y60QJM7JtXGzVQkLDuGbcyD1sTqJn8HzrIwmUvFJ41z+i aqsICIbPwNEt7TGifRmuvxvysycSaU3e+pgwils4vWGBI84loBDBUbOLv/sUPvFiGxAKw5H/JJCc afHeEeE7zV+LeV9juOvNVfli6CqZGcg+MSKjsLBCM3xBZdJ4pdKwC7D0RX437hJ5QXzTVNPtb/Tr 2K7PQjhGxGFdO4PrcUdXD2XH7d43PuMsxScobjD7QvWwBnEZ4njSx0O5kOxDpgqbL3AqSkUDbOvX UgZ7wKVUqUdkoa5dmx9PYeaRMYgzz3Mrsx5a9KRBecN2Y75WkzpyHpk+8hiAemeFqWw8+T3LYcM1 1OHI4Am2Gj7j6iC//rywXVqvabhY/2rJ6OX8zR9A5zSzocjF6mH1uz5O0vuAhkEpzs4hSqkUHaAj o1rSCx8NpGXLno1ko1jH8Z/Z4tySvlP66YsgI+Y9AiC/rg6m/jL+Xb7j7GdiE14tv3omHkPgrr7d iAehAWhXN9TQOIyZLuFlENaawMHwoCVghfz9mytxqB1txhUH26IJbn3YvjbuatuNa+djlps9KMb8 37UbNB6rOdako5u/31kjO77bts8OFrEbas/rEW3ISWqx5Uv9QupGuK0MN7uWSO+Z1axFGRi/Rttr /3ZY9U4rAJl5vC+F0ryAK2txb7ZsFeOc6HITSTNq1QzQrlleqXBMfRDthP2yifN724ZIYVpaA3bJ wG+QZoUF0r0bNirQfAXKYkaCJcdd8UBMOthcNJ0vYQgCy0XUKg9RE9xBCw3JFK372PfT2wGkycvW vFdn4486+o3t8wueZVuPiChMgrzgoZOFfWc+AdKTkML0HxJmiLfbGSOz1ehF7VaqawDfJWHp21MT aSFna1B1Nq8hvj7pFUB/+tgxYrR+GeSFYo+QJUqE+CdL7Y9MhAGZgVxoAru3+7ma0UrGiP4XPrEV FyxQ4rhGYJW08JOEte0wHwuRnNeE6ePb580i/XU1BbSPiI5TMdP3IME2UZzjqbKbyihhjeLqPFhY IE1tR1vY2fnJltt9vgttNKpzZGKTgpu1YiMUv2ZsOhQAvpvKSzwXsta4FdqXAozmnwaDJl1TDZe1 XdBgw8ufZcwxlmqogbfi4kSL6v62zQz9YYi0wu3PZ/xdkjA5zxFL6bRD90AoJJkncdh6CUz/kdwz LHV1ZbfYwLJrvrjvI+uAX5F9Z+NJiAUnztiIhqvLDBdJorL+KEngSxIR216VoBqWMyyzHZYB+oPG cU6aNSzWGJOtTucbWAfOsPSkxaKCLJm6kbrhp7eCAE3AWe3AWTZNArJv+a9FeJRv+mYhgPaTLAz1 AA44atStiXdugB2M+Oq7wrYLnmkAcdaqR5/LsG/X8CYhIJLtN4pDAWnuwOURZZlEpIcRe76RstFl hPHl2EeCwaAR5z4dNj1FFTqimS474PU51eSJJGW4tTMzncfi6KNCzJ4zjrPv2h9bWy7BeyuGuPYM FpsN/OfJPrRt/nYmhNBeCJVFRuHwyBmotPUQMqGoY6+HS7Mm9NrEKKDM8vj8/fisZy2N2wtzNYtP lR66meJEUPQypXw6WcGtBpJGJX6972hz1qAPOfskzaag6RWYPnZsQaXM0uXhI9aB4xsvO3C7k4Po DqxRRn9cyO2yv6RkXl1B4W4ksm5vFBA0e8FbKSXoFIGv2es0O1R8eISc6j9y0xeKbgYjfwvZ+q2W fTWSvHqqZ5Gi/vaFVhuW2jZoidPKZoFo0fXFH0oR1WurjSB/ohwuZRPqVrr6fua3ETs+4XesL+9v nNnQ/xxJhhqTmhE89ORl/KoJHN40pzSWCBygxtT0U8SgmifqvwGLPil5Ie3CQektsZHbRP0qBr0l w2SoLzBMRvjAR7D2ApIMKYIQqFMqokXTn7Hz3pkdec8muANipg9AawDtshyqDSv7gwMltvTQ3ckB l5vk6xwKmGe/GJxwgxbV8iNTx2CyKtN1Sf34Bsejfm7Pvlka8RwCNzApbmRetVvQ5UwwOHBiQwpC gA9aESSCzQndIMTJDnf6ZLSKk6K1QW0gbjroJ/Fns30okZLlgFJa21hvm/AEb1QENpHeckwA/vl+ niAXj+z/WL6XoqcfuYp1sqxmfY2woaBXIAra2CH8Il3mm7/c263C3JFrDbFMpuoqjfVOEJx5q7wO SMRQ1dXkbGWtu3IsLI7Uuy2imLF0B2RYJpRVnPOcPEj2KusBqEnHDxScMqPjhwK1GH/pkN5uLmye ytjTwgzlQdHiEOdLJNpAuQ+f++aj9MMAnOQo8Be47wuqSofpPN0D2JVIZ5kcnUy/wzlIwCPIpdLE rh7OBRyoemqa1fewyb2E2h7T2UhghY7koEGkwSCgd6/3YHaznI3jw0blDkKALIxpwQY3cG6/5aqi 95A+2lJop+0fJK3MZuwxosBrFtcAK3zkooqpPS95sAox3VrPmf1axreeSbupYra+SgrSBtu2Ym5c 5gtMCBFs0oIVEU5R4ddKsaNIXFVEH0GHx/edYxzsNK6lCX0FL2UbdiRiUI0vz2fVi49x7VtNJOhz gPrLZKHskkDD1U+IeSLV8HKF78sWegkSpxrnShQ7nZzvuU0jt30inbPuDOJFMGpEUm0hXMnWZErx qR/PD0vzBbdXFRusJfw1r8IiwbjZHmBqSxSxY8klgMW5hPgED1ONBq6xRQN811CjiG3wy0vxZEPF hSa/uslSFLkWd7f0Qe/qdy9MVmbhvCO3+Aw/F1UfYs2fWfa9cOk25IQ5kmAwMJmPQRrknhLiNFHm Pf6ePKJDyx+V5hnCDr74i4kxBkyeU5QaH+LO8Rz28NcWKqhXE7y17LGAZ3aK84tZ4tLWmMwunRng OJxBeDnHr2P42MGwwkg7DZWGqwbfra/sO8HhWLtBjwYppR/gn/uSfLL3WTB6wvKBfxqCMsMZT4fS YFqr1An7hCufq5yQrbkiruWUsGmlPZ1MhNR2WG0xh7JyLPC+Q2jAoPB5w4HSXLGRG7ABMQ5oTsmr Z1awra1wBarIZ1GLFquDE6qa9DYyIRaOQwhveE9TBNMW+DmfOtdnaIZfPMLSzhONYx0cQ5ZjsGWA ip7v1fMYl+qI23JTB6pyBrDcHopIx9wQJtYtbXd8yY+vq//Js8OaqejB6pp9O8wqGkaGS8CCRaKB wFGK5E8bumDaoFgG+snxJwuB96/vdkM52AdIqpyl+x7ueckSabtzqrK8V3H0sTheD5FW4HCUjZzV 93tVEN1xjM49/nGxS7EHHUxCZiD2ymtkt/30kSgcZn00DoO24gk4eRSzoZlb/IE2h/7O17gHkel6 3Rn6vhXEaR0SD1Vhn312NmzJYTbJPWW6i81vbzmqq/EbSXLSpZ1V9+NHMk+Qa3NL6TndlNsDsMFI u6clbsgORp7dJFlCr4zB93zpSto/kFQBxvUsiqZPVXmeYvFXK/7r2hvw3i5igQvQ6LG6uo63IJvL x88ux0qYRpsFt6BzVDqXq/eUipWoegPuMxrh157IgcX4TtVjXPvWhPBcr+Q50hXHi9V/CUXh86FN twgszcr7eepc1R368HbCGOEv5zCrih/XxZiT1zM9YszungO+1RFWtXKj/MjxkzYJUXAwWgM9sSYc nxYqJA5E4iXgnP6YjJibHe5pWXzkrQGAoBJi+Yq4cxzw52c5Qx31Lb6WbEUmbaNtW2uvmnrmFEnx LNDoAVAywnH4Z00JEGFsOk0eUYgxdkC5f0HCWJ2RNBWQuPqf7DgVNZuBer62PI1CwggAl4YYAahq jHfGnxuCaF0Lj86Z7xVEIGgqX9v/8TLA0SoLe6/32pDpI09HwKribLzhYjIAC9X1Z9JHCDLeAdgb BwPNnXWwH14pBR7nzwRb/xSS5b4FSIYC7lVv8Fr1OhVCTjKGA0zWVZ+C439SHAsV5nhMJLdtNrrU jHqM3OACSJnBJEB/NGVDVS9/psgRUQHJlsUsJjcl1jnAksIXCSa1pnhSlBmyYUgZChehXdvhLwh+ TAYWsFyg3ys1c2DL8XkR9AFPDuIUvxj8EufTFqHTf3fzEedmtcBaNySjyzvqCL4eqHhI1fSaDm2L sXyHWcFR+tJ3JykpiusFLFfnc2v5vLI6WktNNk+6WH7+hUODSc5ZYmglGjW1lkXiEbYYBTgXchDg hhNPqJ5PVydzKTg4Aa2zJ2YWVgH9jylYnq1JyfUAqEEMn5mJteed5U7jJw9Z5cWzfrT9I9xBewPq Hbd1cnj2od0+I+mvKTJbB+E2mZpcF2phoh0s3jX7IDp5bqJR2z8uMaIzqKgw8cuBZ0UE0hQN/Q4x k5OZ3E8vmdNkNfsC/EX+d3p7k3emmgC2i0IawO2qXxaQTujQLqfNT+d89tLmlBrNMYDJsrsj45NT 4fo6fykr/bhLeSY2sbp8YLHU9/Sr+6idWGXHX0ZFu0atPzAyWKZIgDHrwhVlGqFv4qyNSzNqnqXp 4SEULbfDnqa+s9deB4dExcl1rdFSGtWbNWhyYQLu+62465iYUWRmVZKGl+U/i+bZyEtq1hnBduJ4 gL6T+WiRwr1xQOaBdPLfAnZcWmzctpvoIDZO0yg4SdiHbzU93Bj2chWNsvr1PeGdDmqnxcqFaQX3 R3STv94ZCuEmmYN253OdliTJFTDrjp1cLLP9V5E0K76sS+1BQBlYqWb1eTEO+KZ8ty2J63OVOKWI OVidNHSL87+LWCg8r1ModydCAcMucpr8PerXoM97FXBTl2JTnR7fS8MXY8oFlpZwdHph0lCA0XON 8OWOTRTVeg9f2X95EGREYBDr7KVJLC5//tTmmB8b8O7LbM+XnBkq8qPduDljyBqwutbxncq49Jb5 1PcF4EjH5RRa98D7aFAnKTO6NNv28qFgUBz78JnznK9XgwNk/XTagPA65AB/lGFoIbdbs4HaSwdB HpJtvc7HY816mxVG76/9hWqAWjvSfpg60rAV1rtzwC+wpV1cUzrHa3ivoU67M2BthpqAzxcdgQMw baHTVsc9841XRYlj039A1yqjj2b3tC7TGwF/UzRAx7SQ33WeGv4M8pwGE0A9T9PV4Tw7tCI9meod CYlZ12kcoza+tWF3TJ95GXV6OLVpunnBD2+paALg3HkZERPoaMKmoXZiv4a5JEWftHBjCOyZv0DQ 1ZiLYrxwLSmvv5X3mAOh4AwXZ/fJBuOwO79R0z+caZjrrsDKzdK5E1VKXJyRG5rnXbDYKKAjH8uS 2auf21GmUFCjPM/3BDAqUTJEzG+CmSA5LB+QXNcOHkkwRI+JDkUfnh3mL/91x+bJjKPNA+MnRvv6 pnVH7nLbrxdfCnymfXGtLptZbEtwVWWmxmFalFlWoUBbthup6AgoQy/F8jffGJRT7IPW877KpaMf KXpF8KGrv9nzope2CXwqeE0iTJmXFPReJTafEoD4Nx1WBB28VGQ4aSgdDzEAnKTL1Ac50dPggEZm WdK4KB7WXwIEklZVSvnkbjIFwBGyj4AYQpYZw/XfzVSB0hBgmTYuq4PU4wjOibMi6EO/NMmVgxIS kAAAT0YTvs7JYMdyaqIDerxE9BsnLA0nyPd5S5rIofF6QIr6IDhevQx/TZ6oNUuAO6KQRCa87FCc EXYH/3UJIKnnQiDllEmDZiwLz9ICEtdQBElojUixpFkgh1UdP2geCD9hiNRblJEf8LqnXXvEQw1h TWuD9iBT6KNXzXhAZNU1yvLsWcstzj2luU9Am1ulF7jTBNoRhzxc8OmyLs1aqxIR4Ivu5cAaEpXr m4fAbEL20oSFD8jhO7lnFXAzWS1qx6P26bc1EuuV6RyRIZX16txsidHZx+eBqe6bESMuuapEQTY8 cCAl53pyQLubpHHf2EgHcuDb80aGZX+NgzB0n8RolKujTSE/yFLKfyJwSVCcg71WA6/h07m0vXIM CjzZtSh0SeWykKjzR4kHrdfRyYD4NaZBR7IXJ+g3AqVogCtAPqYyKVjorMW2tehgdfxSjR3Lm2F5 7Lirt2EYiii2P0dbl7weT4GUV0IU3Rks9SHkRLW+RG67maDwrBizEndzbFt883hUWggUs9nUL7XN is2QtG+xZC0SUh7RePPAGzXvJFoNp6PuBImLbk9HGlBspp9HF30EcccI8G0mbsLMuTzH3IAkX6Ze JEXuCnr0ZpwwwVl0POVCct7Bq0PrZBrWIzFaHcOsG7N/AfjwDyN8rnFqfUXZs/9prQlUHXVNjs5A itDz78G7/ouVPJ+AckO81SnC9gUqf2dGGBDf3liPI8paszv6q8LvftpoUYwysrjsy3KB5Lr7BMim pdQ/27L3ExiLzesBD0sJwvhc3PsFcMZey/ZrQmAwu7oJE9dGgt3YGylvv5GNikQw2yET1+CUXGT2 EksmnMiqK86BoGgybFgnodusKR9Y2shhPo4sG6mdsuyid8KF1WTSttvrpG7MrB0ZLXeldfVJINt+ W2ipeXKmyp8P4RQrYFf7zMPny9FCym1bokuAqzErTeRQ4QtkfJ6QKmbke/RnBXrbk1w0p203ySS5 o8gKsE1sbAPICWzmTh7VAUhNS9MtFlHIrCNAtQ6GW+aCZSJ7rxH2VXl1Lm2Vf0m43E9unciiSZdX KdMTGm7b+Lw3AMzVlLZBWbOFglacWrAtPzAfd4rHOpExMHScrKM1l8LlpvtbyopvtqcHdL/AuQGz mZAv+9I7TvpSc8YNEi+FVI4taJWI3vZPA6nY6r7orrvKVMxQooWarxLgGDslQ1KSBess0/pGP/sM M9S0LWiP8mHARvcYNNGW3umYg8WXuCwnIXmE6dGiJAiWaK8eyJxkkbXZ/36QLxW1uo42MhuL/FRQ t8jFsT1Jx+cT6DpLjykXarQD5ZSYIDfuixCWWsu8GYE8OYiWI6zy8N8lfTA+cngBRv4bM5m0MnDa mq3dWxhx1hHY3GTUvXidurUn0+RxQVA/b17nlUCoTYCJiLamVFzFG3ClZfOP/mYcUpkWpYlLybgy v8IZXK3fFKFrYbF4ZtFkudv16Ex1FqJpllAHxcatVXJZQc8qNWhglwlYTdxtjf6BqK/a0Q4DiZ3t xrK2pyrGzIvqz9yUJIFoVd++iqYRX1px42mYGLGIuKELJRY2gM8QEbPDOAA68vpWt94N2hVxcS3c EXGzLAqHecUUDBJqKIOrsub5r/44TVo927zB/vLeAGy+YINxAtoW3U3CQnE8KR6duJpekPIKo0Lg UBUFr3be9Sx93Od29oFizhcpLedIvOIBMSENSHu5zEThFyHps14QbauztRG//TghrYYEEccPt+s5 TVT19DFMIO3PUaSjxd1VblxpCd3ydt3LiWlJxTsI6pkv9StMnHnHPTy11RmCeHySZVI+5Zgx6lyx S/57nL0dl+wkVjVJGo6v8FE9uWMsd4tdS3CQ6BQiLXbRb7uXMSMt5wxHSL0QDW36cpC9GvjOQygS cMTaYYarTcGhdjxaUtwAvBWZYKpmN4oX6W/71nGpTbO+x8J6Ne4sPl3hSguIRHhY7Nw14a9fp2+l reRA+a55pSky+f3aJX9bIIz4CcRRaYbdlHo2CWwshH1H5SwrIA4Yqu1VfZfRmt2zo3wnAodFgFoh E4kqqmrOk0QsP6w2rG6SHdflfDdN+jQ6PgXiX+3Uw6/5Pt61X92PDPW06iUe7OSIUtceQrnqcfNU O/jvv/RBWEcj6Sx34acylcIxE2W5caa5VAShR7h15RBV25QnhG8Vwss9DYz4oVfHazeIHhgQRQFV ANLvtQk0tNy5EkDMI57GzE+PjCJprtPkQuCGYv8voEwvigbkOhhriOWrH3lfnXw8EB6YCloFOQsZ /fl0mw+MR8S6O8+SkiA+Ap6eqzTmo36Wg0lbTyRvLSHM+X1UURmcbIiCJYMgXbhcdqlQsEAFTVWH 1sGVqHO79Lhx5Bj6MEY65a9UQBJJltttpojY3tH22JX3HBrcCCcSDJ3R2yOSgxNUdk4Ke8oAd7vl wceMJiR3mqYcS1NE9NTJ/N7aFgPzGtoJcn2/y8t4hfVPRXMfW5wXOsZnia6gYFSu7n0eM1WTLyoz 7ibOBVQVoFp2FLsE9mjukRQzgaBfvy5frtIg0oD//wXS6gontpdROpYuX7X0cO7F7bp070/zhG9C qxGnWvTQwO/5ix1GqHT/UcpdVnuqpwV6tKqvQYLW/bnmybRCo7wp2uImG4fcZNafzoeYwpSpQAQC bSizI6SsGpda7QpnmmehqB9xO0XIYl9nVDTVyWYlfeWyYRWWUwS+idvNqb60Kj8TXV2BTIJm7GSi 8fdGh7e0gzzvxqdb/5khSgExzCGpyjgdvFdygU/CdJOeEjLk3pVij0FrofTimUb9HLkKqWc75pbE DEPym03RgzS7WUdO+4CulmSlaxtwDssV9KIpRVYvI5j0GleESIo9E3GpegIyx7QTiBKbdeWJfHSe u5evUcOmWEPN85lU4ZIqgEwO9065IYTAR0M6nSSXdWdRtb7GIW9/QsG1/hLIQu7qyhL5j9Z6EHas F2EqOx220l/rHKulL+dH0CvzYM73SdkXe5dBNbyvvlma4xWvRM99vYXDbZ28yFXkGsiK9ez/uSg4 240TdrcvZTbNATw32RupdBH9jd5If+Y9hGbboVDu/4cRs0qm/iF9WV8xot/Apq3u09c2p5cR1JFf WE27h5u7AjrKAdQt/OaL+mzvKS6wF02HD0BB820RcJQFmopedqZB13u0jEj8dFf6o7WGP3t/GhTn p9b+UFYLbi48tjuFh62gVKYl5KbpQo5EDf7yceWyfsOKQcKMuAZcFGwFd4a0j0RfBkSetqEdjL4Z KAG2PPHFFcDjQqo6mZikLJsSxbuOHD8HUsAVHB7O2d3C8O7NcKqp9F6Nk4jM+Slq08/Ng/kxJ93v lWG708pEDjmb7+1NkztVY4/B6B1qToP/P82p84XNjG+0Nn+LwLC/i36TVlF9YEeOwyHg1xk/e11L oGoQJI4LCvXjXftOT0ONaEjVrzJmdESSCSjLpaqQDk0JTCmr/+KiBduVnhbzR9onZugKW9UBmIH1 AdM9ieQLGGq3n9Ewy3ZmuFB2YF/jT0TFXhc/DKefjnxwkNjSg1gKXXrYMEx5Fd/BxwWhpdkjiMqc BW8dbgKz35M9trf5eS5cXf5bqT9jGmarYjcSFgarr/Pk79l2KDAtLDMj1J6kQFtf8p5iQDE/+jAm iPcoAE/bwZVdJk1k/3XOJyS6jB560WIQFGtuOPFcFqJQcvOtYBByBSFnNlsXPqdHkyfOwIehvetz COkliEMhQkKJ0AdbOVMA4QLz0V1dqhhTE7rVWm9tm4qxUJO5b03qBb330djowoUNG5pkjsgCr0CA Eczd0ZdZQQEtlwGFczWii22M0xnzAplcyrMwwiI9YirrZ3fGW8VhoLOqJjvgznX4LjfGecHUDyGP CEuscdc2dCK/ucG0B/BAydrhMmRnHAZnFkLJds1VAlblwfDu4GIyldSgSrq/lCkfJKZay8vNeBB+ yRCxGoyF+yokiSAPGF3BV6uDi6syUneuvWEU6sUu+XX32QteDa8l1QmJBjJ5AsBs8/zyToKwiu1U qaLKfgoAiqklPXNRJAZfV1cKon68HLFTcUgFf7Ih/SX2LQRjlmeQhQb5RQa7u/tetrpQ097g+5LQ IP9QnrSX/heVIink5fZFXY1DyOk6c2SttANHyaQd1uwYmrfHF5ZOWd7OzzEk5+8OLjB3oFLfTOP2 rY0sjQZlcp/CyosyDgtNg9C+hawZXWC41jwFAlXPi2Y93Gi0iwx0rRW5N6ljLBz4lhy4hBCBHbqV uuPwWpaNEpOBW8roeHjkQGUbnJ09CJrckZcmxXFcP0eWPDE8RaHVKuSbez364wT7319soZ4gHJ20 lwgxY+vR+fm2yOs5A8Q7l1OTbHi/EX8CVXMsbglZEb/bWOJuSnIMO4bUfztCPKEsChOgE+jepw+r aqvjdkIp/TbasybA9F924xprLEE9R+wtpN8DgnIpc5IKgKciSONNsqkfgE+fTFhvPFj3f0R56+VN R6TqdxN5KAVZNxEOkg1CCdmja7u1VpzyQ3vZthVRjv9QbDdw5FDY/Yu3/gY2+owXZiaXwdvZ4LdN brWOG9/X8rN1jIxth3wHISGL2pzfbcLr9OxPquMnt71U9Er/qljNSmpLJQydebSiCuJrYJMXei3u P0O1/f/mXbj5WEPXGWwgJAqRfayEduZqyuMaD/nez3hW3Ax5zKxJ+NLqMqkTx5tg76HybqYE4Wpy sP9ZJTBjeWXRMXQjmEBCmwcYiWcL9+yPjX4GVJNDblcGbgqnEj+yXtvAT276VHRC7TytcbfD3Z+j 2YC1SkTRS9lT3p4heMiuLHcT8N6uJOw5RNwpNZgJU5vayUJhWM4xadmSCxvla1QPd7Jzcn3PF+a4 5Nb4YTSbIG1JjSNpCOE8K4/U7IYkmFMwp7Nl2/42ZgMUT/mLRFkCtkSqWjg+3AmIRwwWO4j06x70 +2Q79csXDIkk6axpNm7WlEIxEdVhJFyD20XjvFqgaJDbTtUDRVC0GCpMAd2mtqIZ/JeB8L/SFTSr KU6zYdHxKR/cYWKFS85P6WKvTfvO8ExbNqL6PE82KBUT1h3TMRQo4ZJvUiVa9OibfMwK2bm7XNKY R3tSvdlKtbx2ekDspd+PgbQbwOX5ACeoiWv8NNvc70AGlYjw0ApP1rvd/BnzRuOVYZPjxpp0+j18 oMOlUw0X8VVXpbvKZQwEpcmTVQhDxwUZnumxkj5lGZhdKKJN4wtIysFE5KN2EqqnB4tMh8Xaqo3t QzuEPxxHld4EG3/SXALtsJYtAijmF2hR75K1qgSvj/8MdQa2qcwEQG+EG64wjUyzRocKCLALfdbS RUli2RFTrnqAOMuTXN9CHTB/sk2x8NXQJ9m3Y5TJWoCmGulJHdzIWU8txfR64CBEw3tvQn6YfiA1 JdoygfAd1gfwTV5pGHC0TO2O3bCu+TR8YE7UpLrnCWWXv+oLDUDDaFnjiFf3NC6K9008kM4lPJ2D smyMhIyWsvj3Gecl95l/vzI7ddIE7yCtKSzNO0FMCm+t7zzkIBVMxZLp7WY0KY3AxeMXbP3j60AC 9BN+BjLC6M0KL9LPUgcu6v1KrOzdd0Uu8kzukik464x5RcePTLE6FDJhMplMVwAM2ITBtEYG4Ia9 iGGdjl8GYK1bSiWZbpYCA9YpJ1AFsgDw5d/ZUrJLnseJ3glTRfhlxdXF/PVUDvYSf5TAgqAh8l3r 0Ggm6kxIzFJL+GdVoUdKu2Zp2BIGdfbd2oYaem1z0Z9KRPjTznHorm/HBVwYnIRPVg2KBuUu3Ih+ vofqMeMQJ5unhX8YZcFsSkQPX0aWA6EnRDTGZHMyE6F4jToPM9jhbCNVyqVesA3l8XN7PSecrDaI pfUjrShVlGKYISdvbo541MILJcJahrt0M/NUdfKYe5r6fGg292MTCC4kfejSM0XBDp9UkbCfkV6y wPI8SiXeD98pugVY4GcF+FFzx3/5Ri1+B+laxBwdbrqfuCjU3bmnU3upsP9K+AKz8Woldv7p1CJ/ obXiP+KoydseUhU27d40OT2/hqS0xkRYuZ3lvUuP09TQYcthmvPhRBL0491CJ2FYfx/ogdkjZW48 DiwQS2FBijBYIRakE+z6samMhRLDenxMuTUTsbBbVxP8de5Gn9CTSR9FeL6erwOpTsM6EdzB5FLz 9RCPiPG3iaYEKwxp8PYCA0oHesjiRI+ilkpe4tESU4e3qZmyoewXEllnr1uNHZB7TLyCGfrBznbj +fKC47uqqTZlQ34KMeqCn38YFjVHi9IM2qCD0XFOhyBgLaSGHZcecFvaKjB9qJ7ORXa6kfW1LWKT jSx3i4qKsKnUd7PjaEdE4FklbDsFEbVpehR+M3e9nR4BBtSZ6NOHb+EmnUinxDF0V97CT1NjBhom eWvdGlaThrAgJyWNLQEyktmcz+6dvtOLMhAX04pWjE4k1F1XhHzm1yXJ7Wmm2xbn2OwfpcE4RL5k NTUFDBX78/uB9wjGFs+n2RRvY63DPj9tOywlXkgQso3KOPyLqszLs15JWE2nyccwDmnuA2yKvCVY 60qtXPiY83r9NlA8/0i9AHwbiGxRaMf+HcHXpSDLUC4CdeHjXorLvxPzKI2+B53CqdAKakmuXWYd 76NiJnxygCFKnRAjYLjII7F4QldQRsaFHjmg6PB3lpvP9px8u+5bPjNjLMCow0dBlzLgWtSd+aDE qMAotNO1/OlBegH+6aAkjzKH8FCFhazlONoLrIiVsoR/9zRWT18VolSr1485+3s2EQirfKwRsLab 7pJ8asXKQy4xEVhlNJr/ybKVYVt6gKh86XxCrG9ap2rTXyZIh0HtKduF15xVc1DWNprctKNstJJB R4UB0/Y/hzU7y+eCAhWhJ4SlaxeOl67MkDAwc/GVZ1kFCXSCRUdJRQJGbcq3yNwd+RuFglrcS194 kbfFMj8Z1eqQon3293ge18r4nEVHpnVOJ0l7LzNm5zQiERFsvmA5LSq5OjkgBkww3LvNtnVdiAjv +mKRUhLt07gXYh6ursVfXDMM0bS3EmjWPwu8waL5TsiSP3SAsVAI/qhGQ4IDnHP0obA9iotWEiTG 4BOHji1Pj4BSKkjfC9jCcEjfF8ZSocf37fw7VMKhJtKstDsQTa1k6bbJGSP3g18rzm2TXlHWaVVB hUDGjUJ94xXaZ5WHQsGntPRHZcAKZVoeHmJd7H6UdU0zJDGW7oxbVVqEHdAY6AwCdm1S+MVcXveH dvnet0eMMX3r7a98nsq1g1VDvGRVXwy8eWDUA5AdKSoi1BsKS4bAqejIDvG8Q7g9hEQgLSqnlC45 gVB9bmweS7yRG8uuVCiw/+2GSAoNgxjRzXKVIV2WllTFrh9x7PzumbjkeDMo80qK9lJSeHDMJJad JFNvn6WwhwKoLcEjvyY7idGm4MpjNQ0aineVIv5rzTiSCysMeox4ExJ8sk6+uAiSTaFjEH6LzL3P YmS20B/zCE7U5KFA+QJtTztQPO2t5yWnHe76UDM5Sk/ydlZbma6LjPC5BVpuleZxb7RCbfgJWxcQ 0FHiwH24EOjPku4d7VSDhyBO+892ycbrbLWr28JPV+oI+Z2ETlZxSOWaVkgke1n7j/n8ZKdN2IuA x6PqlAnbgeHU/2lXuWvcVPg/8rCTSB9W0tkr3H7k9B5JdV56JSN+xE4Ovabg+yHOyRV6FT3DwY9S iGY4abVTA+J23xMtIpfJVwbjh4HdWvXMrdYU/09mn5StswUTRlOsuU4sbMLwzK3V1xmnXJnytodk cF0adUhTJfJtZIYdMJwMhPHDd2PKV+cdtqSpqjtYX0HQxtDqGD1HLyOcHaH0Zvm3PhKd+rpizpYx +sJHOuZVLSb7X4ZEdIMU9Bszhnac/MAWVb3wOtWuzbnMVMG85q4u4wVsq/6v++hNwvE/1lDibC9N InCVfRCXZ5RsellRaIbtQ7coQwb5Nz0Jkq6PSX4Jfn1/Rerbi66J+7xhHXAVOwc7Lhmq+APWrxGA y32KJNgJ3UXId6UC7zghmWLh2MWYXGlNiGTOR+10uLL3Dk2m9d0alOPX44iy7Aykz+P6jh8Ht8v6 SOiQ5kcLEhvQVOCu7S/iS0buBKNrDuN6A4WnMs54kBj30nT3os9HKVaMDLv+sF/CvhO47KTcacgZ xDjNO89wuAHDhcLZFfuSySjysG4GexNIFPZnfsbBIu02oKGATilciC8VskdY+90EgKgQNKlGwWZC whym7tPdqGEWWTEBrl4WVejYtQS6CVmStEM1LWQQ3OPbWkl4FZnD81lMJjIHKvMiv6Cm3xRg4ij9 XSb61SW8A6BMzEljcqRakpOaZlfVJNhbAHIFszo7Jhk1u2AU5jJTjsuJGYm8uSyqTGSaB+0Hfczw ruouKkN+DN0uurAOYyk2lzB+HU8KSxtLTjcwese2r7UMf9OWzQwfRPFFhkOxoTvzRfnm+NWtLgwx 8qIbDiXaoVbCLgkn4+u/Yt4P2LpUPd6cK+0CE9fO5Xjfu8pK6A8doKcidoVQW5FC7gwMhKFrjxfy esI0gcTZzNSLwU7dixD+moDirUlS9/Jv5KGTbwJt1kiPqMyaYfHMz6ZeNLXsYRvCLYGBp8s1NHKb gygFhIgzMNvEioCSjnebUEqXO44vsJVEQiE24WIAFlTuDQfHpIpGGC25CKIDtCVPYRpL3KTkfZ/L GT0qf6LTTBmnPEP9lOOW6YuBPff9GNVsxoHdnin2ro4YHmLmEvrbROhe1YZ0tBin2barAtw4GaoX wY1lODcDU6Nnal9FEKbkVpoRB7pekxN4Y4AnBX8s7MMBQJSx5RKQ6SREra3VbBBWdog0nI3oIPCI ZcfTVGlioivvwqfKVQLTNKFFveMlCvRvvUP+85ZFL8ZCGbKTNtqrgaF/BBVWTelqO+FjxYLEqIV1 AWRcU/y2EqbKyFwLn9k7pxjJSU4exTgSj/vt2b02c53gJ6Wg08XvriZhT2+0LIoWUh8cuE04ug/7 Wv6Lj89/mniMXogaWr5fsvLb36j6bmTw1p5v+C8jIqyjTLA1wgG1b3z+Iz/f8Pvk4L3w237Dgnde FjpkX3JS/XH/oynJzL6UTcj9KYMU6Wh7Jk+xR9/7EJY889+86ZMZJHK3Ks9EnpeWYEWPmAY09tai 8fWdkV7NmGIwokZ9ixnpldLFVSxY1yDXJsHEMqhK8dHdB/ORelKqKk3HBicEjE6YMkbCL47LSDBL iU6rUGVIfU33/B31VbdKtdJDHsPTrE37x+jGbw6obMcqLtI1ZcOf//IcwDkgie+JouM+EnkZDOlw b1K2GoH34JLj5w2wvUlfsqRGzg8CwqVpyaOXYqx0BB8tNQ9+x30VQugc3J7mpzdhxugbMhqALwid YXRAWvwaGsLlYh6c9qX/owDiyH4p4AWZzmHJ4CIh1HilFruwTZhN+ubPCT7frVpMMK7Cr6qA+xl1 Zq/5a2D8deIQJmchpRC5O1kQMZc3vAVkqpqZbh24JtrxCRufOJ/7yAYbAuBxTM4ZRpdAIIn13aBH 4rsQrcMLYRLp2et8+JeJ6DUZLohxHXToH/YVRyt566SNE4XHqQzfhFh7fax1CSkyRr3+jzb2O6ke U6zrvoa5facURKDmMCFLb91XPYWxt6L+JRv2K0CjwPUkSQ3b7jpSt8LzbNwHvoeY3TyFI+l4Xe09 uT6QI/6OyRYCbBX+B/zy83ojxbdG/7zjchOs7kQiE/yb6Q0qb8goWpjlDMUbAwm964RBwgzDLRFJ 8rF64xqKmvpJO4J37ZKfT45NUUztLigbc/x+/EINj0e5RDhkoXRkeugqYtsonMW0HcNoRYcM3pyu /1JPW4uB3FNULnW8I7tDvNT0xVXT+wGu6t0RbRF1PDS0SOP3cO7205+Gh1vDsrrtWnZRl8zGHaTt u+tfiqLtDdQku/gs/POs/QYInFpBgtbjzxqHeHew5Eaf3NrYRSGE2sFD0qT0pHwHDq4o4OUpJZTQ LIBdnv92Co/iNBrSdliIL9dcQh0RY1QOTTiGuY50wo3XXhELhmzvjjSD5mkbfAyfr06OWyjtx11I 24/qUOW8y8u6VSJcVH1Tf8fJTwRsostLrjBsjASD1PKo9pu4XQiold0vHbQ5kxNmyj0dGQg/loG+ X1qnZs8+BfH/t4J/foY7ahnbKuk+RH9vufZusbh9uGecXQ1yAhE5gdB5Q7TY23tc+WDiGLeaAv84 I5DGTQQ1aDooxt1iiqiAZN/Qt/ltHad1a5u4Gx8oF25xa/V7/Ywtc/Mi/tKG9I+ZkIdu+zjkdFwV QpVY/t4jrzbcdKDY8qWoBIACdUMi2uecUKdjfGTZi5asVjZKdP3pmWGabCaMDeXwlGHxV+eoCycO u+FAKTB/ON995ap1QWeI/5uWyyyUO5HNtyp/1ZJFjZAOPM3E1CcUOAVain+5iPU0+UAty0yCs0dX 8vq/SxDlxndeAchvF5sJE6z2/MTg7jQ2VRWcY6GJSvYj8hOX+WA2+AIYq0o0/MjjhZQpj44L0RtL Jdhxyx7YNngYfH3GtKkNQUqK1jJyy0qjoPU57FACimReRZZdqZ9dqVx2L6RgaS+xeK2eyP8BSGsN MJ+fWekTBxXzbSNRL37rFhmaWKi/HVYKBrNBAKI/9rhVO7wBHFqFuKUpQxEdwnrnZK6+B4zubOTv ZAoHKc1sRsAef3C3W8M4f0XZefhdt7hpcsW61TNRx/hMDy8AvZ6BLjX49ZVBQsHF++FG/sS3g4av YQv3govY+Yc/NkjgRwThwtayyBge9jhnwHQnEINONcMIwUEPJl+cSdQtlT+tEUKouC7/6M79+10q J2sL8qYly9O3eds+eviOmVygD07xZOLi8rWNcQpeG1aipe9GKGAkaUpyO3bOCS1BXzdmwv2vZ50E rhH9wt4Uo8a4UmTxwLKFtTAYX4cANBdJGYmmI5Mtc1jFDKsgi7kY9sbzx9Dz0SEVpEGyYXjykPEQ l4V78DOkRVaF/ZSQQuY4PXIUq3YhKq3YWBKYm56EYG5Cf5I0HloMHazB62FNKZZb6QhuC5n/z2G2 nLSOg63XdsFWzM7qaDGJ6XqEXgSfibKuMX72Vjt8VA2FQSRd49LsUNFt+rHVENAHkwSOjC6pmpQO Aqt6BTJ07UfOTcWnAwDVq3rYJtVmNQMjmpjgHIcltMJ9Ff3ihFQPoGq10jW8iCJzIXXTLx8EQHL8 Dn8PaBZ9oquR/Z1a39jmtzkqPvTxS3XD3/nhvxw9Hxw+4WLwB8U+HQYJN6vdXwzJiKdp94BXktBI f2xyXu8pT2Oy3x8OqG4EmCxtpgAt63p3S7XPpBpS9RCoAK0GJYibAOALNDWTNxTmDH/wiiHKSOcR HBfbEIk5xAiUW89U+uIHWHJdeQVC09bkRzPs+6GesadsO2WcQCmnD1TfyGcdawPeAFb+/HUVAejZ maL9qCdsARDn86oNEX078nLerC5HZEFoACMatIGUvsuWX4Aym+4Tf/l3THFop1yu+Pb+d0qDMxej 8/wkwyNM665v1cgFNeA2qgP/zxnsXKOIO/dzadyWq7mNID0nxAZIhGmQnBzYX25QguTBFEsGtY87 W5LBNq8ZiDzk3DhMUtsGtgv7lkSv6jz7JG/aG/Aulrv1OaG1G1o8SrlC0dU4sJnkwh/2a8Hu96LJ 93MdYGz0DaY0io4i8bjGF/W5ZQ/YGRZJTcv5zk+yw8465b/wy09H6BDD1jN8G88YHYY2zvJpnVZV vZY/m3y3tgTGTrY5rD/TGp2dy92QOYHmFrPFJ/3Y5NbDoPthXtAtChFMW0uZ7fMvKWd4YFd1udvE NUiy1Ss2yugY1IRI2fpD47blbS9CLb13ukBEmXlIjkpkZYnL9JMGaORK7xOv5BPVmHhX5SvvM66y 2gsLck1XAn/baniaddKRtmfwCY487owXwO7dmjpHc7FOvH8dXZDKcQYocQMDvTDj20Y9JVzOCfOJ mnu2gYYZi98MAOvR07WQ3B8Q6DXegrPrG7P60h2RUao7bRdoJlXebbrPqFdxZyLycOaiI7yj7w9o FF5RNALjl93Y5IdpkUXhEwTTHnwH4F2bLBFqntV5XKK1tIH1dhUzSgHn1Au59kb99TbOG9vU4bIz r9rBOkwce3BaGtsRjLqNv07o6WqHVUSybszrnGIIkO1mD39geWpWtFq50YOrawI5Y5fIXxUKpUlj z5Ciq0ckUiGLUZb2oHm7pSA6X3mvg2q9+3zszVzWwmYf71sU1ZJDIkJs9TJS/P++KEhO4qvck8kv qzBXNg9YtpM8GHzNI1w20H1vHs3zVbWIAHwX9DhGAMzhhoxF97NfTrBUIloJz/ceH4mGfQO9xaAO nWpefET8zj2NNyTvzXyMdumVY4Jva/vSUYxbyiZCb+R/RElclq+ssDHt+QRYMUNro0zb1xvZUQDx 6RxmUmwPnM3CU9mAT8CtNiZDHC6FEmfsNZZXym3EkUdZKk3aXEMoYy8wL3w9YlwkH7DurxKRMNaY eXU/e7dyTFR3zJTE+M7NxjStfZYQRW4cuu4EYeVomcCCtVW+ZHjbBX1TA60nNAcbPq68ffIcB64J bnY8V0HOSIyXgNfbQ6TN05cFSGEp1rW1TwEL4QOsVwQuoMR3CZz/s2wnB6eMvCrNH/3Ot37ZZi/K b5Rzv614M+4oBmlgydo1mf6xX+sT6JdQ5luLh9sO0Y5kbIJYANkNbHlJwmGWnilqiJNIY0WiW9xG aPQSTP02OWWHtgA9d18ATK1/JRSTzvR+B9pZ4VI1CZws93Ob173xhw/qRNN/JzT9X3I+4uLlRKIY UnPBD9chUiSYcpveN3ZNaxkNauuHYTuCiRoWddykieaCkSm8lyP2r/7dKxMxX6DcGFHbVHpxTwwv jGkZQ9A9+mxhVA0ZMwB9wcL3u6S6R+B6RfAOCln6nyKUgIX6pTgyIE3dUuXYk2gzCx6WNcAVCi7e 24Wf1mFM2ORkei2KEZv5yrllO2tPSJD1Pbc2tdp2hySuMHA669pTiYuD7V6HCYaQrubgg3smRNxn U60B9LZX8XCJHtum7QeGVw5ULZLnLBtJdxP++GI+IxWaLUQNd0QBwt85jtLyAv+4EfwTHyi15y7M AgQsejF/ebD0jCvfCqvgI9kt2Fm2xrDRfD3Q4hB8AJptLnrLz0TbGC7cMMdSJZkMBWHAr0cDGXS9 /V0tbhOJL3+xAk5q2qx6dQDafl1hwhR98giirom5v6dxA/2ycQB++X+hu7OxxFxMnyXv8KZlCnuc hStRzBCS/mE+uQj8G8Ns04247AFGBrizXPvGpqfzognslRaBi0rpTLOEYRdAuI9+TadYjPAJG+wE Zp9cLMepjx93MUA/ovZ01WiPEjt+ELgIFmp+cXj07FDspGH5Bn0QsW6ZQe7OkMXUSWOPc+WWW8KF v/HQs+p8y7ak9aszalCqJKjoKSpmTKBCPCz/Mi/I2ITpPdwDE2har+cWexlpi0fSwT5MpG9FOt0o y2oUU6GjoCMD5Y9GwM5dn8wVSEs4NqIAmWwBvCEuxRJX9qwjGzud5BZSPRTsmWqw4xGkmJ4jjGbk J82OHl2PYniUIIgT2G0vpvqHFPNIi0VYIgDWQ+Yiz3Ejoq8qh9liL2+INH41vqkHR7kyDViy7njg 6Q7P92TJ00yQgivTAbXQ3n2CsRtt1A149DKBuRn8TyvOPMY3a/PLZc2VqBqL3/f3sowNCECkppAy fEHEBa110mexo0VJDZOp5/CjRkjUNrLqxSqwBPJaeS3z5UxD8xlCd+HBkNbriuL7zvegfjJj9CvI tdjjcqwcge3gZq0FasUYfUSV3ljwG91Jg90xUI0TbnnB92OyakE2CYQfx/FLrVW5XaDa2hDgy2tF itaU5HdRhH3Erv4QUAqhsDKU4xHbP8PZHdmIQJKRKzdzU9ooR+ZBeSFoY2diaH+3kPOIT6mIQUIo EhBtT77qfy+EsdXVG7qmkKrLx1AS0ALZS0Y25bj/VO9R0v4ReAUhPNkKNE6Py8HGqmj2gNxQpKNy GtUoMn3DDSQ1hRyUFVvXThxbTotvROLImuTo6GQFGFT97v5QhllYop7UKVO3Uc7fZE81wD4B04Pj IXU/gT6T+TrmsnbEZeixM5a1prBnqr9drf55Py8FjvgRnvD983yXOiLajeknsQG9leytLJ3qyrBH g0S1D4exF+NroQVw6xDtu+stlcmR8sb9u2yH23gSNpmvExblt7Qg1BZI4Zfuuk+cNCDMWsm+fof8 fjmH6qgj7jx6pZjiJRXymL0FccvOpl4T0zJekkkBEdm2SCFCrOyThzkR6hNftuf/ZR54rIHrOdEv ogyuoNIvkGSTYx0LSVu3OK5hRtg2zbYT+USLud2HZkXafg1LUe1ExCSFsYgPBbNRiAh6MkrXcq7o 5XYwa+8FERB83sGqe9aaFrNcDKOgMIxjjia0IYcD9kZfXBhqpOEmMJ98cjXu/crWthKNbZpAKE4n fQLJGCCUJ3j2WYJSHeBy7C9ukOUpQgLeyLtKlsGnYVRom3AC2oAL1F2RI3XkXbQmokQSray0NFin pzlbESjeoN1UU+MekUIAPRvuXXVuBPs6LLG+2Aej+HFk4O23zzHUJiOulBXl4sT1g+s3FFCwXew+ MB0XitxvVg58CkPDhSCZc7rJgaEMhrjFw0H7kTUMN3duyior00l/PMAOylEjq3PLY+aAXXYCZ2zc fVSBtRveqRKESx8K5B9Z3n90QCK2JJjQ/Ak6vy9zYI4qePAapuVSpQL6gLHxe51Mmk2Z8ZnKFH1/ v/X4PmZrJiRTv3hOH6nULy6qqr8GCgHm9TSICjTehxNxlStUIcLz4pUcJ7HQavj0SSra7HYr/Wrp vS8momG5IiMIQdpR9NjSfSMNxkCd6L4AOfrXi6sqGm39a/0sZ/nr19FDdBTRLhEhaxGscU/tyBZU 74YJYCFYoK0PWQ1imCy9YxOP9jYkBKTvMMzyqUdhGwnHDOfWByF7ZH1fFqDiTzfiHoW7twjvPyv+ Hmxow41SApv+29MmAZ//c7rqMzOZtWy3/Pt09UUQOQioO7TI8mc9va394q2/hct0LAA8jYgXgXPD R/09ViJQORgk5/c9iosCM1JfNwUnSrNXX50ADWVwD6VNwE2X5TwrerjZ8duzxNHdpuJ9+GJRshyz Y1ok4Vpw3p/H762d75ryDmWQziHnuPYSjzT1jLXJq5bln7R7i2THgqrBZZLsq8alPq1NPHHDZ6pv HjLbRvwcSctdQBPw7iE2CWg03rzT5GE37ArIirdb/2fLGcstYlLeIQkK3e+S7mnADHWD304i5Klf 9Va+EXPnO584IFRmJWBEbOYmgVgUQ8TEO7edXtFLhze8hs4IrFRqj/eZeTyRjTP7vQ3fOYKroNih MsHDd5UUVFnARszeodtPHY2kqaxJ59bwVO8h6x7JFCwDE2E5xf7jEr9ierMXybpnCpI4TcQXzL5m IA3ba5+Fm5Xd5iLqhMiQdZWXcz5/tFXX3m45JG6J3H3fqO4hM3V6eV5xae6QbWtoSgN2MQ5gQ/3r AOer0Y6dFumXiSR/A3gpJ1kN0xDIa8jVOtnObWzWAwr/x/IYPsz0sqscmykzyAwDoSV9KN7hXNuN vLjR0KtP7zrZym/uqqJFeJ1rf5e437wc7Kml4JCl4Ld3ecNOr8rm2nrXQaRWax8x/Tr7WgJ0XOvZ +weeYtx/Pwvzu652KqtFM0xm+8yTPelvGdw1vEQ48zWOWam+uq5nBCJjP0VURxSfGM/2V+HBmDVx KC2lDtTHe440CAN/s1/MAMArRBcQvYYC1py4qTB/R1ETvq+aqQCVV6P9WvWjB+F7GJj1+/zvaszj qjK54oohnKm95IFFYaaDfIwx4otQ7OyT31qYukL1B50HafwnJ1jnuCBpd25aMWBdYgLX9CKngXJA dFm1hw14t/h/Ib5ZRprMtXTUhg86Jimh3axXqCW9gAUd8hwdmKc+yBW72f7TL6VJm5zA05wr5moe Qt97DIQ3GjbeY/QmPhculY9mlaeaiG/jAiAd7v1Q7cFLXSsqNfVurx/ayv2yJigbYgfMra5Cy/Fm mp++lMjFu7BqbMvgMegAh5+Va7X8qbsZuM7dwNscdD1V7qMHk50HegU3bX7ctGiWpbZktTJkadhi 5Ucd4Pk87kuGpU6yn12Wc9C+w4tGRt4sOjdFaDMSN59X0j9uz47uNkYwhZ9peT16XOoknxldNg9z 6wH4SlzlJAfyyQTOxXan7VSM4QzIbZvwVULGtNvZcFyqKEjzHs0FBz/iUJ/EyltToqN/iG0cl08e ejLLas5f4bhtpxcDdgf/IHwnAExnOkpv6a1DCcB6NfkssQruGBUeRy34LlmDQo3gtiqm+wfj/+3m 33OVsYwR8lC9hIGZGjSSYm59PIBPzvxi1b8hCWenHZxKt+owzA4cVATz2I18gfnRHOcOI7cnazUP zDoYLePB8HXyx513J7UmG+OQSubWSwN5YHv32JSJ/BAAq1ygt8EmOdFu0QfXvlsDGSmLRQC8Romq DjEDbqAwebEdu09HMLNzeObxeWzC7CGepadlnk+6XRqXWmYUk9YXgACThiYxpmkhYQelN7OciFo5 NVReW640+8RSoJj8JucJ5Ae1HONRRGdUXDQmz3U4SJWo7MkaqbweX2iIoTk3UXkW6dubxvMKiIFf w6mb4mnGKawbmvIXv60cJSZEAlVegf6hgkUnu3QE4X1gPouY8uuaJtpiKLcNGfFLIGipcXsTbIuJ n4NoXVhsrQmN0j4j7Napo2Az7eJNV0O8Et0YQIkSbkRbEtY2Y0u2/ia6swyQlx8HawbhwWzY3Pew I3+qOpuTlnveeDhiXtEIu8Cme6J5kngIVPfV9zXGiUQI64neVToOKp6UwYbIDoSn/9DRQNJSVfPX Uk/J0ummrbtGcKg8Xcv+O4RAiMWC8WqMEg8g0oBsY9ZshgIAXERza20bU0xPKzlGJKr6iWxpFY0r 5yXjh003vlFyjpb1KILk7BVi3Umd4yh2yJ9Cq96JyNFafuwKZk4VgdpCZYhhOdZi21PYuyNl49gR fDsTHqQmuHlbSKLQbxkXJBwa7DQfqaSp1cJCOjB76ioGnheA+ysCB9oZDtFkpl3NpP7reUusQ0jQ lm/Mu0YtqdCrMeLz4dhwbMtd3UTrO3IQERNLxEJvUjwQoaZmg+FV9QRKmYUGTL0kgXuHcC7QEEUD dHKeX8rTykYbkAEWwuvxeNB+aahxkCyOcVGf2bdKN4LDrG9jsfKD+tJ6sQMYTjhOfVT8KG6H1J5W GVId8wEVtyEHBW0zxf6VNhmev30UYEaqxB7cZKGSDjLnCXdlcLFzNYCFhvBz1BPoyyB40vsCpm1A p+HfNoh0AguX+iJAQbyLcTadBvMrQnSBRbs2KJ6QD70EIakRldsL22X/WQ36PAhIUuTAuZ3JbtoX 6Itrkr7bD0s1Th5evL3nH+QrCkfX1BlG069U37osX161AVdcqIhpfIVKw1ssyEFXtVz/17Jw+4QT v4Wk+hJNVojpnmt0Z+ftlbL1smyiEa4EnLeMX+7ycDdO+Va0MwBleyRjwZWe6wAdObDyIc/I+Pnn H7tCH2Psji7rumhvsAbeLxM2XGC51OZ1yVJlH15kNxyUWiGOyeV6zUcNO+CeyxGh5y/DEJCjLb7+ oeoop3EEAUKLeMqvOIfOWx86q13CdJDIqTfShVWSMIj/gugifVX4VcC9wDKbPrxA/8+uY4qbansn IpaBmNIc7iEFG8hjQEOYIUJsQ8+B9VbMUW7NtUZOi26S6nLjDXRmyJ3PjJLFb6TSGlETJO+ZTBsy 6ZYQAuBmiW0gnTt7U1ltAeadbWam/M0Aa98TsoBaXz4HMsEJtk+hSGQgQqctMTy3Z4WI7kWzhkkp RhuIG7VWvcsQzKMdIm5WwFoyBCjpAYS7oWF9EijB7eOrUQw8lwJHOXKT+2eGXQ0/i+ZNlpq0Eh4Z 04VIIokizp7/ToYvGCNQg7R8ZGDG4q+zhTKt4T8DFRKcUHc2fu1K5/oBtb3iMuZt4F87jNLX8d02 Ge/kdfR1bTLwy7SDVIBhpjydPcRt6b7ncW2gTMiQ08r2JpJSnscoXIL9La895v0dU2nBTlAifgpB y5iaMSUONl4d9+cGFKHrp/+qJdr5A1o1XxyoRTqlhPqJ8n9P6ewlk0/kJGCHlaiYYZN6IsL9kPaU l874LrbvUWqJ8Pen4wmB5y8yBN2yxvHiT6fVNEW3zuMXY7QEIrhV2bNNVJSicekRhhEVrpjGPq8Q 9vK53yHxuRwz5tBnSmhVOsk5OUi9ipy85UXGdGdEsG7CIYjTTZRjKl44Oky/d2hrvsxSTGM/RDfN k6RjRS6oJy1bXCsfDc0yhuiGwZkP8pz2Yq8k0d13p084au3GPcF+txCsXFGYATma/Ci5nkkng0ZX ACrKW1JhKXVAwwnw94RKzaaT3X/UiyLpQZ+i+srzisUpTLea91XQuUtCF9sDMBFA0RWNnzF/saCV qqXkKVaWgH+m/gvODlykQsYG6+C19o+kCPjLnXhGtAt8DzvGAkRv8eW/UnIij/WBM+uuZRQIk9mU huKqB0KRZcFQDPx1dNn6IfOovse/oJLMsxu/YtJXQM1culThs+AaY740xIzol8GcCEKkg/Z1cEPf kdarDfAhQMk0UTA8yhsCerlIR0KabMP+RchaIyWYe3ybv7TyYkwCRTGZHEtK7RrZL8tLkndyfDa4 C/lQZhWbOd3q4iE8hZqWEEq8HZiWT9rC7z63mSRD8gPE9RQ3dd/BsZz9yNqwKwb4UVBgmc+4E1/C F7OMPE2JX6XGEoRrxStFjfsi5laA+w9+++6AKq5+Wl+BgbPkAClF5powvQ3KaUNt7GzGv8Up/pT1 5rzy+C32Xs19xCs8JBmcBYorrvyww2+kN5YowvCyvgJpqrbnyOHlOCCX+DM7CsNWIENsEf9vF56J Jwxu4O+Y1bcKoatW9SV6xPBgg07k5ruYus0dxBbDC47tVDAWu4qS4oCRvSiXxvEZmW7tM/wxi4iY 20PVHLjUVdtr78BkDpBeIr3sEKwb+qF5RiejFhUoAz8Cw57tXiSeebLcXapBHJZDdYUL1oTy1yVg NQJLuBYYIqbhkRfB4BYqAo1aaL/xoOQteFyI4iCe8OI8ZN0wF2icWKwBIZ93zlk3VOdYaD5J4WJf cJVMAiLIws1N+5cFzEXpyKoAKIawQ+suy9ylBPbwb1bIGsl1W0x/afsfSo0b0WX88NM//Iw6fRAG MhYJxOmwi/7uSQWfaiRX90F0azSLErlYpxtik8olJlLPaTwFRnpR4Ify8k+PGwiz/q0HWq28Nj6d hg6I36Kjtz7o9BESYip+3wpXtMknRoUWNdpF1SKKZG0RcySNFOLahc3Zhg/mBz/AJ6U32kfidl9y BKE3Nl+HrPUCRx/oR/695oQF+jTPXo0LA3su/Urn7V1LHKWn6YEaenqH51ivMyIl+PISBbhNbbLk yyr4FK7z7QeyJahMqz4I0yI6KCcBEE0U1uUcDlvJsWJL6v3V5Y4JIl0QvUPCp0+TDlOQrqZ5m6aW YQAynlWlTYHrpGP8OsmvWlo0H4ADGY76JOA46h+triQGdQgoZcefScL9b+w4FcB6Q7h7yqEsDiYa lrqHxdyOGRq5WZJNcCE7l8eeOLceEKwLwdDs9jWGIZZuDwW30It5FmSMTv0NpzpcY918c6uWdgoo QzONzYrckacmpdFbMkZoABK849AAh4tJkXBFyrXjX0DzSmyelfc8mA409RFNXR9IeKeqSpzMzLAK a1CQGAMav3b7EoSZqF5EHCi5OaQfkgg2kZ/ju/+DF3/GnbPLHnJ1sTqs3kBa9JEmt+HgoB5pgLV7 +rVECp1Jr087ccWkJ8wJiJYkD7oIkY3FkB4LQ79Hpp5qCzDCfHiqgdQoiSbZ6JzcfEpDuiahD1f3 OWfybGsZmveJTNq+maEwmLO/wspcPmLN9Vq2nRUCYuOpNWbn3coI48DnIQQyvtrd4FdDQGjzZieo 8MvAX1cGSTCvvmbrDUO2cTFq0UtH9dle1fVmRD4a8lCvASlBIbi27sGjb3W7Krq9eDsLHcJRT38C 0eksWcwD5Vn562bjdSs4gycIFk57vmqsto+tubq/+TKzADatxwhrM9VZ3mM9qvutd1kp76LfFw8O /GYjStZrRRUzn1XJovx4fYdSWK8E+6TGEQrHQozq/+UiH2ZZEpcWJ91UB4ejO2sZmeav4sAWd+oK zNLEDEzgpf8WdSdmx5zhPhdHmzzYAIBdDI0iItEVv6wsDCGg7jEFsKaSVjBkrGV9TiopwqfXZunN oTWStcKof4dPTY5KUxhePsfxX6ixqu/kDzsu6kwEMAMyn8PpJ5uebblnwmGPDUl6/KkdZFdp9Ku1 xtGke92uWw6XpFO85iK7sseRmuMA5yowhjDNaVI1wi2RaT/Sq1HYRHRoCXiFP7C1yGrisqbZj3se 8Hxp/EtLF/QaycH4Jq3I4dAfQ1N3C/khbBJankl/0B8MdW3xr6Rpben4qVO1mo6USBPoicyF6AhK yHYppNzzw0Mf76rIr0zXBGOeYPOMtr5OcG8mt/x4LyDcP7Y2Z4GUBAUmd1OzKJXwL010eobyO5O/ 0nGfrYsG/a/OO/UkAAf1DG15nG4xQWCadUcYuz/Ev2b6cKZwHMrmmMGRH8OVKlN6vtqVN/S9tX7R KQT1mw8ofh3rVBovTpa9e7F9Kf+Da/KCD5kfi74r+zNQKQWoOu76bxndb17LqahMxBaOHYNRSPi/ VitcGPSMW3le+J2IzSimTiQMQTiAnlowNvG97K1gVYXe/CZrDxYcwpsnK/LeWcSQLFkQ80Wz0caL 2EzLHWtRqF8rhXTEEVoQNvMo3UDsLDTVMDAUhaW7XeC5BRo2M/ybYQBEIe+PpLcL5ZMIsCUtGjIl wMgbYYqPtiiadlmlVZ22OcR4fOotQFLM35K2BqAEdAcBNNLRWpa0XG6msSv1JEwPGMXKLgNclxt8 eN38NzoKlBwISK73h4czBMtA6FJOlfbdhM9WVNAC+MI/BCj6ozxtZdnPketKjoV3TaYUec+CUhfb UmVZ3+2O86cMMSGpg0iDzvRLnNPDrqd+HyJSgMdiyDwHiQtu9ZYjmYWKBdZtX4Nd35gUgAaaMum6 DT9MeFc1mZN+Vxq9+LkUIRH0Nyv1mmTMpcwJ+oP9rpYiM8jgDlz3sKaIsWQoyjSeo/oEHqbpDE2P x6ypPDT3zgDyU7ViPDE24niHkcWaN3Yg5cTDuOz3Dl/2Gm15PUFIkbLECbK3HPO4Wa824WN2ykRC XFCX7GNnK0hD/J+Py+MRPmBCJ2mCv+7GlCKgrZwEyAJ6ALrZvGTbCkbOLk29NEZN6i+/fYot1shZ AkCNUJSQQc9QIOmzsZZ5Pifsr9/G8YqUJgGVcEVxSk5ooMo0W0qadSyW8mpwoj9FmkPdWaKfQs7a sj1FJg8NrsYj6l0Bq8xHs5L3I9vQFUZRQ9Bi4PQlNUPc7mGjbIQaP5G8mru3YgRvxXceSBMZsE8X LG6ufMwCWBRcEmyWCbJet8MBz3Pz3PwAxOu1PyOK6jg1Qvq+qCsAf+SPIOSIWuToTteRfQulURil Ov1X7UUSiQtVyJSYilPxoLxPnEeC+gJuvOibUc4bjyIGImYJJsOveCBzOGyIZm3tnLXNESO+jcoZ 409vjuQtoewWraSbaJG4KzDKQL3sf446G1xA6rbhAa7ghW7+nw7ixMN1BtKeUVvt1rgJgqIC1eYO xUK77PRrPoiav4leSQNfaHANHpmtowBiFNk5v1P3O+iNiNhLE7yIrJcNQTHrtrSPc03M0oakzpPW szShkJCHOP5aEP9p30JJWlFEJ8pkmPNPiiMU+pNWRQapGPpG5bjh1t4q0V2BoaZYtcAwP6ZO7GFb IH/pwN3PT7HtEVj0LLD1FhTd0Qv8XQPOaiG8Mf9eoa+4OrCqKs99F1jAcKqpKU8t4+MbTH8KkNiY 9HFybJOmhdYYU18QJADUJwpPpZV/uPaTPguiXVgx8rVqLS61lDl9zwXsWS6ZBxOzB2BXyPSFAHtL /+Zd8D2XfaaJf1rV9VH2toIO4jbSwu7S+K0yvU9B7cQ9I0FQWc9/2oeu5MPxvq8cwQgsIcV63FRR oLPSb+zTynm72LXuqpBB5Uz1F/B9iYRlggjaMoOvnOQWScAGdnDlGkeYR1ymtLfqO34rCJ1/WJNR VebFz0xFpo3fEv9ILEx0mLL/E9oneRtC502/5cOzmkrOt0+e+et8R/xv9zjou6F78g23TXOd+/+z 80bxPhRwS5VaLyyWhtSDBha5EkuiUAcACvQG2BcgFiFNJ+rvMt3714u1ltg63Lq2x3HJnmnB70V4 O2KTiUnz9pw9vM6MP3rvH9P0VJ2bgfdWvLQaY6tLjyddB07jl7O4Bo3gN7JAJN6VUKXrpvT9YSUS Kq55xyxcR+NY2sGR9NXa+RWjwmsFH0J5iq7/fs24KwaPiHufXYBIKoOKfI++MA6oPuci5Q8FhNd+ g+fqu6na1J0R2LUIhI2zcjlg3wkOhqUtLwRIyWsF73E1AsQwbDGgBifs3lw0bAUSGQA7aiRy4Hyo y1EsnP+eLiMQcrReYzjMcZktqZSyAH4zX/faOXLCV9g7rDHc786BDNBG3ETuUCn3q7dR2nrxPH7p Kyjq6vJEF+nrOBMA5/T1gSdWwEu9XXPm9vZbShgCMRR4llmjzrKPDxrOANciJcKyWqe+81ci/rjb mlKgLubY9WD7vmeP/wzdj0IqlvJUMkbhq1TyqeMN/B0lUJcY7vIMoPLffJq5ZalcDn6topHwvhfc 5JdbEaj3+AlurLsJlLnkEGYHJHTiD2OWOOOfjOVy7oOUmQtcAF+JEJHYVhzND0VdJqCVqL4Nr8kj ceAx40L5l46nuv77rg1qFZ6YqMUvEfzFnAxxMhsQz4hykBkDX9KNoZqCWguVKPG5+6xwIdrXr2nj l0EmRZqZrPjRrqzPJShSuR05Zo4pu+ETUY6rEPp5FDYTXJKP2di9AL5/HLj9qGjKdr8pw7XfcKkk tQbzFkfcLAC4xpAHojP4Q5zgkIEGp5he2Zw1W3i6H3aMWjxYyi0GQffPFL9X9wLSdvbaZ9L5w9Lm swehdarIsCw9k9ZMSgIDzwUMRN/0o5Yj0QuaQrkORZbmJA4YllhrYTjLCYn7EwGnvRkezHso1Qx+ VuoNThbwbxIsQKfkLl8UkYAYe70bkSCfrb8b2/YNJK00gsaco6wR1IQcuNK+whFXSaEkapH/o/OC UDb2fh+n4T3hEMGzN0fCSIIOOWME9irEe5fSxzOMFI1n0tNTImSpppLeytOfV4ZxaOJaV/FiYYeu 0Mung/AYnm857azdYGBiWGRbK6hy38kGgfhRomCmJ7alxJRzx647l6jZhOBvj1R+ClwV/HVUkZB4 xP2+Gu2VLRb2Z+wG5Bbmm2XO+TllTHGZV0zoLgsVOq8b1Syiwk+B7BeO8xWbiXGizJ/1+CFdHBic Jq4nEw8vOhvp4vmm0mLjZtknVuLz6PtYfKPzXQjFM2cmqKf1th2FKxfvc65rX6kQTfss7vFwxufw qQG9gJsTqoPGRPuN+w0WZ9tpqvddXSacm51G4mhpcJuzO95Jp4eBOCDtl10/AGNDp2ULVD7CxL1K lAQDhKHP+WjTYq9IOtQTcXSg4KanZCOL6UroYekdqqQV+GPw6+7MQDZLHHWv8vjhuk6r3f/4SMbD 80wHTkTLRrkLgFINT5ITDoxybErYrTSfmaZ6HITygfItHykPWB494QMKvLQ362ya2Yn8hW3vP/q6 5r5+4y40qEBefx6OkA9UyQOWDtnpkx8ScjBs0gEQgmEpDBcQkUtVQ3K1u/1Ok/yq02qGuVDbV+Cw DNQnkXeLig3jpChbBMkkifcy0kRhvXy244DUKIfCAtPs39giA0UkwurgmNmehTwqbCGWjmgunTyi b16/JJuPRRo/6NSYqEO+i9wwgVF04vi5rXAm0CaENGEJKi3T8Q52fhvhcn627r/VWD2vtElAKMfx 7/ZDuGWPnKzzJ/c2HwuPxUOQTubvnfz9Bd2zKQsE0uC280KnBQunlbcPtVJcCIz+J822iMIFM/80 Akb5wsVlXHCa0aJVryrt3Itc12jwd4G9l/CguHM2oTj3B6Mn3egZNXD20lmOmKXYx8Rb0ZKB4FWw XCU0v43PgMWzIfP8mc8wg8dSHv/PbSdF4dRoZzg/92/roI3mE7/xAjBVTUEai6Gwya0dXimPUfCb CbuTOzvased7UYnUUpVMNCnzn7SRotO7X2LiBZF9a1SS1D9BjIqnn9w5zfiBteezT78+BzQ65Mt4 OE05PSzKQq2ZBdEoEczH7JY3yf8HCdiHirKhgKBoTOV367D9qZ8UNebT9lC76Ywi/mecq50azktu aX5c1Rf7Gvx5Hr1gpwvsxTkkOAIrdhv0SyAu+jYosv78yU6luUMO0jaFIrUtf4R0P1s/r56N9zsZ SK6jro4itxYG+o7uMc6ixuyeX978TZrTYO2HRObN8wSnttkqmLVGbZ7th5d76NBWlXxXskOFdykR cAVsdneVW88YArUGFuLB82MY8DrLdCN8ooBZQNbLWR0cZibJWX83sPC36pjtGicTBui97A16vNiZ dszCq5orh58T/4Xf2Ms5oihYIahuH5IkgBJLaPdbCUaAih5vfGzdPv2g5R/KtMiW1s4h3ORh3CH5 GWpDznU5trS2+YzWvGiQRy1v6nQPUNtfxMfVn/UpdJxlDrB0zm8jZsun4KLCDMO5f9FCxOOednWB DkcuqF3yNUpwStRFJaxCOC37DQwiQiTnVYlE5JLAf2bG9Bio/5qaM7XrbADU5UnjbvFTAplX0Ea1 Eas513MeoWxvZEv4CciTwV9zR3wkWTx4So2EsYURD7Nn04ebAgdV7Jx0XdpLkCRRhl/ZXYXafFqP 3cxHfthFiWR/Iwljv0J0odN8d9zaZ5jYEn03Dc+DpPdB+Lx6MarJNuU+1g0so+Ivc/CDJiTe6eBk yvzC0J6GNYluKS+P2bDawADEmzdDhWy/4qRFAMfo8RXCS/+UsdUv8Nh/Xu99YPWav7x0j+VlCHk0 4oicqaLsk6QC5kU54v1t7PB1IGVtixGrOQejuF2T3uqez2b6qcthjlFYqyZD61V6OaU9LMhoINpD 9S+fzi3+FAjFlfnG4yv9h2hAqg9+TyxUrgf+9t46TsyhO0qgiulqkHfllSu1EU8Cxu97fCNFfLK+ 5iGgv8OqRSfMjktdxBYhxSqhgvkLe83ug1QLJvixlUBnLABKUVD0GePYAC/T99VKweFccCUsu30W i229wQIHIVF4IXlWKMd4qJHJuko2dWb/Zwr9pvhhQNnmXAldfohcf9qKYuhNPdqC1fFLCXfza9sp Snh9rSW+xNOMWKcgG9M5BeFZ9XqomtQ+AbwyindpW9fy3MA8NnYPuWus7n5G9eXkb6yzvff9vRkZ 7jzK283OKW/C6IyIWiQObPYhS0DedTC5PbtU57wPBXK96DrHXGIEjF3MSyDawnId7BUeIpqJESR/ 5xMA3oBv1qhl6DbWxS2b5BvLuhLAneGU2DhTuoUdJKqlIm2GOoqPFM2BdGQl7GKRgJHt4ULBs8P7 LfIMAgHiosVPD1KO9PRd5FUobbqW6qhsv+n3UBqwV0nD7Ybbln39FCU01DozGwvCWgvGrp6MK717 WK3gLsXcpMk7ybM/EdDT7AR3mAaBAwg1YSEDxDq9dTP/K/hPgzZLW866ZKdTIlLZa/1Al9bAzX7L PbRx1HGn2oYIzIoeHQCzl676oUT0grs58J4Ve9Ry4pWlhlSTJRfNaqyscjeIv1+yp7ylRZi7xFbY p/Ua2OVAdDcQhMp5tM8nVbGiNkCiRmwg+7ub0KH4IFUbW8p796/neuvIQNgzX477U9F1dzE1HQrr DZxeqYR3ME0K7CKZ0FR4PAFvwqshW7lZD3IELl6JLOmFY1qnDwzLPI4ZEq9ULYrCZErhjgSQWDHL qmo1dWdgDZG5Ib26oyw27Uw5KbHGJOst6B1nxMaFfMpRaESKgW1hpMXoM0mjGKEoMliDnxpAjDFh SD/cCZ2nJlwDBSYf1cV/oqKZV4ek6L13e3kp+SIf/fe4uGTUDPgpyuOHTS3UBAInjKlsMGA2jFt3 k8eWk6NmX8zLTv0oa9l01AWoHlgI9uR7Wr9NDluH1aZ19pXFUnHxr1sOgiJrtebWKk3e+83PCP/J m/OgSnG+ikr6gvnR3rSAefgLVLT7FFu8CLnigQS73F93z4hwUQxTmDXgjBD+rOsWrwVW//e6zXK9 djzhFHJ3MEJ3jlSg0BK8CBqDileSt/MdCpsdQqGDRpIMnxlzELzBmO8yo3r7A+oK/whVpi2RFXTm xXdNFnSagogJzWqh6LwsORhsQjRx9Do5KhhXhDNcw6SUnNlt+G2ODHFXZoRpwzvPWXUp6TP84FUq OQPiqt3W/mKcvvca6DKbjvUX7we0fRobiQO9cmARJAhl6FoKGHRPrslVxqLNygCc7Qbo//Q/RKBH hO0deaql/7znELAIX1KKhpN7HzweQnz3Hlt2dhguM1ekK1+p6BBtfsCk6k9cJl539YNDZ4hLhRrH +UezoB4fZY4aw5SmiGpR2wElkcM9z6PRLA7KArST51bmKHgLau4arGx/T1I7S7A9InzsnayECtyP svnOImx5acD87iZnEXCIKDufOrQhBIL3jwPbLiAXnOmIHXNHybEvcXfbGI45EKSCMo0mvvdGLu6g usr+Ca2TSgubruc6HeP3UFPf3IORq1ZJO6yQ40fh57hUTWPersPGkk6xIzjLUWqHPVgkB2ItTV9c vgim0SF9tz3WHTx2CKJ/+pcjZfa5/tPllj75uEfMvhKJNk8x6WBtXWwDK1ZUlQ1S6A8Ju1skGUB9 2xfRAzBY1XNPzozULMKEV31wjfsJXMnPEZU3e9QApFhxMuW41U2f5kN4vu8KI5+KAY6lk9gSBRox Xr6HNw1B4mgd+3BYdihkx4uSmxy2Fn9sNvJxxhk9WWSgFdK3BiJYrLsZyc/7g3Pj1PbO+b+zj/mz TcLiWvqmxtibwY3Gbs0+iT9ah3ty+Z1qV45lZg4NeGO3WhuzxP6cgklqRsfoGOstLzBPmnRhRsUf uBmMC1jFuIbeeBrSfeMCbxWvynRFPFxQzv/1UtsyE2E3CIY325F40PneCTWy07w4Kss/G8xmQrwc CG/M/HQaHno1LY3MUl9zgvztK3/M30VDLn3cTWjYu7UXVuabY8SusL/G8PJQDA8I6AZO6RRkHMTn JeQvseythbjE8JNx8gAHiQVHbRsuxnlH4J8F3w0SG++iyevM782iyh0zVpYj5zkUvlnE04DDSWak bH3UcOM4Q7w7/zC8JG5OsnuwF1jgE60up1A8IapyJTDF1I2/nf+G8IRNvbNXSAM+Vg2JFwb9h9D0 g5vFd0EX+fm6jRXamYftcm/zQ7EygeFtZi129yfjJPZnROvgvmgY3QAnvbnhTK0WHDZMMnLaVGpm 2H06SSoDwIukE+VChAMAwkWWI/ssWEPUid1Qaoldpl+ihV6EKzxeV4HLSC2T8nCXXd6Dz8+YGC7b ATzHB4ceI4mUcix5rQC+6AAZDumjVYiko3IncKcxoPeGKC7CfsiwcPlvrD/09/QBcKFsQa/MoSfn 1+n+qUgHL8eDmYnjtOhvy8VEH0VcbWoCJCxnW8afsZ4RtZwPu94aFXF9yw1/QNOSlj1c1rA2EuSw WdChwTt7kZkKOKrun/WHEYt0a38IeUgIIXKAoqoOJWewGE9RPIkCCHyi1N31tVo53YKU1JeQTANW qbrXWiabqwDiL8TTDQtUzXXShYZ1oSHlQxSgd1B2RKrRd9Yxpjm2rFxRBW0bgo4xg5H34ZrBPccD NbO47K+eQ0cePpuvKIO3PLiYTiTMQRStYP63h3j5yDQhPvsWJ+o7ExO2+8qESdPKcdm8JSzgSNkm sMT/Gygnn360P/FVrN2AfHE1r/xmY6IVldJbOSQnF9A67UONBGqrbIRJOfac/5FhYVwho11qMQhC DTF4s5DYCi5PBAKGVK+p09JDaLQjwbUQJlAUtjE/0OYEPQLTdZyt0OdItm4ZkRGVkfXqpFWW0JEU y0Gcr/o09CX3SRFIMY91r9n69XN0GLTG0vJHEzy3lPWx4IEPly83N+WboYdgtk8jN/nprbEsqutM /fKIjUW2WUR6w0QLRjB6jr2hj7ZpsJITLYgV78VHl5yTMRTqh7LwguS+VFOS8boxMy6cu4uXE6FM hjS8z7crXy03IFZKVNFJdd4pnyQtXI7XZ8JU2rZd3Zm2nY6+qJWlyI/Ephyb8zQPM1FfdCWU0Ucm JHjl20b4wLh3XGJiEUzDKh+aS7y/2gA/pTeh4OB6DtgbT3w3A2M15ajLhRn9Q/dqDEx1WhTA3Nfi 4Y/m6hF5gArOHYQInFmXpY/dIH77uV8W8UvAWfj7lv1QhCMQCLmHgbZg4keGWUrnaVt44BPZv0vA FhL/y0vUe4DWCt1gHZJbb7tkFUznMZ/BtoDG6+lKVW5BNIQ533ZlMUvVZ6khlu0QTN9nzSkrGqqE I2+VPvTpZLHs8t5Qp1DkVchG4EJYnMG27t9j3Kva3OLLX2rSzy6siKUL3abTwIWTYBBkboInTjXY oSh+v2A+sG4xOeBRxLsP9RlWBvzkvvakZwjzUB95oFzVGN28y7GylC25bFIqdZJhKtrqpOL1apiL T267iuMHM1OfEaGALbk0+KFE2Ynq9LmLwFwdejTWHR4iRMWSwp/gfV0n+SAWFZMORisYELP28ziR 2Eim+DZf1NWPQKQMJ02/GyCZJI4huLzdNKEh9oC7YmDAcmhGmso64oLuxfdub6zKCOOeiQEEW5eS TYkXGDrG9CESnaBEZZ9R7Cx+/EkOHUEBfpRpCx0gNSB4oj+Gw8kZ9EoJVf5qHfZNjvFP3q5msbcs WTr/KyYZdK1nfGspngVqgkxHc9fIxRixk96ja6wCIfLccvDHR+apFt4a3+DHsn7DRseFydEA7cGQ dvZ7AoCqF8zaTc7913fgjKkclYQTh3/X4EkFcOGoAyuVbksLy2cK8okHL/c7Q0B4y8sy+o8ypacQ rtYBEXN66c2SuHvgrDuYXBlmTzcwU2vqGJfzjCb17eFtuOqstGhj5lwVsKU0ZpGBN3woBWtuMpy6 oabHdKuPcO3Zyk3LDPWDHyd38JFjXUWsEyAlt5Tj8yPBAhY2MEAdrf4c4VAxmd+sI261HSJuXqDu USAWQe1D7tqZBk/LZedCP5HIreQ2BfBUKaXRkLfKP0+ud0t5w0f19aXj68LKKH4wbAN4H9XqnkcX F+vfdpWexPNqTbcNXUtiphIoLcsiIDONedL3tTswzK+iM2ALh3aWsHnfui+vnLX+jqsasp9eT/+A 1XUYYejdMTpCOffDWTacMmMMXbYl95kyZ5hh0SNTg6p5MzzDXinVynLVEuqilX0mdy+O1BOoBB4G cN4l7C5uFnbyOGh+eqPp+q66x/vup+5S7pjxjlT2vCDDt9r5pTPm26LbfdDat27JO5guE2hDQGSR +qjx2H8Unzf/ghp4T7aGf5EcmqZgeKR+XhS9r7bUtOL/TScwEnBi3FxVo4iRSN5JkWa49wFzzC4x XvNBbAd4g/XGuivdNzLf31vc0JU0cJok+t07yXjFi544CHuiO0Xvq48CGzu3VZhggcegiH7PRfSf lWTZMkxXU9HTdwAa1RaYF7xC/IQNig+uxXdoZrfQhgaTg+OBk/617JaBCVTqYFkAM1PHCE2lKqjx Zr+i6GV1K8BTN+q1HFHCo22W5z6mKCLilyqtQp9LO/SlSLcBQ23q/Zi0O0onzRFvNVjnTA98Z18J NlSHbZkPTHbIDnsltYNZaRynLOiaEP7QzsXg4feyUVW/6pbIQsZWrblweF4NEkEXS8q6jGR2jAN7 oRrxA6XChit4NNKabJw/xVgG+ECgqs8iKcWyrAFVneha8eoIcnq+/8ZHejSvrnz1L/PouT9KQjHL fZ/8AqMg86kxsAB2lVCYeRf72zzZk2SXtFD67iIFa2wLqUkgeGvu9a+o8LPDWq6vs7x+ALkOabS0 Hw4352M0n5CIZJXqlGPkdFu4lGeJatnO31ptmJMh2Zy0lVztT3q0iXFEViegzapEYqyLhFFulF8m BU6dWuTKRBCumbq4hOPitfe5fv0YO2NmopCJHu50IS3wIS8nitha/RNkfU8Q5/NLuAGMkbPvPnIf HSTIkSWoeG/GQHH/Ll0EfLHOLjCqd0pVygemK2JYqpqDFpoYAV9DJC0/nvzptrFm/blLW6JJWoQn 8yGcBQBxlxFNe5nKvqQpRLqvvdjBe87VYlitm1Su6vSIIPbeFI0tcSMyLIl26TS3cR9X2BaEgFfo /48bfgPO80P5vsPnRtZuZoChmUz3kRAcUgB/C5c3dUHq0wRZh3UJLnXPsAiO5H9sAKp+XiXr7W/s LUnPGK2ySWli0axA15skNb+Y/Z3x3ofWTLa/Nk5HYXJzXTO4xPx+3HolPxWgEjAqCLtpCYgtsZqm NLU1eSnMELcGyA7wBde5JTPJrD97qC0x2XE14R05gnfNrgoDmGnucU5pH6PNWWBDMMHcJ65DtA4t dF2Yf+l8/36LY1mO1eVeEDT84UqwvtlXC52sbJ+7+4N59/8DcfAUK5snY/cCa75wry9v3WOiar+U OJGsn2YTxxh0zaIoV2Tz/KlUOoe95hXVyPqooU6v+EW3ou0wQhpepXyx40jTYbk06CwU7XuTrM6t /GCuV2Wmw6c8oxf8fjkYy1UpOLki/EyyjDLteuD0hgfHw9zn1jav1sZxXUa1M4o5Z3S8mqg6am4T Bb5saGNNecKLkyEiojVvVA9QnBR1uiEZCNRxQO1t1fmTRRik6gGz2OKccY17JYCdXH+C79IRYtPd LCFLbz8f161z0vRXyQQQFkNJyCZJr2lYR6iw/XA+pD2kJ/4ReRpywz85V81UmwUZd/3bD0eFz9If +ABVoVSKY0hRjUoFKXFqdM2ClCfO0Llv5hkOHb6GZOUSCosvNP+cVX0EYykrJaCHhDhUrY31SuJ1 YAmmRQyP1jB1kJvsCU1eTVYupjdk7y/CGURdcZytD7MuvsjIhuYfrt510LTyu7Y5lwr0jvXB2TVw RczFcS5uM6MxDPaEnBhISCYVLWaZqtORnXpnnA7NlUiK4KkRRF1HItIVvEWHW7pLBGd4WSoBzeLh e8pt4j57Fb4VlZ380Vwhx7tvGwKA1BPy6w6wfGj7/LMCIuU/HDF5UTdo+LT0c6e1S3d9tY1zliMF svB2etXmNe0f+90qr5dGVgD0bE8pvQiPIufvB9r9hdklnVPd0NZm0KmkaDPM24c75HKg9b6r+Oh3 1Agia3Mem8IjHscGwTAg+NipcsbO5zYG0s7QoY0WCEhYxJEZDj9r5Ncw7PPXH9jC+zJAMB+K2tMw cSKhxXeddCrZIBpe2XPkUJRAPqU9BXkiHJSRk2NyjM39N0eHlN7FuNGjpJQxDl1jC6o7hQbWfSc5 ALARhhPY6WxvwzaveAQium5MlJRMD22Ov6XMYY85eZHaesLpchX1kqgQb179sJMW49y4b/ZQAc+h WVi9yKVyzQXMfdOctr8wi+Fp8P3rsdLRKdpmZuvtW7eSiYDG/mkOJ1nQlsjjpmLP194DS3S+uDJQ b+3n1NVgvoWefjnJRfJlv8y/ekLlt47tphu70DwyJz9zPhip4KFDkvXHM+v4+o/SxZrW02hHe6Lg XOcFyd2W2TCZ10Esvdpqi392qzKajuilQb6HJUI575bzjzpVMXXq+qtxYqVYH5yiD1BJb++NzQS6 Qu8jfodu2+JOImMvrEeKvXmRzsvu2DGul6rLGQfEoPrzc+gq/4JHaMTKQDShTsfE4LGm04zC0QvF BbtjEGp6aCXGLJGtDnL5Jah5q1Y7ssMo4MMBmaAHaXB8G/tSiy+vI2dDck86oeSNHMIY0pQegJmo YPnJKm+lzZLJGDFZ2LJqZ9cadmAJuXvh0b9k4GSyODBjGpJ982wgxUrW5y4104ot1PVto/yHEBBW w8BvxD1sBoY6wW5GPPEVsu8vJu9LoYOVkxQ/kFt8T0aOFG8ack/ZOazr1vvHQNgAUgNK8EOlK1XF CRO5AQoCTmibv9VcbJIY/q1xKIkovWIt1raYqkwzyv1NPuPluJNUIgE4wR4Xy986744OM5DnbcN7 G6je6jCsVoWfy839f5UWQ6XdwfqfZWQDODCwgq8kwoNrjYjGZB+HfBPSZ26Yn6YFP0skp1tfVw9i ZLklnlCP8I3Q0b+BFXhEdLrbAzsPDtvKONa/XAS25fTAj5BOz3V81ESXeHuX3Q7mEjdtjmuDU84Q 9PV1Rdl01NZ08gzLSHAsmDLfT/mV5EXj01PcvRxDuw9SnqLNIJm55aVmTHRcg1QuW6/S7s3BJGlf n8FKiZVb7oCVJ5uvulmH/XeBIwu4sRvKZESUhnSlP/WwqltZ/8eXHyQa5ksxjBCevlI3viEjbOuN WaHLucwAd6FM/yrSAzS1QEZtQgUqCU+Abq/mfiRWnePDGUvphI7zMQBSYYGqNHQIIyFowJr492Jz nytTilwAHESm6vLF41tDtvY2NQHCw/YxCS3LZ4RZIQxUIKN/BXkUB/jokOeRYlVUOI3ZITNbmFl3 P7UET6RZOa71pD1o4pnRgmnczCKI2kCWe1KfohAw01bG9pJ45yPSvgGMpdM8GaLLd5sH6sxVha+W GTPrQ8a+XIwj49q1q8b0RSdubJIVc1o8mGjXA6En9vOT7EsB9hRHvKOVV6w6QEWT7f8Gv/ju6Klg LJZ05P6faidApf/g9YbW78ID4+r28vNUgt5WESkt/hQBCEJMhgMiCvUuinjC7pj7A603RmJ84hu0 KHkQGeJqZcLNImzdQM57StKIqkRjK97Y2T2R3YTRnBKnZtqbTmcwKflp86hVwdRdbdVkhDb4DPOx Yj+QxCAGzSK19y0xrxUiI8jC5GQsHZEeHXDVE7GQYvPbUaTtwRahdflwyqArOehMgTgH/xI654x/ VER9Q5tnrjwnW6exRDTYVOvOKrGYfnpIWABfiJHpn7+p5XIwDAloIv7F2j3OghrmXEQusyVe3cTz V4zYituxGEoPAefGZrc/KxJNeS8BaHzUeF1rWLlgoM7kWpvq/KLgWZfYu20Bkn7cUoGfzISJvIBg KglnJMGOz4BlxKIFZtrBuc9KnqtndTcdaKBS8L/txFUdC0P7BNSOpzvQoCw9yPawFuN/51rAnU9g 9F9NhM88FtmOM1KAQwkrjjz4f33NBqNZ/zwYzc88siaswvHetTkf1KfWtgd6PrzkVvVPfSYy1vk3 EkDRWDyi4YURSfgK9X67XmL4FK/qUi7/mbkuovde9+C3EHB9o06ViX+/Gs/m7T0iwgfy03GIkTYt 7xxZJLa0dPd4pD4+CLUfrfH1HH+7RCGZ4wnTQbI2RYY9cHVML3+nNysP6xbvvkHFdlmFc3aC+gtF WHJxcvPL9TlbA+2khgXqH1bV2c2Zn7j4cjdBqu9E6CZ94Iw2DVnBVhoQEKVm8fCbUKq6NMI7if6a KZvIh6hmPDx60CLV/fyrDbxvwOOhu69MqFcm3mPTshYsSC5guaao2355pBuJZt2J4VVu0xX056Ks vdZnxnHWN4Mw3Unnc7QiiaL90uP0gURAYUKmGgSJaRHbhd3HVq363RAwhu8VfBhcOCWyAPj8v2F4 9jZWRriYn2rgVdiL8zDi1w5VcpRWqCBgdpOAu05UHKv349pk3jWgAp7oW3Iymb8DrrCbwmDCilvZ eHtd4roZgyDQglvXlSfS2yeofgrrbYQlx0AwNbJHex0AIqC58/8FivkaccojFejPc3VCQqpu5yLr eE8Z/zqklFZoQBVhjwjfjKplV8NdIwXzRanP3OMp3xTZWPgaGrtqsbDHXKVGXi+VDBXNQnnECUG+ jeSHtD1Idde6aT11IqAXQnhAN3ZVhemaYHamU6PSuMWXRAHRmLYImDHYaFchrdImFu5cPbEXiYYk 7hvR8hcztY+eraIROg6A4dTqZfD3360Q6pTmntTqLyz+duPCqcAvWm6QW1WmYn2TD0/p4QZPE6RG pWqtiv3PkFQ8T8gED8dcrmxhBlRC1M7gMmLwclH27PuwUNdfpRpdCLSEtjL+K8VRsj4OKaa8p+3o kE0fIL1LhLzedqQPWxaovyzaVm2kgxfS3sffaNO+v0H1Osh13bg/vsflaP/j76IwF3hTlzZIuBFB pcUypgjcg4Ql7ysNboIiwoECbbStWkqmdaTQ5enEOVZig+DKYvZKRlD+32mDuY4bIV4/UpVXp86e CZB/2GIeMlC0kALtFpH64MOuWF9fpgX9BPnPFpv3rRV1TZ608GNtG58nCEv+x6Xetlbzes5Z4FO/ AwQ32h/Q1MtH605vzEsQQpqhdTex8/rq2Rzp4GKcOhtSUuYbzQOge94WJqCK2QXx06mzv4Msl42y V+ivj+c6jAyhw0vtbjHklr7ZXF2n/DCH3ee8y/yUrcP9twi04rWOHndi0wchMri0S65/jTflE2tb /j6EewHvP5SOcfQWyZlVTGq8BsEWS+Fo20raggYsXviAmdS90Sx++UcbmiQHvYX8OamlRvrh32F0 muBzyT6o2leU7Q6YP5uqw05c998MxLs8IdHlPpLx0ua4hYoUEPPzautNtqReC/czmpc6lbVzRXhu UQFdNcXd+/TykT/4EOlSMvUs9YSEiAlMCVY4ctRa7N5MqCq2l9vX+Ji34VQqYXRkbDZZojk1Dr70 MXtHSaNbwcNy22Gwy72tRevTu/A6OZQE9dFrjhZ/v1uNvePDMAvSkE+WyD6qxFFBh40ssLuAFIws XwUjhB4oo3HRBilj5Wu1mAHtvDwtfUSAcZZ6L2u5D9F9FrSEYdM2hkNpR39roVY5XxENzdA6qLb+ QXj+nRF25uRY8KXcyWdOsmb74+NfyboD0yM53OzzKnw/MtZSRGjwiQ1SToGFJe5f1fR363HcdXc2 aOVInF0B9PO2Zr5aeoBSNO8FLXpjR5IYWicvfFIkLQc4cEouqj8u+PBXK9/b/mo0Ov6AsQHCu/oA Ewnd8TtFnFVs65qaiTm63HTniQH/iWRcSBbLe9sMtBYATQ9GuKfsGQcVCe6REaytmdYCT1CvEJv/ FyAHHNp2pMX+/lZAI1GC8MTKiUWbVu5gX7bG+Y290p2/mdIYBdQJ06PkLMPmCLPXg406dY9NVNcr EhrInQjJt/d1YjJ46fjcU9vM0xO46gJR4Z5CYiMvDehwtNel/P4FLw4J9gdwFESnYUu5p6Fm/b8T VcJkm8dtD3l/fUMttirnd5Ta7X8BgzEG0L7EndjaMlyABS2G+vkW3OnUA68mMoKHzoG+iycr/zls 8YrxW6hwS1XKVh++HMB6RsYsWvTkj0XPR7YRuNJAvTfUTPS8woVNj9XpvL0NX/PilHiKBn/Bjpwh jZnx0DG09t/dmisiXnYhdQERlOX0RGak62hlTa3Sarkerh2FJJEaNS2HiUxyuLtbylzjgns0tp2d uGd48L0UK4h4bJqbaqfOganNJ6cRwel3MW3wXDS7At3B5ZntPZ0B3V1vK85/mAKBeUHBr7EusLHY rFdGQXiVI7PxKYmE94Oje26nPzkH1XGtYu85J54vLGTyQDVIo8KkAL95LeBMZKNFzyef8qPJWvr+ A96a+/kae1/45DFKNTYXK21+FaoR/bqmZ0cOqXZFRHUMvQ81sm5pvptf1zhb9m7ajKm/LXOgYLR6 e0aafzzX1jIXrG98sCvhlRaNqeJqggmciC4xJB/mSJk5gdhQovzBwRLvmDyuYJQV7l0/ciseQ7gj uQ0nlPFpOSBPHgg70CSTtA6JgZdSWyFJf+aavGyT2ZotImdSby1utvybw2T4AjKwiqw12VWXYMf6 8+Ya/pIF3vca87JOiNY/UQxmHW+A7wyN+GumdsNeQh9vY+Ga7WbCZSxcN96sXfk96zY1zssnfhhw yehdGqvTMtoV6ql8prpqhXcVQx93Uwed/KRAkL4a34B/S+pxDg3i9JlON1UOKLYc5gEBF8nNm98T twYOneM2OpR7yQnS+UOX2Vf//aCa830Z5d+iyXgctfWBK3rnYdaNhPeYgn77DprHWrZ70D13w+MB AY4c6VekAb9DW+udx4u0CXxmK6d7aHWCmXYRmv6a+bZRZqv8pRDVqRmyqdKtc0WNhPWlWkeVEajq c7vl1UuNkkoNm0prVIZxonnKYAzX555kFTxM8UgCKhFyi7j+9WuJNUtK2FfaGkhBaOxE4KtwkHXX 8pwKi3m6HvR9ULvfKYzaiBlOHMz6l0zj06W6GkRhQPSMy400XyHt6sp1VwnTa+WIzMrSUXQJ+AUf NWJ8ufyUziHX+HK84lx7EVyeEEzq41pfSR1mG6P0k6MFmEE9wKzLhXoVylXi2djFVPjn4f6IwzaV vdXIouyQSoKqvLfjtl3xF8hP1iItazJ6EhmrIzjk2Tyb47MSDHMI4qtQB1wrNtPPdFoKTyU1syH9 JOqUfms1WXG06e5sJU0t0iTxFOcigMrmlHC8Ea32/3ybrbb2OiDt8VC3sIXxTCxcPmRMzA3Hmrvj +TQoydjzmLe7+GW7NKrAxhC5YRzTWoENsQlMktM8D1bTelM0PW8CcyoWZMUDTTzHil1VseNaj2/0 cpkGbrsBPalXzoiUGc1euZd3bgd/u+twSDJcpYYHIMFeN4vRzhx9OjSYuG30vS72CCtfq/qnhRyr rNPI0EqNWrMTS82SfCqhbG7O4AU5M5PRHfKLwaKTC2ECPh1bUnz7MCp4LBw9ddAciLBFItAZVSYo sTZ43rFXVr6go87Fdz7c9jv0QfiQdpd31t20UCfJdFlSokKR4kavta3t8lVUMfg82hJ7kKFF1Yew bKgqkuGmppn3gHGneWBt0pAsc1zy10Zff40XENkovWQgJLSRcvmgLzizHjeYix0wbgFL02weenoa 4SPNMeX5M7JUx5sMWWe5v3YyAXZ6x+j1Dlwy2jCd5WU8fOwrlFhMObTfxY+tL/gyMQ/93k8SWjcS I6jgvhfuMQ7mQ4k2jB/afoJLN/kCGv8/53GrK1BdiH/XSwaFhfbxlDPQm3JS3hr3Vnk2nzd9rtSu bCryPh42YEG8wjcjmH92FwfoG7tY8pwmpK4wHf3I6VSlLPS6a+jPHei6dSBRju4j17rRWQtnnfHl mahXd9zQ14Iohtaazl8fY+eRoZy7aUenVCkIEoRPIQe+doaYF0nNbqhfVOg/OZMU3RHKN69FBySQ F1iY3oemrkcNz5Gad86LxLM2FFbcu0roOesc8J/RcHvD4oZJqVfUZZfdc5wPE7H8bO4RL+GbBVPj OE+27MM4p7kJHUw2OH4MUFzyMCDwyskbXrrtM4bp8nIs6YTt6MTh/dR1sCox5UPxxlgwCmLgFwUL lr6yYeGZ1rCm/UiBjcYtU32C+2OVH0pvKSoP/rlclesu90Y9dmegtXabBLL//I1zQ9NPEg7VPhyn 1a4OzEirSWipwxwbzdztwhcdmXBnX2Fwb7nJ1A03aNOmV0Muw9uZVSVFNsvFAGCtLBn4VPveUcSW aRLv2xSoD+5waZTWUKgq1MDE+bIcGPmYFkGHPVNDO04U/FgSt0sXjabh3dyedco52HbUuX+emCYe EzOfFxBZXAP7SOf41p1kcmcWV4J9LBQ+wc6diOJeaxTwc+TJTUpFjXQ63pFAc9JD9xzhjLllQt2Y jtsk0PMGtKwkzw9a73xiFYqxJbf0EPaWm0vSopa+lFBRHI+Nd1LkzXU/slboeAnNE4vL1uj2S1en tjMTTZMIY4Jij3Myprd86xLGyAscSLsF8AkXZxvIgxlXWQHumEN7uc7vcYuy3tPNY1KkPH2cl1aV uZekgI2U0/20Ddy7IfNVeLjynqjTbriD5wNb+6hLz9ToxJLj20dUk0JXn86WNb+VEQvNV+cOGwne pXPMX/I7eSG6Nl6JupeRSNgGguRENHT5HA6xj9BgS8Pbvj0uj1l/F+aDzSfu9rLT6ITZEuI1K/K0 8DJebr8EzhYYrNyrd3rnLm1cRvU2u6KTgE4hNWet1sbtEzDnJj5avVAb8l7rvrJVfE4mvgUXxT0g Ao8XQroIxCVo87rUFGJbp3i7lu/G+4DBykRqrml92dAZlYLH5sGIL4ndrcc/k7Whjrg/NXwnQsiD 1K50j7dA/D1Ug90t+XV/SOgcUt1B7D10fGqQDHfJbgYtV2CJ308hB3dnBrsDJHR3hcM4Wnlx46lP iJA81tMWee7LRaMaEtSk070b3Hej+mq4jktzKBCOt5U+F8R3Cvx+k/3eliAgdfjjz13JhK3KI4Lv h+7oHCCNSJxLdTtrACrjVgjAJrTDbX+JDT36HlDGfO3G0I+lJhv5479jJTtK6RfVXIme9wpVtr+R tLVTsw2TUcOqJPvaw0IEXPxPO6ikUDNgfCpKyOpC93AihfOyGLxIMOIpq/gx5vGxur1pvHoWAray ApPrfZnCpqxVEJL+qAGuIIATNFXVaqPwml62NPO0TWNccq0lPD2W1dtlKfE8bVQEVex5hqQzhxtr uyb9pfyEIA9vo0BqwMgFEGZq0phdoWpSG90ie3OkGQxHB2S9n1Eqjnj2V5r6NCUfPX3cH8t/h8zE V3Ke+13kH1bgMc+aSGKBuRR25WIfnYKaWI1XkDV3UdSXVEmS81wY/SQEkBUCF+ibZR3o4lBNdnoi YPy17VI7rSB4ZkPYhWsTIYljhG+kZMcvAHa4+6V5hACjCEQnDfaWU+hMDai/7zzKmadbVEzJO9rQ cSzma7IR7fQCvBiFmSFGvnyHCBLJ5F1hSis66l2piNW435WqLHLbuNZPLJkKVuosYOtMrLJoepcv +i6CqRDLMMAmR0jRoBlho1Tgi6YGRlf6LNgAiSNc9dFcnvK7zrLxsdp8Ogip0PJJfudX147uFrbt Bn8eyrhyqW2coYer/AJjwun0AleAv9xOvSr+ZTY3Bo+c3HB03UglkGhnOwz4dGmQjJmsUMYlETQM o6iEPmL63dJJZl+rKe+a9VH2PnHhDauV3nILSOVY7OEMrgwflglnVQzrIsCDVEdJr11JPiTwQtGv G3GF60E9DhHICgllE47N4LYVOHl4o4RUsNmjIzs0SCqd9Yw+F0fuy0xzjH7e8g1m7FLLCniHVsaZ E26KbPwz7q/C77P3zPJ+U+ptNbrCALY5Z5ya8nwGSvKlX/02gjw7TIEYdpoPZ4NyWSs2ygpY1+aI l/F0mYLNn6Ay6xK5FNQPoinPPgkLvkDugaWmhy+MMiB7c0YYGTyE58EeV0ieRcFwHapV5J/ZO6ly Z/XRyajzTzuenlNuqo+Tx1MZ6iUlrN7th5Yqlur0UXZhIllCYlr6wMvJtErXPNOms3hUmDozuuXk a+F4+tq0k8jF18JNAXJX4dEeZD0ljNdhf5byKOx67Kc1K9Co+fNamjJMo5yKUsyr7TGjiOGOlM6X LV+hE9QfyLER7gZC2pmS2yzXKwgCGmCYvzyokzR2n/QFKhIX1MQgTpGqvul4sNDrdTVTdv3f4rMG mFM7iZkCgIQcTpQ2JfbswFOcaf+ZslunC/WoEB1R8JUFWTn5mHEMR2pNT8uscn8CDaqfyuL/T031 J7EG5448YuUmzwWDzzz0+SR6RwrDRuEzhOFctxVMGBDMODQRZVdP8lQ8/ftSMn9+s/AJ2ETwc8kO dgVpNvEofGoXO2mptujvUsoxqOnMv5eMC8m8lLrZnkaREghrYdx7yjb10YiFLqZfl+FuHUV2s2AY PnzCxLZUgJig0uqiRE2SJh/FgAeEzd1Du9Ic+/X5Rc17q8/PfpOwIBL3UW3fThm2mc7XZai0CaxB a/Ln+bp3NHkDQSGMzKSyq/Fu6f7A28grsunf6+QIAa7Csx0InioqVLRXHzsWP4LoU7l8TAgwTc5k CMk7zBADj8JNRFcQdJFI3+w0/OxHaNgwBXzknMApjTAvPkH398nm7E9LbUflrg+2P5swV/AEl2Ds jrnP/9r3cUyqlydUpA4ZT7Ww9u3sXGlQZ0Fin4dECwU2VbVIVdRlz1ClRE1mLGNBxeAHFoMk8UAN 08HS8sLcf3/8SlxTVJrT3/Zwp0uLXYTkEdakYwE2yAr2kv2RYTh3pVadPiCssi516u1lldbiWPed JP9QPBKVfw4JC2eCniCuI7jdo+x5qD19HMB4a/xJ7pqUiOtxz3N0TpMHKue24NP0du6/wZNKX0bl TXnSpv2MOg6sKvCxZ8e3CgKU7Pw6Yymzj7IZThYjmWMgS+3zwrCcZDNtKTm9JCDJVDAgG8fPTz+4 bJQOrrxL+K9BSIIGgtZVrvmhr3FPabzPFDm4XjIm24c3Fi2jethM6OMJCCX0yo+SieuasKyJuail wFtRB67oS1RAQTMDvvdert5v1be/u6kYqvBBi6kqvqkhT5xL8minxcDUjr9eB7Wg2ddcJKkaGwHb R7ZEGSj+YheMYiEPANcVEzWXw4yMxRWEJQjenGIYVYiR4mXakcYn/DWsrlJ7EKF2TwSvmogiFBru Jum3NqGQSmRh2UEXsolohSRjTEoeANP5/JsYFWBBph4WnJRlzZAiHOMquoClStpH0uDzvWdMJWJU fKUa6sdqBFjwCUp4Hppha1wCpEFpIylN+Y0ywXFwRdCCuvLT68gF1+Sn6iOEhlI2/ryQ0HqFNixO DfLp+bTO8JNSYCpOs/NjzXBMJcg8NB9iQtVmB5ENUxw51FR18FVafmzrywhTPn+bU5m7WOd+rF3M W72pMCpRIBvxngbAXksJBc7YfRJC0oZVZGtPyjEuQbCsMJZbIdbNazhHx/RsiFqWQ7xv/WkUV6qb TqUxqsOyM+y44iyEJusD0Ia011B6gKy9jDPQqyHSJDFFM5OzhZ5yMKyZrfCqEnT5H3HIyLlHl4JN tKjbyaUEh6fpHZWjaExJQf2wG3dvXK47044i3uFsb/uv0Ah4WMlx8fin7/1mAAL1MKBfTMcF3ipL 8ObhmLJa6M/pVeXQ9S0ufn4EqeX4ET3Sfkzk7HCa4JeHG1Ma338swx05g3Pupwl8QSBG3nOIPQCO taY2N3WoMqZ2LeO6sYwc560vAX6CpQT1ojo1e5EF3CaepZnsAi8OvoCHzTTa1OKrlg0vKdl2gn0N 6VRURvQT3oeZl7bbDFEnR+nHP0pGcJqQiLWtgdCOhgwMGyra9kzFOMoX4gMjJ/xknGN8RUQDdsgR tlBJQX4cZ5cQ/eXOuDQmVKRg2iSy71mMuAiDANn7GnMBOrMS+XsadblpmMTZmgx6ALb1uYQmVuzA 2kQGYHKLcjaJ2qQjPx16b7QoJn3wdT+cCCBDMcEqjaeaNk0r/yFiPWkKW5VIZgxOKvKDwdW76+js 8YWlG8NzAR+2d8mqhjDLgn4XnJka8TZZ0wnT7MRfu9/eOCLi03jyoAvku7azEV6eTSTRC8ea9OcC JEqxzsj7fA2+RIi7Y7cAy3t5B5DLa8XYoVVHpu0nN71V05Z1pKl2BtdzfqmywMkl8AlL9MWYzpnJ 4G6Z46efAQ42qoBW3HzJBJy16i6eDb/0ipIMgGFSlOsfKPgNeBG+e2oPcRSSfTmFaOgMP2lb41Hi CjZXjC00+2e+xDTq8wtr3LtHo1DzIXG4s563FJBQ0eC+FsKpqW7vR2lLUU9semDzxiQ9+/h8XEZW +1F4ozr+TANFHlFeB9XhO0aHGfSy6+92pot3L6rJrNpPWtX+JD46+OqRXDhXosWy9TKPzK6sISEV DB7EF+/Zq3hlK4Fv1T1jQffRjwCjAKjnGL1Bg/1U5ru+wsUQoMh9MTJfEtJhC/f/A/FrAf2RrtHB vKxgUUqJrRh67dWehHyybsJmCWITiYJd6dZ9KLsK8MezIgxOLjPNYvy6taGoOKCMEOyTn/9Az4Gw FesvAD6ksFNXaL8TZtVVu9k+DW85lwhiKtWhZjhexX3Rl9pRuf0JhUNVpCY2+OpPWFHi8S7mipF5 iq0uUmgvYy6mynv/GORuLSJvv1W212AUzXwuPAqRrJe4I7MhC26ADz0gCsr0o/fFXhGwaa67Vrj3 S7gq16JEgJj+6N2Gr65KdnAE4haWEZgvAY897SYeog9IN6pZWUOK7r46OWsk9M1b++VORAkW3S3/ LtD5l3YTI5632ndpt1jxL+FAMNUNorJuIVF8Ldd7BcTCTFUIncVjCBJM5frDtyxJypCnna8kEZuo cGkKHCPARE8ZB0Du7j+rcWRBE/Su6sFWrV7eoeMpj47LujdJ2Af7s44uILOhLfvE8SA+WA8sEwLk 6Fid90EnQhwnfp11bnu2dWJBKZn43A62s9Q5I56Ez6vpGA1ErjZc0tYCl8MnbKly+GcM0XGXAZYw W4v67ekEBAW1os1LDpNb3xs0XmKQCYtF3DRu5LxnKAMqWR0oI5dSupBbbYhlI2Dow5XauFSUlLDB 6F6ZEG2mlpNlxiYdQQpNH8s0eEVu2GZCIONkthtw4vQh6t4neK3zTZK2EFiWvoYXymLSf1pqQ5oB 7QKQ6EPVzDtw+kYR/8pATeUOPdAupYYIIx2L4RrL0koQm1bWd2XLbJI54gPYa4S8EqFvIjzXBCqR t4W+wYiNVlJ53flmgYEc8s76LvS5iN0LuvCDgmVfvCaO4ZdemaluBdYGbwmamWMeTLv+NXFSwMhT QckrggqO5emT4fa6NzlRFE5Q4jAHm0LrLJdfmbX9kFRC9R32eXlELAnpu4myVHv/DhVCTLu6hSUA M52eRLXfKD4m81i32g4yHSlW/7HSUAa4ys4fu1FCmGOfrP3Gaz05D1qJttqpToG+6q/7N6wCdBVW 4DVkbnvxeDAVOCXs3wNd19nyNdBqXRY8LcPMXs948tD8cxTapF5gdSleBQwdynACqgJVxJsO/EaG DGRiaxRKc6HAVWruZ7Qwy+7GYwyJetVQ3Waq6G0pXouj0spDg5cWAA724wNlX9cbzJlsQt1HQeTP vDUgTwzcaCcuOHoWxysmbsAZUraQK6zmSSrFItjYuyQcJe71fBlkPusB8apU1gZctpNmOp7CVG2j p8sQmPOZKuX+pCd/pmqLCgDFpwoJRACzbVvdZ7CoeRU0sle6wognSI8peM3uICH9Lj3pQcfkVAI5 dhrNyfUz+Eay+pQiBfq0T0N1FRfhZU1BSDoz/97GaKx7JU7sxPpDPiYS49KleaOfy/j9HhN6YpsW Kh/QPMRtL5DFtrmDMBiobpWh5OukCNOqM8blOkcm94gimjgzwQgakX8hWOAE9NsFLvxvbbDFvrOo RMWuIfhoHB48KmHDgRutWU93b540vpwPGPgL3VAh6gfORTZAZhlRMMm9tsuVq7ugpOP6ZwMWIhHv sVF+I7NowjUw81R6s/BS4WA69ILSEa4i6lwqIL+dzNGCLJtkCHK+6Xkn4aj1scYRNJPMJOymu1LV nMQ3ssNy26yo6RQoxgcUdfgDM15Pr3HZusYuXpGenlrbDx0TAYpu1/zhnaC+frjPQDOXlxzI9nUR s5/PIctRIfgl5MiZniMoSVGM28DKKyaX0WWJMb1sSmC6dyZjXCXFYzOp9gOjWb8Z/tnCplMsf85n t/YeITStYNwqfyjYR64UANz9U6X+5gSMRomxRHD7sDz3ykaaAeip/kWoqGea+9fOXGzJAYlmXMo7 p1DSYXD5ziTGnx+GO9pRx1RSyI1T6+/Vi+bovBp6ldae1r4O9vEO3bDPVDmffL++er5iv0qco70F h7qyPIHJtCxl7/ySLvB/BgMK8YO3cN2Raqygeg1Cjd8uSbkAYkCR4/SOM2Z1B4YHOYi5fxzPemP+ ssI1/VHS6raj33247fQHKIO8hr4QOjuVk6yiqR2mFu2U8smbR+M/fpW7OvM6ZLpkIQaCu6OkKF8x f3ywmuHcJq7XBbwuG97jLqVfD/2bF0tBFMFow4tdnKMLu4pt0ekah/1vLq2tflmfHO3Vg6BFhTMk aEz8UEtF7+1veH79QOpzeDh1tkmxEVLZW0GPpwMsd/BaEPIjfFl9+3ktAk7RD93ctP6nrKSeWVp9 R+9hWnsh9ymNlQR0s6i3NShb0IDampKCzrFccip1dnMIetYAzWnKwjfdx1Trnjoh+TSqp7oepTVq qNkQhrgG5SHYBh9s+RnkIThyY7cS3pJiI4oVsJ0z8VA51sn4ij+BLzJN0kVuUly701r4B/8Imxm8 rEzH1KobhBUCRm4ifJs0i793Wjq/0ZwDjtRAAxp+Yvp6gPm+Z3DGfN3qZW3arG89ouBSNUT0WUjI CHX/zPIwh+mV9UF07WUxd+lEWOkHoKD0RbHlCIEYmo2RNq+W7k1ysaAF+uJ3NKnbro5eavJQ7q80 rr1lwdNRMAc0BS8lAd2FrEevATaysGi9Dd+w91NSi9iAyWsRc3HUwH2baNm0IkXZs+3R0qSNxJ3+ rRZVozvaZoTo8FMikds3gJs2QiX+f5p2QUDpysiPhMDpEDhvW9gPsSpLzc9rV3i8nwf4IkP9tQbg hNDY+tahIWgn2Us8A+X3fYHAsApg2wpuigyucQIDJ2g3jw5YiwDttYv7zsuAyru3RtAbl7wBGLMw b8VZZH597WJ/dG9JVkm5CZjihhSTiGzUKAW2GRpjiWGBBow6rIKf498c/0oMQ3kobvzN//mdwSg/ rJP2sRJYO6+TDOZsB035pNcFglOEoPYHxmKhi92KY3XEK3i/Yg5uzkfW8MqRgWdH6+UEV+g299QO dEwVwYoNK/5fqh8A10o7vTDaDqesL1hwc9FgQv/As0n7sD151N8XL4vkFbAySG5LKlgod8AKOJam HdwKkvOJPau1Yx8SYVSZ2Em5Ef1rALEZtR4BqRaIxYlrPLTupqQvnSmsnH6W5v23dg+I95COtde8 AaDdo27zAFGwC7HCNrZxX8P1TpR7lctn+u2WdBdmdUXpZvzXNAJ9+VF+eNYApTADem3zqejecITp EpDNcCSYcSw6Ujw0L7iEUR6uozcea8p/NsaaDOzyZIdXMQS23xNTc7YcU/j1BJCCQvdXGxl0GeBE STJ6hp8QVtCNrnMB+x+qki+sVkzSbq66SuB5d7qOUIVzDsNMvxoIHxET8j6uBdg8FVrbqvIVXH2b +Ydy4EeOiDhe5QK8EjFDHUJb656CJ1Txlsp0suFw4QDm0Rwiz0agPCjpAIAEJIhk8WL1tNHNcc2n zP0ZCwivabuP4zs9n1jYgJvpAiO9ibEu10H34wVbI8hy2tFW1Zj0iafZGbVcXx/byB5jKJ6imPc9 6KB5b8kGJpkT/LwpJ4WMIKNaI/XOoENJZDRVP7RM1trEuZ8fH/7wsfja3dF8NU77Hi4QYQ5by5zs gZWGhGR1fy66cD8zmPAV23jv1xeTqcZ052rayPyGqmAMBZ9f5v88uyXY8ebmxvEprBek6gj1cPKl tZ7bAoBypfgUpalxGKxC3TdhmRNElkuitFSXt3xPLfvTZ6GKEpImiI8G0LYIwhyhh9eGn0wbOSpY ntDoFVAyz9PKzZLXQlSxhOMrIdLlspCJTVTwG9iClEXsob2/Z7yd3Htv1ZlccBa/zI2PAbLqq+d0 FHfzcCaUsr8w2AT5es1OKCcSxziSFu9T1PmvJYD7kGzZXDKhvx0s+INvjtzM8NW/HGfl0lAvNq3M HcaFblYIWRJqpc5bTX8ziVHmDazti3lCx0JcKEprlosHFgulmJyfUN3DJXfAcPfpVySmgdWY51TK X4ZAlbL0TE8JbC4QxH4t4wr8G3H7eqj0wsdnYd+nSt3KgH6D61qQR/oA4BGA9dH/o15Yt74gMzuq Fq4YumGuTTUb1KBfDUnEc5H6Ti7S3xqisuaJUe0DmEHSUbRfYD0jcyJUA22ybEmCYiLH+fsRTMsY iPSJU6OFThU8mCdpOrxW9ZY71ZHR8Pu+pPb/vxiOnTVRaHnEUn12HZA0A1rK4BptQB3ZdSSRK7bG hLD5eaUHwLHoaXcm20+nrxKQZ3zJlcZ7ZmF8FmAjG5ctZBiWWaxrUBpjaKSqrxGGhpdLlFaSfT8d ODcbkvb136O+neuNDRKv13Ojqz4tgcOpNy2wlSBPRqWHCfZwB/QpDlefLANGnX24upLP3U9DLr+T /cMvWVhXAvek/wOiGJGPLJ37D5lCqUo0KDbswugRJiw+l3a7fZ2eK45Spzh+C4H2Y86fx0909oAT KmuCeptzl8wE+6iEgaUzn2c/juiiKzUlOaz8Eg9IrQKD6PMD6+Zkv+b7VxuOVkR/GiZRr52eRbFk JQVEIC83QJ+uk32/B9DaYok84fJMs897xupxDr1aC6Z5B5IzTXMeDHm4wxDprC+NcSdXEi81IuG5 q0xgPrMFksgSG8uwH1Ggi5JAkkHWedR9nDH5p8KRJX30XcSS3dFl0ALthIYuyDb03RqaWN3OoeaJ aD5YakbP1myqynuuEDYpVZzQbyPmvTKdf/PqMe2AeZ+DF+S7AoR3pTG688Y5HuuvO7vPTtELrcko tQ0miS7Ss/87QCmDphU3VWuV/XanOXHnGEAvcn7H/PDin4sxVNvFnlo5Dx4iCG76Nz+RgLpdTYRc BSPrKVdghwXAYhY19DWVzJ0/nbiiP2at24AqOSTK8ME89s9yODJSgT8f9KrSWYVQHyiumztzLa6R ed/k4dkqnqp0u0FZ9qGoSQk45aiOS8EhTdXqCIOQDvu6yeBRDxhbcx18s9EmpYRQfXh/jvnLZHA3 xZHN/mdo3uMjnd90hSmc4ooHSu8GXn6JzOidWQECK1lkDtR2BKikArS/GaNwG4ZvMIPSMi83N5Af AgFEEqFXRXzHtgFGJGBLjAi34ywWYt3A9sKriBHMe7G3ic88TtAnK84sw0uRLk7a8q23tCYZUujG 7t9xbuv7V2eWoMTwZZLWcGJJObxHFsFkGDa63pXhDtJTBCZe2gYgRPRdjrOIiNN+PM4dSqZnZnEo 2X+Q06RbFgdV+wYrGBtQTzLz91J0NZDj5XL0aEpR4n/NzsdSd9PqicumyHzWYSLQJuYsXSHFCf0V A20NFs0OpWkHvkJmUQVDfhajRhlKTamaHOJVNjdGIjdVL8WjBNxQJCql/4clSab4xWGaxsqm2SnR 2JsWjayReUPJOsuqm7luHVTMzoKc9QuvoM34NVT5XzOXYPrHDUTfurnqnTI0G6ggFUOkQKe6LPkx KQov996xz/vxMJO1jzHpjOVCUVh8mSndI27M20qLtukL3C98igXjOe3M4+vAKXIctu4M/fFZd1Oc za09RK5B+d+zgTwQEIPLA0G5sOfJgXI0YAru5gzCv+bb8BEm+rW8LT/ybUa49SF1KGSbxDLJiae0 NXNDWx6v/Ot7WhscKLZxZFkh7uLqtU7reCGDSsEert8WFfjLY+9QVE4oROvuW6OucZJccqp9FG4v Uk73jvi8DvXL3dRqs+WFebkNUZMn5iUUlAbiagNPFo/oaZz6SMBUkoxGDFvKA9D0plAfNdOGUa7X iL78IXWfMrSLup2PfeTaNrgzKwGz5KUo2kUbglAnKfiTkKzKT4PhL2ycbtsqjUetgop5bPUN2Icl +yqu8GOHLC4++2SvZh7XFC1FEzJRbK6TFgNpfzFmCK61tnbGtrWBlCidT4R4TU1M1DMfO9cfjr4K eTQR/f9v1s4q7Ih6TeB5vonYu82ZTXWfTVoPFTI2wwwzFr5NHmU7DM+UAUR77NkSh17K82pGJYOE WqhbAYWJFRaUX0Ew3wOHrU+oqQnJ3Zq1954VzCWBn3PsWcO6qgljdxE/d1hkEsMMyX79FKQpwptN oy3bcuW5EaPIlh0TuZtIhx6JPlEOExyzNzbdod4g5JELfzgRAfgHXcevfaC1UpPZ25EdOi+qTdwS 4MTiyMdEu3WCui0pgcPnASmGEVMIaAYvuRERw0wD/ueZqdZU8JKykJeb5EVLODYaPb+iJXsujSRV Pi4A4o0pL7a3BGvrpOCT/c+GdSJsO7Wd0yfQXbI6DWqBtDjl9F1DU4++F2pfX0MdKKQsbXRwAouv vSbqJe+Jo4fS7BhLzUCI/NPhYkW6V597BH4inX5SGcCRS3hE7Lmh17ZP0Yk1/cZtBpQapUXPDxDn JVQuslkHjkyDuDrrAogGWZy5BmB+sVK8ZeaXcdEztaKA3DYGXB55I1cKMgzvjqrTuw9k3V7dML0g yPTQnS/6bY1EwcVuJqhMNi87rGnNsJhFTtxvea2H3435GpmYuuS6NLztcBSXLM5b38K+/dcGGfHF OVghMNEOGXVCOP0z/tM9Oe4cMN6LwY9SkPbIOPeYqFYQSb1gFY5Nx8N8Le8r66DhimmQwj9BO/xL bs2eur+M3vvrVyMOk/4JqaVdqY6QIFtoSwbRLPKf8WY+YOYvnpR1Fc+NG32iYHv0oKuNDHBwkJYT uANxHyX+dyEOm3s5XEQgGCgcSrVRLi14l8rZ2uWT7bGupgxkzl72lXWW51/mKn3VL21H9+GazGj+ rmymhaRcDMbWSpZh4Ecx0RhLrMbo3fPTz+RJHkqMg7bL9a10KziNP3Fgtf6pvAOCsq2tpoCkX/Of oWMbNq1oO48YvQLcbx+YOBAhOr1PVcmxS71S9L+yndUFoBBbdPO5kdz3DtXkkPxJ1GOfr2EKWT0e /yOEGfV6/fLexbCY5LKCbVCgpFW9W8JEKf86IkJPKNedogiW0jM/l0Rq37ThvoSfRR6nCVw1G7kY aGyuQSsApNbFpN3ybHOWRtpiGkFlYpzOFBnMaW12gSehOWG6IacbNjDWCBoyCBkiYbtWmubYNThW +3CZaUnimTIZz/UgOLZ823JFwLCpxGS3IRf7+4unA58s5FppSMKdcmCslOrMG1XVlT0/377+ytkK WtbXY9qkSILpb7i4EPVsQBc+5Ty+7E6InPQQe4c3tIT7ZOyI9l67PrZ0iDS+vypiqT8IiCPSAOJO eVsVVlsFKHeXOIyuUc1SG9yD8kmT47e1Hc73LYaVaMLGZqsXelbjFfPKLefhxuuio48akHMjfMw5 ymKThEDjQ5iV611xWUYGKzgy+PC4ex3i60FUxd+n+JzNMD82mwEtpZr/u/GiF3rDcjjgL9hSt9Dp qcSEJA2uhq7yMnaZ/z3zaKtxf0fOJ9YR//HVADc2v/rEgDdUXuBlsXdlVzfna2iR6jgj0OsmGbog 9GFcNJR4u3Xh881ikQTJ7USCwklpndeWiUo2AXUkHs0qu4F+r7pjzGzcHSwRJHqXLblK1TxNJdZ3 ie76AKFeERrCo7UOT/zDCwgKSSCKeCf+1prKKp3pesblfD6Ezqvf0jRSEEm8FtfgHhIBIu66zgxw 17/xtkj4xraa3OU1HRn4ON2k3OQKLHlAtgDDMdcpdQB9ErMxsGzKbsnPCUnOg0QhCpr1rXd/crve /rfqaMjs3I1RFr8Peto2ornnW6xmV1LMhhIEDJA1wyMmUnkGjgEeH2Bbhw8Xi4BoFtsoz0j4zVm4 JbrLQGRKfsv2RP+n58qALz3PcAGe1IkLk1yO+7QevMfTQuMG0TXsND36dyviylRGEBpZiCz7qGxn ZXwTkvGXBGIJMVTaQqSIUq7LBFhqNblHue3jhaMx5/hWKJzpjzKN3XZO/k4JXXMR5+yNnpFMzPdr 1aU7aUJx3VX52cu7j6IhaVPFo9bUkHV3wQuSIHuYFbXjBfnzoHDENIb3Jx31xL/wqqf1cFxLWsPv q1SfAAx+cnVUAYyWCf9P1nj2zc+liTp0MJ46zAc7vkd4RDBDDvakYbwdSri+erR+/Kgukm2YTumC IKtOTbrsE++TI+QpSlPlEZPdHT2hRwzXWNlPeX9M+2aqKjIE+LP57Ucblj2BlP4tCSHQkgnG7H3t qqIx8atXonkriuodu+H3IVIDP/SMcvkrSu3BxfjTXyJkjWf2v4eL1AEzqurnikLkpHbO0nD0wh0J dGZJXsrk68TXCIRVXcV1sta9SmjkgGOeZ/miqqfuv61FxO0FSSjCiUZAHjX01JvBtRP1gEwCvVaJ Sq5mxlqafi1tb255/hzp+Ke+9LHx798tzcugxHvQFWSpKpUYMPl2z4Dnoa0BGWP0QDxZMtV3pjCa BdJikRiUeuAKVrw114yxEzk30mAB3ekf6yqb0cB85kjYLzPiHR5BtmydINFES1Gp0eCKqX2b+GAa 9RSq5peutQRXfzV6VLKdt2HrsHs3l85Hiji6ZMbZtnsia4Tj5aetsFCw4pStzps3KEJqZ3djdoIf UYIBU6EhsvyuK1npzwLWTvEEpMjrRvmlg3P+zdgAuze9tyxjPxcwxoFTW4ePlKyzniJpTiECtD8F ehO+xyTfzlM/z7N0neYdt61ANqiYv/STusr9ffooLQi4Ye0A42kJyb7rokfzAYB11imET+Pi3wrP YCHy2IMx+q9mrKnmWF/d19Vfm92sLrhbSGz21eIMtJBZoOgeijOmhOZK2aVScvy2tzadI9nlppid goRd957C80eBgPd5jFNiURgyYG3QE27s3pv3XzFze5YJhbiKm1KmZvOnhg/wWrJjXs+GQ3Pc25x4 gjvc87zsPx2dNmYhvirsXSqpxNbCKWnGTdCmby2ucDDVXzXFdkv1QFXgzotgexSu6gmdxucA4GNB BP8L2rNGTHQUMTm2duzxvROHZ2iUzk4vKMCyy1z/rSKRl1DHZ6VrJhqEVfqYWa6Xm4WhyFZ/08O/ BpuiCRVXagsdGnHcNLpTs7GbVjRx0LGyeKgcE6BmkIDta76mjTHrXx+CIHi5WbFQ632HYC0Z977s tadFuIkAY+nJaIkTx67Zv+gULYmG5uqGQyPOZWnAEXH5+Vf270jht4s7hlm08Nl4s+J1C+vfLMLQ 3SzwD26VFeH4ExN5pi8HHPe8p112Uskz7BmYO8vZJYvS+egF0YSgPbvLrtWj/NQ9ppZkpGR+2p+L c+dRmPLTj0HYfeHSFTiUndswZ/e3rQaTfW6P5ziGzfcg0Bn1MfIS0NFI8yzOF3Xd9mvG+QotT0Dn 8aTCQICNonI5r6i67WPrZAU+QQBh+4WOyGzswc1xpS4XmJkRg/mqiMBAADYwaBdPrdBoeomqcKAn z/+xvhSM3e5VqMp4Wnev8OUsEPzJH1DPoNrOq+cWYgqIGSzIFIXTk1AQsVuIzimVa2qtGj7jVtTU pZ7nUL3FcehQonUcpWNas/E8CDEMqmh16YCAcK78jV23Qh5Qgz7GKpnWCRhF/N+UIjonDRUBrR4Y eBaSB9wVlKcCdKtYr9N5b6+5pLwRBUQHcDNKuGatpwPCAIqE+Q4GohqJpMybktD7p4gJVIWlAAcI gIq3Y04zyUyLyCQSzqafDArXUt2HYzd8F+no7w2tmWrFOfmWTetVKCvtDe8fPvP+G7nP18HcNYcU 0iJ5IXz+frzfmnyIE12BCNIT8oc2vKL1v8qw6wZAvXQBL8Ona7dlML58xVnPWp7ksyvYyxGhP7Ko zxJQQGZc5BU851j+uKepBxjEtB2NbVbDlNlLDdMinoRsOIicsqCTKgsQH0PesUSyWAHpqyq41XeA JaBQSW+1lMFj9Qj9Zmxz6oR4A/ieaFhnl+gzCkfGaJ4VRi45NZ47NjXAjOVXI4qbIf8zClxWoyMT VseuzR2h8thfHCsNbo0cJNLN7FEPWJHz8N/vMF1aBapFngBALg7tTLVYhXIkESnUoRW0zD+rMfwU AMq2Pwh78VtlvDq4I8lnoNCY+spaOvfDYLCxasOghla8Mkq0yNDbKP/HsRnhzZeZzRhQqy1pkQU6 uL6iL9LiAr1eRMY8LtRdo+i7dDtrot8d8sXHlTn7tgSIFTcw4BA++AHuF5J/W5XX1nT6aL6VsaK3 1M1mLMPPr9ippOKmrSRByQ4/HpT6ZZgppVjtsTNiB4tpbsWTfIbJVygpiEDIEIblQhHsK1FenHbT qBA4022d4mPNyVznyzZM1aYEVG+RgdMoWhVr8CULqlHMMsg8h/+v+sOgllY6ao3X1M1RpqJUdLmU vwXXB8rpnbvnxOPrO9Fp0aYHDfCYFkmcgTi8auNLANrJuqzIjxnWNtp5V7Xver5RFRQUqavq+eGi 4Mk3zeeVO4kbzG2qBNHXXmP1Avr1OmDHd9EGsJhJGj7C53KBI3PoP28FdD8fA9ufhOAedXepfrkq fvLC89vbQZXNbdaIlNcaZHmMIF2B7Vw12JsR5A4WeY9zs29zUGInzxFncbR8hsH5T1LqXN0AlvV1 s+lH/NdAQxv5wd68vdcK/1i0yRNGzXsvpJfS3x9ebHq+9AwojT13yunH+HTw+bB5fwzo7Tr89JoL ++Xb5Wqw6MqiMouwR+UJ+g2ry/ARqL2G+5HGlPAjkRqWIS4cOw0kZONBOKK5faTJYRA7KDZEWKWr E4ByaKBPrDnzdRuf4WLyiaQgW/OiBQWYcvYP0FVBXAFgPt0ySiZDqpum6BmyQ4te6NNddHLStcKE bkYJmALHkergtO+Blio0YmR23aBXNaX75APDQCktnb7dJwBSxNZ12QpwET2MAE9bjfqdhefBOlPu kAbdyFbW6ilLbBIsecDhRcQbYEpOikCelluSmhzQIg89kYFhxw1q6y1AKndR7AhLDCSUUJlX4xj9 wQEkQRg8cgq++PQ+4RGBh2hrIJWrzu+wA8k3T3NILKLyVCUyb4y8O4lmxk/qAdPE9Waya+yzw8Hy O2rJ3qHMiQc4exr55pm22MzzTImKx9HcPheH2r0Yk7i815ClM5dAIBh8EJFr6jMyy0AzjYBmfCnB XVKDTrMTrdhIiHPv4Efz6t3gJePGbi9dn68DveFdrFkmT6bAT5c+2O+1qoa5ZPAYRBI8833M/auU oCtw8tEYmCEVifks1z6eSdfYkb67apQcpPZzH5olmrXHGx06X2Ygguvq2Ug/5zmMlNec6ZPpplVx GaD+XjBdB3o0NgtJPNYx7FA8IinaoFhrRgrBomQISvokITqZXXe50cynOYJyRlTR3ZW069QvirDp TwQrYsJ1EQsm0mIvtWVkvb7zHmOYuuB8JgTOf6wFSB1+A+LgiN9bfYV+3kF+Hl3DJQcR8Sk6SIbZ rUIdAoW8VOflOaOJ0k66KoXPN95jDXFmLNTY6A1u0LCbxloWHtPmtmxB0Ar/eAVwi7rfQ1U6+bww rdW9BjtDnHL9EgaX2k2dWDQkulkGzBSePCAJecXhRjBviM6srkaWxaoaJu9mReSHT5pAkeABUMdX gdYoT73Jvfvc6M5zk1fMrzi21+0b/NTdFnhMz2ACyOcsAzucyC/8fEPeC+vRQRsJV5UMPw0z0iyR /z1t1fY1SH1TjOqeIu1/lRgGP/TM3tZLWTsalIW1GRLWYFjowvE5G/dzEquiH8xMK2ikewoZWO2s aeQm00/C2Ys/dkj05jo+Cz7dAO/HHUrbNqxzHfP8AqSDYHZGqxdsnTfpELoY7QJD5TAKBFQHkvcm b62Tyg/A2fvd72QX1c8VpsS1VM7eoKqg4UBkSwqwTr3lwqy6uSU7ihRpRli7nsTIJOWMO5Qw7sBn 9SgO5GD7utX1hxtCBu7+B8sQAOa9K+tfhnoT0ZRECA93rbkT1fTD4VOJ1qOrrylb0OhC9lEeEwCW ov8wRmdsrAzJ3UG0c5lXbzo+FNmbshlKhy3hb+Vd1bkCeDnztQvVIoVyZkGo14omyj9Z+X/Dw0Ts mO6WBbhk5NAm/SphPmki4tTvKsMBSzSYPr8ObLWKZj4ewcdGh3DYQW4Fx3Ihqc3lslg4vHuVYjHE 9toS9+GmH1zUn1TSkc/EUMZd93OXY6MxskT2oFzYs/2tB/QPfFSG7N27gQGvC201ki/9iANap9un IZW11Gs0Q7JYI/PWt7heiUe3rHDzIYMZj81cvhrHZOAYi1bBcrDr2bzUDsU/RJQdctLPilHNWixi bCCS53c8LmtDLVxKa3/sU2H453woM5Ck6yGLvDXfcGHUVjhTR0DapvOf5a9F4lpYWNXEBOOBuGA+ WUInB/DTDWA36s80ftnu4UEugva2IcNk0sq0wIpvcPFbNhA+U0jOPB8zflJvvvXnx45M7ijlXgG9 QTg80crbjJsVJnkreu4gbID2fvEJvly+pKnk6EPARINkVb6lBTMqpnl7+TQY/dSzEAE/q6XaOCvk QATc3OLqNJUhDsi8WFftn8agioTTZiQNqg2UKMnKoJdbLUzhHT1K1P0HQp+uaMH3psF8ZOI29Ekw V9bpQFGNKCeJv1JYJOhnnH2Ta9xcEhcwVEGx1Hp0iW8mZq5wP7GxeXmrOLXygmObPD1fFwkrEYuc YoED5o/F3ckzaq8FRWWqxIW6u277vNPAK1rfuis0Xt+HwgZSSlnoqSA3cd/7wBGvuCgbhhgn4kNj igNspxwTx6oJLkMt5sO6SjyBnWGbH+956dBcXraqSo6f7Gmve2Ox0ZZsJJiGU7ZTC4XZUxiJn4qv yoiFO2b09YlP6iVIopBdUfcbwy3etz3C6D8/p2+sOoyOfCIRuxONJgk2kEDVwZOtQlVOl5d31rAG nEardgxhkUmG8ukcNq2TUKyq4G3mepRTRaxvewwUybi0KO/QAvQ6IEKs/fEYTixRkjiPedYv0W7Q 0f3amjhhVyp+8ZFyjzWv7+RwpZhD7Y6ipkifsqdHoTUw51cnNoTQUqh/AqPU/VRvlbB8Liw6VsTs 9SCSI27dgEjNEkj2sM+GFaDIAESN+HvtefKdPV9zCzcbRrGL1XpvPJjlVy8fHf7+YGsecNtsurCP J3amGoe2BonxHjaB4hIY00HVeYVjC0gcESTOfaMj4W6SGcw1jGOc4M89NyKi3GdW9bNaWFahbKaA AxqaRJ2eg1s5PulaLVmHTxHWSXZ+k8S2fpO7DsqbToB1KBxHHSSRTrfYDIgZJeXZKQ8HsBMO8JVF 1CcANxsgFG41DfL3saTD0oUDn+OG4HIyq7ijv99IctiTkAHo8jfPwaQrPmcFp/BlFfIxUj0Ytcwc UesIJPR/TYXm2aQY9hlQLzgERQNJniUQDSqxpk2y/wf3Rwvnne8j9LtVLhgNU3ZoK6EHcXaQ5Rf8 JSNdV+3LR+pte/Jy0gTdQSbE66LXEZFWqHfnwBCgCX5VrzAadtcpg4CGbH+j84K2eusDR+cLxeF7 vIFbEvPqqxyURoLwn1lL2u37cffJuQF3Ejjte7m2K/UhP0AjVkjro88OdUVFgQACxrOJJcT/P4rc +0HIvHtBQiKo61sO68Mi0kaeJ3MdUA8JUV9A6byAe1gBcaYybGEZgQ5Pj37iazQ074wrWLk9xbDm p38xAZtEqF0up2z5tRQjNo9PzKcS/mv2fWwYvASTet1CmZe9/buBOlGwjlJ6jnc0T6myHxHBbV2v zspDLfP04u/6KXLFb7ZR4AERsYj5g0QUZ1ctObLD2t9/rQqlOk9jXxLYxL23SYRh+ils4+IDpIhR d0WOboiEuDyB3Pza+y3YVCFG+cW3xjP9Gh2AnEtKurCaESmteIFb3ZVOAFcLl+t8w5Cmu8dyXbQz rBxFkSRE62/4Y0+KRlSqOy5PYpFUdXG5GTUgP2uF8tqoCLdXFrbKTxVRf5UPCOLyA/IYzhaRdMLV js/Q7unwuCu1ydoIa+n+gTvfj8VbPHV/qb7tkuDGquafBQoXS1JBMc5LsZg+iiEWp7Z0FEuIw6kv 14so29HkP4lQQqwbtNS8qygzgWGqNEyapCsCRpz/8KnYeDTDtaQ+56SM7QF/3YxZTn6mayTw7FoA 32oIpP0RvME7hfvXmqX+JzntVMsyiFdTczUQnJSKdCOw0CEvtg1KqkSRZB0gxowB0NEb9O+VcxAQ RdjixFLuFHW3/g0U9WZDKxMCI55hegVkNL7Gj0PEPcH6H2L3GC5i5P3E8+bnUkSBmOfv0yQgmPwb E18UNK5eDEPzyQOi5b7V73jRjkmIXiZbBz5b+jTG5v5lImW3tcA1rOrkcRwoSrUwedtXrljFvzus fsY+52R2LMVyqH8+Hd5pxxodKI/PvetYYY/4VCVv6dZgT470CPI4ZzCJtgs2Sjsq8fe4tU3ajHWJ vtyXYmDXzLvsHJvLICuQRWJksxNcnZ86aK5BMZEiPXAYwpLMPVkDhf0+tpSyYHU8fT7K4qHTkOzm j1Sx+HlBKoCIcnyC0FQuLCNXmoGJBPzG1z1GPHPoKlVr4D6NbxAaKQaDS5e0tQN40KTi5Byt6H/v FY01Qve3jDRWumgxIkMKOnab4QOXd6mnNFUkCZoqvn4SOjVV/o/kZf7+N0niu18J/dM1B4wD/FHf edCK1194NtQeSnWZ59oekOVgJAVLpRu6P3Bo6Jchsz5PWkZlpf/j3wOKa3tQdy5nJaJFo/kmSQ5h gLCnytYgz8apl5CiQ8N8tPYDDYqzYNx2tHA/xOQ7IFlVgMLifBBJrg248BMMPPO+UDvvpJib3LnO 9OwOgtnocpGYA6L42iAcBwpY9nJ/HWSte8tAI0PzOg68EHOg2LinvL9TPGY05bvAuHyqT+6YRXAg yyVs4RUXyBY8wlYpiJ88oMqM04IdQavkzm7oiag4qWZZgperY/3zw7tBikllJt4bYkvYJ8I8seLC hOkCfIrIcZcR7Ua2n6NZDSyPbZv+l7JUcRrl9SwSi8vQ7XBuJs17rMIhsdsPY8jqhBWlfL7gMYLg r/JC1mX9gG122iUICyc0DWdtHqlW9R8s5f9nMF6av/VlRrNElfizAkexTfyGj8bRH3Sg41ZENs8L FdZVH3dEUY7mLNVlncQDFu7dd2i/uw++y7qV/YBWASB6P+Smd6aiq1MrvvgFZRmGAXSVs4KPhyDo w6/2JOmH2PHYhTzIiWAhrXBecm60nS516DHogWVGD33IRSVd0yoC0ar90nalNhWC6+wDq4Q0x83h cKpD7RbfvtvEO4RNGywp1202y8KBbWTF0FEkiqNvcM7oQUTYXWJsjV9h48lCrJcJ7SFzutLoAHXR MHKk1qjiHBZb3Q0hapvqXIXkCWkkdhpadzxriK8tRp5RFJf798agfEtKEjqtxZR8rPGPyRKmt2XO jjyV2iQqqkdjBaybtQ91yX400H7LNcNmIOmyGYhJ7j3u7LjM+PH6as+nEIgoUzu1V7/ALXP+ZlIC pJdn6R7SlOp9D0iYNcDWea/i4xjy+qggwy2KvIqB58CG679jAn0/Ow7Xy3AUHg+V2P0NCTtadYbh 9sT8aq+xlsSJ71vsx/x0M404Mj/FRAcjXPhf/JD7TOfQngK1bi8jCgfkrcwPuFgYpJWcFEIKXFmK LuHwpCJoeWwQ4/7nvNBexPgASNfH8W9WbEzXzcEHDxkg+n3xP+nLcyv58J5PnXrBkdoQMuEmEu+9 k9J2gLeRt5Mw5vUwkaaCuZd8bFdrGbRd+Lrg9rlsH9JHSi89zKxUbQ5UMFBGgArYIPhhXzDrFFxr uahzkJa91B5/6TNHTyUJz+6zIAwQvQLIrhiS6gXMz3v+NOIn+nnzhZcACPT7Dl4MdtyYxNIyg7wq bfTP2Ed/h5+qgAT6Og5nd7aVM6ZjcLHN2S9G9fHUBuNPUKro9KE51BYOrovATBCvuWigeqtsD22Y sWeNUzmZ9KnpHZTXC29x/9eY7JjKH6706gvoDU1eoNowZKqAqldYoPMGjRBkW5sV5/ym7rUI+9ME iK14osXS3Vmgo7c7wkfA69cQ1rp3xjSvzStvLOTIB69VI68qbDWB+34kFEguEpkG1qQXKPyMGbYQ vQJKVpuk2Ywxq0OqpQw9uD/N5k0Fmo3y2ohsD5oU/y/bBsCaZkiveFXDNMqajX+b831LV22Wgt8q f9U68BSFU651Plq2aKmimD9Bbr3GEMSDrUkTQeFt5d3B1I5FegFs0tBM0Rk2bdOveh058GZ5pnep m+jkj1l7RMt8yz2Qn2Y7gjbpEwS4uyY27AUaV+dA9iwxRscXboPTPNMjZuMLZwSe0njp2oysZ/+p LziRh+83MyP2qdEoDTKApnQR/dLosTxcu20ddEk3KHAj9Z0I5qhlbOHN/CavrBUXF8PZ7HeyF6R+ /1Eq0D9QRVdr+SFqA9gbBnxLpxuJl8mXUERQtOwDEkSagQYJCg3nt9K7d4o+dymqcbn3mHvManPV jOyVzyhWPecUA2Q/tEU4IA1oeEyr06JrR9HuSJ5LLQhWFRcZkhOFwN6JZ0M/r66caWVEZm3G5zyq 27AtAT/PHEOYVyD1wl/arnoUhHvCigKEIoEQ+89hVcx6bkTmjvpEqCzbydmWW/t8dvVCEVNPa9l3 +JtlEsGhomsjVn6CyNfRBSfDao+DUW/zfHthRZ+V9BNFTO0itwC6m8O+EymMTxo4Pi3lEs+9O7jw 7wJg6uq7hImhRmle/VwsIqW6N/N0DX2hUp8ijckYd7xmm7U/OVVBlP/LoQcWjPPmmCAZIiwfRYMG 8icpKDEios9A2NZ5topzi9isbVYD91ClePg+g8siv8K7sh3A3pcJ7djbKBJ2ibNfjG58wgWQI4CS zcTLVRdhi2liykwHPwaAYnHfhUUBgCsUpre8csvk6KKuOKzyX//4Aqr0ituD8m7ChNHBpmfZcYGH sdbfpigDKhWI8iONohdyDVVwN1xiABBmAk/XqZvkBhIvdECIpcPODu0EQ+0MU4gLw4sIY9MHrj/5 GaMf9xwNs/BT0CRgxYndlx3uwtOWWLhHPhaTBgxa+8ADzQ71r5PNzXPPKKGNl3g65+ZnsKkuvz39 ImjrHj0BDU9hZzuo5eY1DrDD9PWN3VUsm5SIo7YGpNWpojdWvAURW8tk8auOlRLVGyLRCLI24/7X rIBKGlX6uJE86sm+aCGWXfJ1g3/ZCfgzrryWfuzm7MzpeyY0ocUSXYYJ8xO/DsHlqNr9L0YFuSx3 8gyzPI2A3fVNobdrMzpO6aZxSm+IpEN6cPgRNUmKuP/enObna0hsrQsxjRbPSd51Toc98+m4cs3D wjafa23tvAY8HWEmbJYyNxgqsQRAEPC21tYZrPnsWS3ASLEHPVZizPapREUU+Vuamq2HkGhRNnwV wu6CNOnE16aya/R9TFKA+/FhKc82OVZhBYalEoZuftt5bChHHCxNQJYV8J5lyZO//sKaoXoz5pHW qnDkSFF/3e4YaM6JLtrKa2r3UFenwAKoPmmWQV+bqxpgO8Ss2THRGlWTQreoPjkWgwwlYWwhLchi 3WMOHREpJpJKXXcaG/yiTARBq01N4dp+JjZ6lGuoo9tbd6cudB13AzaGj9HTCW8eKuRdF3NzYPiV A5qEBTu5J3cyoAXD9y7YxEt4ItqfANsRUF8KH7dQqmclqD4ZJaoORy/KCGb+UZHKWYg0eZZ+n6ZI Nkh+FWNweXH6MAlYOcpu/xaS6b2W6sPA21Qq+QhE/9M0fw7DnNeS6Mj4H8S88EA3PcaNYenBuOnU 4mobMVcLyHkLvePtTJB5OzY4qvSd9FXDDWf76k1HZAvPeEK7TdApKwkrVBZJSYtYbvBRYk4BgAk1 JrJG2k1KtuwvoT5FnZjKTT6I0FWKGdmEg9TG7Lyr9l7C4lP2FM8MHTny0PnHoseHTixYneQ9Er1o F3TqG29xkcB7aWWN4oxlFKk98IHzE2cesf6J8dJREwQlSoglIYBKWAXQd6s0IZImh5UXGn2m6e+I lGI2uaSvb8fiYWZrp9xApcPf4xjarATDMmciPcXsnhIovk9oKP5bYM14DQe6eMRA1hmY1NCccrWg slLKzoykQOMal/pM7YLVQDA0BOhUmQqMeMlmFK+6AYqwncWJG8H6W49ulhjVaJGfCuXWt4lUP4nJ Qfs0sale6Bsen+EkcFuDhQniOW1jo6C8FjqcldZ+e8PzeQg8mH5fibb30Yw+RviRMmfHmgNspnV/ nmbPB1Z0DlRLo6pytyVMzppcQZtxXLw7urMklvAp6xTJDJyw3E0+r05BIKkSwkUpPw9RuFnLpW1C YkrG1E+4orj7PKL95w7W0aQj7UKlJ5Aq6UPtYIQNNIZ+0VRCDb2on8hkS04S23hJQ5bRVufvtPJ0 l7A46sTcqKA5UqQn8XAgODX1wCKsGqd8rPzbtLsHOCMeXEzK03kXMgphfKHw2NVg0/UMR9XkdUKJ AuVB52TAKjGE8aQPq4oUkzqXsI8kUz2prM14Xupq8P1X5WAopBqcRS7nZ2/VnccQzNxr4GRteGPz LBDEWzAXZOBCHn8dtETHEkoggTt4Js3ZjNXMSBdIG139cIlRkhZSpiPd0rtLvJMTThfQnUMzkAAm JVfbCA81xVDR/NO8CH3/Hxtdo+U7tmPBwD27vawmCsU21XSd+ZTDeKNdzWtRpL8o986F4yeZObkd 1T14kUaE9MQ72xhkg0tAOuxgzy24Bllke8GICm+OS/QjmDMpDW+Y8mlkvtT1cStZDtRmTAkNkeMT CuX7GoYCCoJTvXGrI2/XJfz9JMoFr6aulpwAuckmFVoHEwH/kaknDAEi6QGZaVYfkvVGV4NXV8aC rbaPzj05pCNjm/Tdc6Ag9fbJUY/tZ7K+7RUSUrvQezP6rQYkxxQz6wgpBVvSdl3yP8Mf4wj8A2af ST+Nkv+3HFemdzXgewi/+c04FTLZ03sVmPNXf73QGr/qSf0pqsPpckMbAvB39kSfU8iYpp+QPNo6 mNfHA3FUN7+zEnH3S0AxFVaQIFrqewp1se01+KilrjJPQxkMiPLLibe27kbpQO6czw1nbqCq57sV NEDpyLgW/OPzzoAcDWSZVotbCKaobmJx2VdBwXRh83JBdHtkYLlJTiDv2e437AsIRP7tgVLFf0oo D4Kc6SX441Vm+W0127P40rggIl6ksGItJonMKCoxMv4Ky4DAy1Gm+c9HhVuCY9Z3sTDxf46Nuhs6 dDPJREijJZDKLN6H7nBg57fwh1lbiddGDO6VZpQeET2NrGbeRypPzc/irec/Hq+tY06RZkJNUfqS kRojv586YfAlrSzRrIVO3W4uAQenYQ+ADJttViqw+B8bYbxYeWbqfmT6o9HYKW+uXYEO1u76xD18 c6yduJCAKL5fyeBN92GxIrbb1HmomB21LrVmCPB+qzEa6/TIUxUoVyleRJkMzPa31atFXxKKjrqb 70R4cFt0BrAAZ4J5OBs/TB2XwGo8mqMt9AAoijn1gAFs9Rr/QFIWb4bk6e8M6S+PhVcThulfVbg3 IYXrgyNYx4nWLRVbNGXcFQvFqC9osWDFr74QOo9uLxYRq3oFvl3e0MscsLVjyFGJqkNIpAOssK3l mmR0ANjzYpPjV/0khqLuygxgAxPQGHeu9dHHGaq6sgW0GbCiDcHkB3ALLSonDejp/gu2wckAEqLe Fgy40OwOwHHgfc2cnDMuWU2y7PsirkhxrDpwzSHQ9FVWo34tJJy9xycbfYTwBMPOF6HZM4BkJkLE i4ct+LeZSqCuoBCyCq1wS8RJKsdlKoFYcGx5V3AgkTczc4gg6cgdOd3i51FC64AWdhD/5N4/MwzC nsW37dY+5qiMBkCutNqoS1m3KwMeMuUN7oS7Oqw6k17f+oWrayCrOAqqcM/Czin0gBm1IlgNNytt XdeVWonxMMvJzIc5Jcfe5lZOXclyqDoHgPDfWPuNqyfe/OtJrFcvQ7GA0GTVDJ5fbbpKyw7z9H+1 EGveBCbDDDwEDbQEO+kNNr9uP4bJpkVuEOYvpGfVSCykmoJZMdVtV9Lljrn4Szo80NtQNBE3+z++ yeJBUuhtrhsDft8QXl/JAYjUW7o/v5nWY83TNTKX61pO8TYCQvxtgQ5BsAKFlA0m9kDPdi50FUG6 vudSYb7NMfdrKgAy9JuqWit92lV3AFLxvjaUlFWdLr5kOqv4p6AyRHlFVfs+U24ca2AojVFPQNMd M4qJPG0KWwUB48H8VjrVr/l/yEvkPASTU6lcwDr6faI+jHk0HVrBciSqcgonRXqvatyB9MmrtOjI bNzqD62Oi9Dh2ZVEkWZqoI3LGBCLbzE0UNO8CwXX0LRyBmU6PtFiF3uCr4qs3A+qeIGhOriOM7RU 1HIUfzOGHu78o3nW03B5cZZVzSioKnbr5LlCepm7ChMwjbHiQdEFA5ZHBJfl6/nTVuy4KkKCk8Rd /yo0AJcyuEf2BSQNriOGtNjjsYXPHU7D4enoWNpCPb1LHd3PfD4Lmz4X0OxarXYoK+WycDTe/0Ph UUGKYVx03x4UsBKYT6W6jDuIi3gEgMPtJio7c+jvWJRk7DZ5Hhr83K166RVCaBhWYcnMfQVzJVPE R99Nk4iizTPuky5ROof+MVk413ttfo/sC+cO5JuiZHk1I3hO9zSv0u2Nd4xF1wV+2FtVkqbs9iqF mu4l0KPtqoAe73QzeFp4zRXLyLvaG63zhc+BKjNV+qbL5hfhdJ/a8bEwvV687bzmxxls4rYQR3a6 xVL2oPFQubA3peFkfNiV6SJf6RDQqD8D505seWEyf1nAqJqkkxlvNpV1z8JWWA6ij8clVmvwF56P GZN4YGDVXGwYVtwaLtvK+EGsO11Oqdqu32dDojeaPy8FxOXBmRnIMj6Uzmv9EMZ77rzLjUOJYC1z SYImAiYOaLesrTOrXcIZ4pn/GWx4mw2aVJQ9GF53jxiKXD4qI8DPYZZwb6kvZAW8U/OqPjcQtfuK eUhyInh2aMwbfhbFnGMVBl5miwG7/YUhYg1q9ulLC7hyxHhIEJZaUOeb3VTazszF/MwqogcSVCIs q9f0XwPtm0BpbD+ndmFQGVXM5iZGP424eUNy57uzfGlbktdCj3rcL5o5GuGZZ86egIcNjM35oJzz oJL6wQTAgsDZmyssh7SwHjjueh/xpRfq2Q2ilA6D5gp/YJqUPgbb+0spCgJ2tUH25/ndsi3x0yeQ IR042F2FILO9uC4U0Ju2oXFnuI9KBCeBNAQYTFk7FoUwM9eYeb3Rkt9r30+MMkx0F3d77Kb7IPYJ ftCXTu74DScxMNQyI0WvWqJA6B6ZLZJ9TwqLTGlB+aIyoFNHDfC61LnkODhdLwatH1J5jbY7w81g 7PRoNXzsUl92hXQ4ehgTt6Sf2ua13A7Zjl1cCxMsj07M7DtuWI4bGDxhTyyrxlydUZYkJeelgKxz AEZW2H+6dZZAENfIzGafyiYx1hr6vyZZ/tub1TCxcT8WgqZrnN2gh0f/0UEOLovjXMxHQiRwNFl/ 1u3nJIWL+fbw1eBSdIHzdshaZPvEes4Dd56mRdIvAC1FYvAelbkdSV9tYRbYHCkEw4Ctqs5lMPJt AcHbl1pZ2aa+FB45LiBw0qBAxOsnvXF3Ri3h8SGER8yIyqWbz2RJkPLvceYnAIQCo5Md9hQ8p4vV qWBOCZr373jhUtG596J82IcnsfiGb87FariiKnGKJrb4Y5K+74XO4+l6zwPJh4jXV6scxm5DYVsf JNYQZ1+vwjNGl0dAt30aed2WNtd7VT9oXALlV6FUbkp5X4tQG1lS4conDT4R6ER//3qbj+uPxsoh PiScVQJY+siBXj5P9QXtGXguxUGKS58EsoT9NNBdPl3YweyrjhzBsPY0Di/DKFqLEqrNJ+a2Q3ix xju7v68r4fBo0/3bj1ZkoVbMY8aUOklTpwfCWpfFmeUdWC5WPaLyf3DMXAY7i3Oy7pJLQIsbJo3z Bm/ucLK8bcUBxrZ54virt/v9hEbhfjNb0ib/baJlN6lDoLbosyn4GCrs8wBBwuhzY7iy6QVeoy0j NfNBsz0bFXrV1ZVMhKBVv9D9SrEypStE9qPoD2cbjRp2b7aLycCB2RQY5sjjvNsy0QDXR5eyBXlp M2lWjAeBCJrcS/KC7hz6AjIOfrT4NfwndghXZj+c9BcRJM7wKYDpwKbNou44Yh1DwUtZQU5E7tWC usIdtCdbMRirPYs3WCGzVsFGsG8ShhSjV/JGC401EA3c6EZa21Abj09Z1i5No5Re8NCy2R69hRb2 vu+XKLgFLus92QbdFhaUl/FYjZd/8BK6fVQ12Myz9Q1an4b1G2MJZiqSxTHtI+z3hnC9hp7C9SQi Ix56/1YTphePuA6+vHs2KLXbac8CBnufd8nakwFCe3icQmsi7n1xDqjPu1PbcpmKIIg3F4UWuA9A kiKKMXxQq8EYcv1ILGqTpRDMiFtAJkPgN8KWPt/s40GaVDvwHH1M2SkgGuclZDJJaMPwDeMNxGGI ddb9FbmXosE0ml3/rfHf9PILgYrRxVW5hiz92CzsUUx+fFQ1YYof34a6wlpO7g8pqohILTWh+jxa d4TKPZyy/LaRUEqwIqw/J+3URApJwtmb3RQIsKgMkeQwzQ4BwT92dFhGPKhcnnJyRR50Ge16wkdV 5qDc6fY0+1zsf7mHZ2ATgIujIgHi9uipmx+Aq5iXYMOljc2GtPxkTpcH58toibGpXYERXuNU+lp3 LJRhVB1bB9aKdKjGpeNllkLxIPc2IiGqf1G23uhfau+reWJ/84zYPFMZbeGQtrw3pQZ7oEnT+2kT n6nYCTUWfr78SKpLOTcp2a8z6GcMlj1Ejb/jvGU9keT11SBSSKJxcy7tEO3azjmtENLhjo2Zop7l bzIZ8We3yTqzxeNf0Wj+LnB5BcSEGbATOV55LgARZwIzKf+hMLO1ULG6Jv5LZI1r/IMbc5Q6f+m5 gA2JJoi1CJ7jdXRDSdnim23Kr8jqjU0NnyWE/4PION/VW+4xKDi4Dghm2fnZpGx0jtMkN+59uJuK 3Bnuh/y5d8V3MulB8JURhfgn3YYo5JjUlUuHXLOS9M/6KBs7IhGi7eQloudFLvmzVQjqKUK6Lh2M 5acA5/c4F3txCyPU/PECbuyU+tb9Gdu2Iztc8KgM/XWHbU0simk6fso4Ep8wZzeSAcRP5turNlmI ngPpAPHPP+uYH0jyBLynVLw55Je2XUws5orP+wD18ilM2CUgSzn18IYQylz581sxZdcd6JY5275y e/TnxMr+C7ZDsl2rfYExSISTCpepMhEbO5WHEeg7OqtLYtOsLNk2r04Jy/PuTUlzVKODZZT3JOvj CWsQRU8U4RWQXaIn4T5aaVF2EzNDMda5/5W1ohgEzXX0QTLBk6kgd8spF8QZlwTOUT9LKFs7+J1Z hCoQDqw2SweehUYlXAj3WMF4HNzsGD+XHBTM5LiDb32FUamBHU6KzC2DRnOg/KC9SNOfcRbRvgpv BsTziqVg+rDYy13pNJJbsJWfpYC7HU1N5q1EeqySDcoKFah2IKhqiWwYPE7zBubeEK0ZDsMC/uT0 kmlUNw0st+03hmsbXX7m/CIHETGSe3Jw1S8c3kvgWC9w6JoGRe7QB8E+JCwICcvTpj+o4Cka4V54 elZFK/L+UnuF9TmiQAMhhqZbn6eCollF04wLzd2KSTxLWiTUK/6yu6c9R4uD7aXO1wdqqU5cOj3R luaHDCjrdtdBF1BjJ76HFPbgNGl4QVnpjHyno1dXXlX4RVpOUZHre6uw2MEg1UIrBg4FJHcGiiAk MJiqPnC494zgDxveLFM9QJdcadV/EXl8WUW8Y+Jq9HXw1Nz2E6vbssWHgQ73cEPLnh3tf619aPqC 3Ls6MogQiQtKjlfKTnqiv/UUwCaS7Gqdpp+U5NS3pN1uLaLCcLw7rJzD9wd0DS8nH/pL8CyNveek OU+wv7DLJLHSGeAbPQJFFLoA0c6c8Cs53yl6B7stwOiSrK/q8jUzEF3zZ6IGNKjsIbnJdjMjZDKw WQRCKLjB4QA84cWlFIxlBI92iOrvzU1zr26LmN7dpPgHbZAikmC0mWnGWAkbFNcek7WaJ/4IrGJI zsvRjXCB96d9ZYN7StCKu0aEOcDJ5WiIlK+xFDfRqKu4zOTp7bXYsJFEhO1h8XcFy2J8EqB7YzjO FDgbvz6n0MqmtRinlR88D+yqeHrsl2Skt0zXJPeUiubJUsFsVwEBFvfT60iClSuzo6FboMnfa/od z1QUvRQttfvyBgswaOJVoQZRjHr0THva1+STE0mpKBZCRET/o7dlbT5jH3Aj7FRERrH2BkXot+wQ GD9Mv4dqf7DTbVplFAHzBXOIIM/oPKC+N5hyTujVpdvxrbxr/qaJnlIQ35keZYfi8gasyF8WyfJb oz4FbdfJ8ggwVIZ3w0kyt9TTTflnximZ19hk/+Q+1tXz1ISCuB324kXC/V9kH3ceXRzM88/s4M0g zFaxL2zyHsSStt37uKvSHyFe27VtwPpnfmwozjY/6K31Ne414TEqnqxydEcmZkGJjfLqDN6KSvIt b/HMZL3xQ3sa9jiglyAsmgjd2OFziosRSLrqNpS6dP4E4h7IU0Xb+OmlY6OwovLIcqZ4U71YmHZI b5EMijih+LCic5ZETKmWVOL9nFKL7wO+Nxu2CkIInuLi6x6WnI5MlTlAgY0zpFEPHDUSjCsO1u/8 GMHJy+CvK/I21i1z6D66WU9o6XbM37WigAiVFb5ceuw3H/6eS1IFX64ZlA35CXADJP9syOo3idme 5ztWIxCqioCeiP/cbPYydclBO/930leYgOiUo4Y8as2A/NP9Px17oiShzyuY4zO83dveVSgQ5iRk Oc5xxbgBdbA16prDTQQRwmlKYeBzYVA53antwwVAV5T93Vc+YrHNjjZQn4qMmouo8piGmCiYwCiv Y3YwDrYNcn3GozWDbN9FmsFd+8jIBSRpP98ERuN0B5vxiEbl2mPB5z0IvDQab6v2l1Li1ODfIRcx DRm8mC1h2oqwdYh2lmy21hx+sFJmsMlJJ5dg+SgCiWIiq6pr5bXqCBUnwUcFmB6MwY8u6R6z6tZd 7Xa6Enb2Je/ZD/7jpDe2Q2OSsJ19iwFCwMaJY02PfppLuWRKHANKJk5CDIKOKnmGepth3SIfhkOM X4q/7tm27rTxuv9XVBMleS6+Snaki1022/QOwmeG9ZhVQaDh16QxXDvDtRPLtTpn7KdE+5wdUcb3 GaLRdK91ZCHSdi//ZFZUfOcCJCHd9a0mbmDIeqrkcLMajQk8007WpmVkrVljof5xHIGRc0DSmt56 oLRRAbTWHXq65LbgwnzAh4UshLtUDwY8uUe6xPyPb7SM4X3IqeeCajPi6xpUADG0pXUhx4vZDrwY S3b28BRhaxTB5k+M+qJ8XDjWRT69kg+10pcGIG+ZlkQl7eQaZvc2a5OR6I4UISWKaoRh+K0mXm0X wEPgBZFaotm+oa0kkDOaopkESx+mYP/vW+gyyVqJPhBmy5B9Oh3rqbVf7cD7JC1NqoGZYiQpD2KX 2QwTVnfHmtgX7laOnk9dsYGNUH/G0QRR6jxCkgmg488e2736gkWLR5/YPqMIQDhO6ofSNX/b7c34 Vsz0QNfXYj5hBCWIZzE44nT300wpzbiU8FGUC8k6DXF94grzjvAxlexogVakv22AwOg2yN+v6EgV KBhYxSwcGZK5nWG4N8qbe/v2HBB0CV3ooIBT1k4RWIhI+6t3siacro0nTnm64KjG5bZnyZcvhFrQ 4ZNYnMwATQS6mbC0us4ZTuSKHjIkhqp1DVLZ/654ghkHX8sGByvNUHpKYVLMWuj5dOa0grr3iJeT tzzFxz8zi5O6+3zTZdgxt4JZH9yGAhTRCZR3GNvwwrDRsZk621HjZ5Wt0RaoB9Lx5BeTfRH06mOZ AuNWHLRwPS02XVMIu9Mjz7G4K38QUNoB4viA7OAS2y/guSeCNNqGR6BnVtDPzFqB6ByqNR3xSYaj B93mSqqwbEsdEZigkCYB2exnqx9o5/+3fgrDa+ZUFbJ3xRHk/Ry0S9ptTStGCVRbidGOHMcIkufz dR7CHK6Vwmxyu3KhfYqtdNQhYtbhc1LUYp+g3WVEu7fCTOzLRcHzOQUSkgulB0kTYbLB34e59o6e 9HHOjin7HkpJWp31aAhGfUNPCMi1E+PFpxy03X810YR89Ruo9ZNaAmx1p/e0HqVCocqr10pHX5LX 4cEwOc537P4QyWxbfi/FlApXF43kG/1typpYnqDGWXTokKNXkk5ESUaccJipGky+Ow6K5XOQ4dst 11KRQKHQs62NuEuUxZdSFLxqYqaGfTBiaW60LTXCOPrNAZoJQduMn07WzZwBeAyIJJpRL97EhnX3 IfzW8sf6eWj2FscwLGzBMo9LM5X4mRsUFpG8cZEGNwTvkzKgzQ7gMGLGgWacUj4LtloWNvhqvEEU +fKA6qeAmBy26E8tlSffJ3zEju41GNBBjKBU8huEvWn5zYIpnYpcO7jfbfa8t1Jcfv1XbfTD1pWv 0zh2BjooZSyIHcoZDI4oMeTGR+8i2kFJKm/sDcVPIxPOKZKZ6QAPXmaaTiHhkBWaJUthTAYU5POK Eyw2l+iHDzATOzQi3RYioeztLACmrKa7q0EE/ehTydjUAcipHNm/NOMWUB7/MqkwYVW48U4hCnjm UFzhRjaDPoeVIFEUI7viGvlCBuuoES9LXb62/GoD5ahTvWua2UqkGDtohGVVV+MbDMNw1cJCtdo/ 8L71CfHumRx0iE1FK8mI2hslkNZ3KpyP4p9XgowjE8Oy0DvrNQv1NW5OmvSA4PB1jCmshK6VMiaF AV5pzc6ax+VAMs+la/8M3K2pijceMBC19+i8w/LRc7Tszz6TqtrQKg5Z/Nc7KJEm41z9GMgUjo96 30eXxdvm0DIm/IySJ3zBx2o+J8MdehMD8iJFWnEh+pmHjq9OtIveZfuNwLxLfSVakWtc1TF1LTsC y41PST8/R9XR8IERbIxXfkpWgm7X5BRt5oHUq2zRlVuJqimohLNAhagD/hviJkGSGdNv49x4uO1p Mr1NZ8fi9BfymgwXwURBm7vvPqctkx9jIkQsojUilFYNQKEPrlSASZiJM4XcE/PVQSrcBoR7EV98 ZM4+CFjuclF8Xaj8LLbZ1icbUCjDBhsoaHuLN8E6x7zfQhzLgMPgQT/osgkerPxtEKTtypjFsKtq N8G3+uwBr1Ow12RJRw92L0RITRvk3iTIAtr7A35PDvznw2xlCWWRrB8q4/jbjuNrsouM83cgBGFX vIKDWIScCR+jG1LASSc8kSfOjmGUYnU73t27X2OYIfsWSVIwVX9dXl+dwoXzwChT/DaRfzArdZZ0 lugSJd8YxYxb9WzkkS5LYihxTM7qP3LoDRwRD9E/wq8Ux9BuXccvb3DYUXn91hefCm+WnoYpD1Rd 3bJkHCvXGE1nSZg32O4BKFhQqx1sO8bVSe4ivaFw5tBXIHAh3GWcvDlb+XBWiGROIcnZC/rYCwQm pXyiXV1DZDxbHTLb5JiYxduvv+YRrs45Kt6egMkZYKFEOBQpOGIP6bXgTZF8XlF3DmIDXZ7QyDFg CLg/aAN3tCsJnFwDJu/Hql94houNLrZY+leQmWF2OjG0YbHqueHodUrEQr9BdBEJoaGt3Dck1Qnv OMf22zPUTi3GBDDoTJEXDnrwVaPKnTKOEIFfRSkYih9bwRNgepnZX/gszoFM/+CWmPnquaGg39mQ Ylr5creuhVjbONWJEFHjnSf8/iecu8ec2nGZ1Ij2H1/znOmfmkvoGn0vx3Sf1FCYDcC/BUalog+2 eXH5GSvfdD27J2GHZGxlVul+/fBHD2tfkEJsOliI/Lv33Ny7Zw0zWr2GctD6sTvJ8f09Tl5BAW51 1fcJhZFI9+8OAGx3UZb+ymH58/P3Z6h7CnmxhCCfHsWa4TPTGONuRr/TWqQnNF6UMS2GXLGBdWNA KS+b36wEV0XxBSIyq6IfMyfTk87MNW3668ApIfXIg5XiBY8XIw14fkGYoiE6iu/6sG3ScsPDIdWj /pV5630xhgdwHEN6ZMgwOnxBqd46dUDiP8Zc5sRvgCs5md12pxG2qeadwcqTiwNZyGMlB+PoMEGF iZkkXd9SHXl7i51w+ZyMjETeXxapJkQDr/60z32tJ9JIAD6ZiRCsJVwVRaXcMn58/9jT1KXCjdus qny8Xmu8wPoYFTVjqqmrheHwv0hQM/QE8Xe3LwKQvfbnGgepx13DP5aAXi/pswuOMHdcT78aN894 zSErRVBLk+V7tykvmnRGeaoPsudbkkzc1GpL+xUZZF/ysxbRP0/a58eDPITlNciuj9QWfxVCfdJ0 2OD/zgQxaOY6Gt7g2ZdYolDJklzgLnopWgIvejKyuJSaGSvRmEULd4aEhTb20BrXFJEdEsx2pQRr nCW9CllMwuSYM9U6Z1xowyjgLX7m3eqDU7V1dFOP332lqzUVHR+5Rzd3JzcrSlusjj0ggfWOy3eI VETiF7UMRouyQndDyJwQfpIfVqKVFhW7LKVObI9mO3igPk/J6oid6tdBQEebjqW+zOEKPaIC7DFO zT7A/BdCJEArf0WeWOwgddyiNUCgKQVkoj2JCtQ9RxX8tJ2N3ATrAL4d+kOtOEdRI8AwchyWUxUn zs2/c3FULbcmnbmxO5ny7ftdcybiVJPFXFVo/lTFKa4hUdzsNbTc6HzMws7gNyMcisR6zO+V9vFZ SYO2J9YbP2qI96lcXWx/wVSyszcWYrXpnPqjrzmuB7jFMqPaGs+w2peGSCXXflYHssTM+iUmK8Ig 2UEYxRsDXRddOAxxS4AxLiESpZmbj7AzNfL6OXyxQf6j/dYHvEaa3n3QscpDrANkkQ66O3jfo9S1 UDqUEUDCql1V3fCY3Z0UdPwv4zdSqpZlOM0dtjdqkFvloTfQAtwLg92WHRoS0Fx2tIj9o8unYPfC 4y2Kn1KOWydjlcFuOXMzZguKjCkoQYUGsqxq9jSKafYMrq2hPx7UalXX8GVhhAWju/jDnP5zq8W0 4yn84xQYL8+mjK2LJLDw75/kW9hZTHTxPWrGoQeWg8WtzUvTaNT1fbw5csB96Ldmw4o85326rXum jITAv+MuWJfCZu/C3cz0WINoAf77MiAX4/1zVr1KSLvbc2IlPvjzhwBW4TaTuBx6DvbqRyL6hfmo iDHdtTLp07Mvuwx+Gfp8qHrPCxZiLVEmqNNbBf0SCpXt92ztpR4VxyMnpvfrS+m09zwFkwgZoRTk Viqi3WrElC7r20nZUzr6lMqFslo0G4+d4axamZvdQDM1+R5+Ncn3n3yIwTHvsUB3iAHsAwQdd2Ll ccwExU18iviSazqRjYKRLDSKkTEtkiDenPEBdgca4PUCBzoySy7rjCuKC3+VAaY4drOkdlssFynW hwwE+nRbii3NooPCd3SbHXZYPrnia6HeIJpi2jE3sqJtEZjUyNaY1fJhrFxMLnv6dv/kLdsgFkHA Hz2VZRCl6bby5cuBA6gAfxVecyAqP7AeYjrGyrM2GzGuuf41C0xTMpbiVnR1pAAQuLUAKDLdqOZT pvBc3wUNEfa2KtPertlPf0hw4jFqiKRFXXBsROwHMAv0Gc+so8VaFFzpYy+A25i8aOPOsRlDUnL/ M3ftLl4GrL1wkFnmSAQTte3oQlXXyItREskaJBAvqPWDM++ozaiRTh0DKhYRKPIfeSsU+gJ8rUKc pbqx0FjcqpG+YMfvpdXR9CXahFIcn372ovlplh1jVnFpnIinS1Ng63cYh9+EL9Vj5rwPk44qd/X2 j8ZDrzPU83Wec4V8b5grHjgOXDkVEqAKRk8mqUMYgW4LkGYM+ar5ieOonB6oWSKkET6DK+a+ZQ+Z cUJH+WDiV4zwRHytBa9EmmpOwzqsoG/yR60YWdL92X4iLeL5tWDc5z6hNXIZgYq0l8tnbGaeKubl bhSTRlcZhXU4jYWRw40dJe4sJclp0oNg04ywykqLuHxCf9urTd2bzQ1+4fYG0A2+roeuphp4BSqq fyK+kcnZ7MhXvFsOxHqkSkjYv0SUNwqsDJKw9pOdG5gFLmqWoCVqEm8/hAFoypOWR2SPQoUnjpoJ nf95S0Jpslg1Yv8GSJfZ1/H04904tbbhkZJ7qhegH7NL65+pQMTcrVNzKtSkTPmbMWv06A0t0Wph pKC6ZzpE1/szR3Qjs013FhMq5aWEj1RCVDQjcry+zhTZ2hP90B89fSQmv6Wupu/Oyf5kenSd4e7Y kuCQVTG7bByP/E2tP9OEvf4V0u5U4ENeah+aEKiCkUb/j+90TF2Qu529yWzFPglXKZrHtWpSEQCX yeT/gprZvd2dq1I5W7sX7MfIJyTN8FGKs5CIi/z/OzhjLe/SHmmJu8HP32yBfMq7IsA4DVjALsE1 Iv5VY0YXb+Gn99zcBgyv2hy6jSNk5VyVoHn5As/vzX1HvfENG7Xu4KUcQltPF4BEzAEEX/HyZdKA IMi639CRyXXISLnVVI6n5y2wxbVRFyP3neOWs7JRv8wPdrL1f7yi3xSSLOvROwG7n3V9wy2TKW1i GoAXWWF0tMesItllPPh/Kik2skZalvC1/DodpPA8wOeJAvbUukOlFPNNZ0z6cGC1b8KpXKGWnvhp GZflDCySaahTDhWowiwXPfn1aX5RNAbGPxe9eaitBTWi9rU4mcoddXSBfoKPBQ5+s3heRX5xMBut 0WNYK9lzTq2o0PSZN7xYc0eqT4TgqSG6u+GOb5R2mLz2A+/VfnbSVVaLH7SQBmVj/5/x3Pf9dBoz MekY4nQ1QbnNmI6oxESsqDOuLRAvrU0MbUZPxxZxe0Aok8cFDqJ/Gv/R9jDGrHT9H2UGs6WaNXgj WLneyKgOSiguYllqsduKf82/aekV8w1ejy/cYtSJzA9i9rM9bOTDGRcyiC4+zJYwHkxbCOaYqvzp rFF23x8pQuscohUygB8jeSnc0KOgs0qUx9oX4B2cY5AlF+cCDnZkOc8yBfYNkbz493Z65VxpscH1 wyg4TzCjR41gavz+9AH6CyfielY/Tr34TXygQS8/JxnhvQ13q5SUKuyRXpBXoFeMCOUqTnW0B0SI YwRwS54HACjx7fmIsLC6HzdPUhgIL88rt1hYHTjxaImaygBk/RlJselHwe7PCJslrAMP2tWFjgdi Xq9EMfz0jTbjgEMxbsMb1pLd20AINhkm1tw5RXhaiRPVzSeBggLme2hF1prNqd23aPzroCM1Maqi Upp+SaPIYPeYNGCUG1nEcZ6glWUJyPM29zmKzBn4WHL6czCT4HoNjJy6qyY5RlWkSGEnbgx0s7dB +MddJ5FvdmEslk2lnJoPuVvYHFKZjjTzHls0LWQHq8kSraoK/VBcheMH9bupQ5mnhanO+2lCYpjI G2xSJNEmVOvDiu2CteLh2bgScqPEuamu5C/uFlfyuNa8582l42RMndGwU+v1QOiTRCu7JPhHW5VU 9bj1ax7RCGYyare4YKYt+krQHm+J9NTmX8KAVCLwpM6Tg4zXQf2dsnhx4WKuU/Dpy1ZG5x9mnkNj 0qc+flzzZMXPz7bfzobJflAncjh05qV7fMSzm9FftgSPlhHxmKgkt9G4EkXTpgUf/CDZDwsI2yoT M84xwzTVtZoOrFcNOvc/G5qIbf/Gy6dUiljPsLoJnsC0T6w4oOHA/4NtMPJOs0uqhq3xyx5ISrvi MWuSvwYZzPnzi+9s268DXJdEvDqLzYaPF0A/XfUx73f6Bi45aS/pVqPqeS8plgPjKEnq5BSpa17C +fnLE4lBubE8nFIOB/DBSKW/ic/zrruM575jBGY/sNqZThA5iQABznsRJl7zGcDWHtpyJaqg9pLZ EiV6xt2qry7uJpaH6QBp1a7NXbWOquuFXJIPFVLnVWyaiQKhkQhK85vkdzZbbQJ85vV3ZFwSbQnb HzLGZnv/RHjLQy3AjFWoKMeFr0KkQhPg5PUKuW6+qHba3xdotGZfC4KTjFy3lpO/H/D+uoBNt61G RZ5TfGV+hGCzljk4++koFZ8+Z62oBKwOq684NbHxsHF34NATan8nm1q/K+WaQb1v1N3mfnPdHLq5 9JVrR2xqVudRLnpiCifE/4YSjg5XNsPdn7ucwooEIIh5ToDJJ8TXPZ7tix16hossBt/vIfTvG7iM Y9ooC2aUxSBMYvdJMk4d/vJxlzhA6X5Z9y6SftlqJquu4eXmLRcoI2kiUa48r5fVjjztdkhIQUj1 NQ5y4TacaXbsQOWoVW0WO/jfDbU3Hag1UEgHZ8eF3x84BoDz3vfMAyVPNpc1pf8ODu7pYEOp+uJj e5n2JZcw/J9VMijwM/J+GuLpIKhpzkg9PSyybONaanifvAuWE+1OKQWKehLutw+DxlYklLF34JHW sJmCfvOBCHQCXPirj8knrRyqCluBYmd0HuWzYQlo+f4TCKKZWE+3rd9grdlWcafPNRTOjNUnitQ+ lM2muiSA9TvyT1U699UL0/9v3Ta122VGCNbsENDzkuQ6Ol7Yb0CKrjJC1f87bkoOhkef+oGMFKpw /7AMH6x0kjZQ/mogRnNUaJgKY6GHR1AVC7T/ua2Z1z3lDQrBMyG+zl5Mew/Gd+evdsMTnphpnHE0 9Ma0BH7wEH7/Id0cuTwzGDymR8qUCQ+diU6xDw1TCrZ3Wfj2E+kzmN14UJdaQnDsv80ccAaXvgeA n36uknYhNfWD6i4B+OKufjXM2pT866eA48TOtFrISEUx9DPjAv1bnDA5IXIhmI8EQ3Lzi7Xc/UmC BkcgM+BnX/w0ToHBKp4ki+nrFcPXpljvVc/y2ExJb+i5gZvQDQD2ZrJ0gZtnGUyzWr3B0zVNa4zH x2xveJ4x4aPS03wJS8l9FmcXdGHsCkL7lJu2Yh8uXGGlMk57Ble3q14J0U/0Z7fOg9wcE5CjJHCx WIb4dauQO7K1erEzRc+MpBUfp2QPHbizWsPtmR7DjMZ3Lp8Lu1UsBe2h9kIyVJvbqLCVfPJQW9nG +lwuLc7nuaM5F27wx7kU23XIk4l/YV3KNPhIt3Ww6Nc8UADaDKtavBgc6+InARrAa28hXkyFKxBV wkr5S2Qp1TvbGkMQbfDBxFQ8ByuInVad4u7KGl/4lRMjL+tXrkJrJem4pss1wEsVF2KjSvPcSXGj Mtkg1G6L3g3laPJFFvPAQREdYNWQwTzkFZ4QLm/cvVSRQTKOfBukrei5HxJt9Bh5ggt9Y4vhBuTp zCnnrESghZO7Z9JwcHDWL0tXOiPi2v+ue1Ll16gOzmlkqaOoJcgExdXVIszRjvsGj2paZ+gmDucA hoC6aeoMOD1btmEnUUNIgcx73SL8uRCvpWy19WQsggf8PB6prn5c0n8AzqOJMQ0fiB+hToAqeJKq bMSPUX+OSfNF3mjQYAz6oTUucVfreIw83bvPb3E/UsRXqrHaocTLVTpFvCajNopwxLyrQTGYfSH1 UqiB5ZhllA4pRTCAbI8X09OuL9SlXS1s8M7xN/1ABSA64+i+ObWC4XK4fW6ID4NWR1r4VpaNZryd Qw5JQMlRzGWH9/sM+o+tS0HrgYwdFGOV+ZECPxD4OFfEmZPeYWZMbQvEmKoCeM0eKT4Zd+C4b6iV Z33ZyzljzHMosw8rzU8DPzj9GQXTdtyaGAruC3UdP3CbM7eD2FZl/wyBuiui6wGGVxX1HRCk3zV+ qMBRLlKsXnSpIWFatcWgV+WPFDTcpUA1+NXAKXGYsxKi+072M8NrntDs9UH6QcIoADHBTxkgLFgm f9yRJD2rNepOtpGCp8I+0Z/LqbGJBeFfo0EBKF/pnc0yIkIw59X2HEzTRpgtyeNuJfd7oirbaFN+ VXXuiYef3aiQFz0lOzJ0khteiezsKR+KK2QzpG7pap440H3gz9RdrJt0YLfxiR8bZK/hXuzFzp8e RnOSKlk23Xx5BwO5rYddfxpYqZK0dYrhZMpxMudKrLuSaUoJobPR36vCCoyNGvKSndDnIwPvRufw ZXTj7jlm4JTw0WW/6d3HSvCaAKENljoLZ0lKtlCNfO40C/0OBdbiRu5X2lhBSoNcaoBXTQ+sAxDG /z6M/jw8jhaNJfybcCAAgt6GAzTDOun1G1UzyizQqgV1iz0oQFL3u/p5ap9UCj4WuGgay+G9aZLs /dY9J4cIS8wabcngVWfw+YlKln3cjTthkXVDkV1D4RdWuJGz8mWagiCxQlcR2mNipJal+XiYL5Sy 7OpcLUcIcvdjLsb8ZsiOs9/CXginvlmb4qTZgOMAs6CLm7tDk+BlUGyFN6m3k8QkhiB6HDX2ylv7 rcXDIm3GotthWcyruiNBQJO3a4er00CHN3ILPUKEpBlWjNtZjoxL19LWdwDOEBvgZQdEORvfbIC9 AQ/7rzh5HROmpbDXEFeEiN+ajCwPnIadS8KEOC4f3T4cs8ZvDjkYTs4NmqdB7ZBJAlm5ujC1st+M OVAPqGNCmZqmxCU/o6DyCcTHEyW5aR2SW+xd6FiFnsmHamLestpQkInLg/9Hq3Qc1XTJWP7BqAM5 TDKIc1U2oom5hHTHfBC3YDWH3ngr5OG7QBFuXx63E546juohePtjF3G/Ja1aFf2OLAXB6j+3Be0V 4gIvA4TMkE3kLBe8SDQkEMpVEHaRKKYpBiAPNlboicIrlv7LEJW4nhLh0bMd2pKJMMbXSsQ3JTsl CBxuhm0F42OtdegJFggU2VCR9RLlj69hVROlkiyZ9II5va2/+LJ97bjexuJz2bMpuBn8eSRYJ8Rn hm00VxO7wFsZXwf7m2TyG90XoT7dS4wLfkoBfX2+xH9DuT4SKHLOizmjXryCM7XUgxE4PuXrDXek 2/XIK4Wap/9s92SPySN0LXHLigArauBbJmM8FOzQK11JNOmpY4wLaGdE42PDccdIGpdkMKRWdlQk Exr4nomPRtlaqHVLox172x5cJGemjAHrXWSHlmHKuqBBKF1+ALmJHngsVHOEXJNaAOQCoz4lhBf1 Dv3zuyN11ku2ZciHrFUMwiUFSbO1KvgPuBk9NTdbQEVstFGcv1vLmHuZDerUk14IpxI5PWSR1qmi rMMDsIKEX/PqMKic2mskj/dTybHhCApToBvdOS2eGieq4POyWN1laFBcNpbl1Ji5b0U8I0K6K6gm b5xW5q+LL46pcRMc4L1nobqYkIMAyGxWAjhYmCxYW2ntMfPpTIMj0fk3VkcpXVOHv4UAjB1zs9g3 tiCts/KqXftcZJr2fsuO9JZhi1FECykHQwFyl0fp+HXe+Z2HTaNQJ6MLlHFfzdBuoVJcXZvP/aO7 4DmEzmizwnQCwJUgtHEnz8hJZqOuTKKLcZtAWBX1mR9Z8hdB4g/G2Asf8QrEVKSGRTBDf5Tp0KQF 8D3mr4ix1g5OosRLI8oxqs+8VIwP0tmvHNTS6w/qIckr2QftfnGlso1Dst29TQZkG/AGIsIL9mqd EX0H4ZyNXndQbo/za7aWCNkWtr8uNQ6nFoQqdptzJDtAwA6fFkznyQmJuMml+5l6t64dBiNSX/Uo 7taUonh/UM/86W11o5k75T3uo5EpYcm7NP0wf+gWzMZcAaHum/uMa24Vl+3HrHQFIG1tX2TpnFar ad/IgFdFfOY5NMWY1j+o36LH2CG9AYXOzYQ+cbWdF9kJHzt/W+/aFYAKTj68ZP2rA3GwkJjru6Hz LMEQ1bEo5KvdgRiZFMSVzIgErJSO1QhzeBlL08jOw+5Qzers8+p4R1lBj00tViVPDmFo/01hEZeo IvXRzNgOWMiVmiTTBQs9V+ynNOmmJnYZr9f3brkvWF+g81UIHy5vadj2vW2OdVMLO0Z2f5KkknX5 el9WtJQe4o4u44RQAvDUXaHWCRRpYxt/PE1IVnZKI62YmCliO+ByNfm6b4EW2ZieuqxOttkYQH11 P5Qf6Z86wb8FATKVS4BLegHLsXeONR+d1h7CZYI+/tVW23alQd4bm+aAZydPdcR8xNULYpu67VTt mdsjPab0RtQNHQnuc1uoAaUuhQiYe27Cv4j8xHn3tqhDlE0W4m/7+WvfCJB1gIt2U9tVABj7jrnp X67tgRYU4mt8vKPIwflnSNy0r2guU0I72ZRtlnKPeXgN5jGb1CJf0mMew62cCZpjJX3+Ir78z8Cb 0bDkW+v0RJnfskuwFsF2YRdEF3fMd8IyLP0ruYhSyriwnH1TYKLGbsr+nfOzwFPfxjJ6MVlf3rub GlEaQljCV1v3kXXHEoJ8fQcD5Y/tEH/BjpAa5tEVg2cjX4Tc44FDOx0gIz4TdsjlCmK4UMAgPuSV mDQWukY0CP1oKHPsYS9m/J73o32AllYsEW5/5afUgi1CUXObeAeETJe5pPTXU1fOSGZZ98V1fSbF /ktpou6llFfsVIBjJKPO7s2gBDE+aZ1dEQ25IhK5Rv3cJSbbHCbjAchaQwZwuZ7OG+lWpYLnXZKo R7+7SxqaRN3RF3m36mmhJLj7k1oNZjRsuM6P4ZHG8IETWK5wcYLp0JBxeS2ZlJ8z40K+1euqLugz jvkQ1t3O6qU9phPnBvRF7HLM2x33SydJ9PkrzLK15Zgg2y2klfSDWCS7UfGLKvxqVLIo8RzJ8ghS IJENDmon/0SZdWmZc8ixKBoJBRDKKuL0isvyddx619YbzQVq7K1A0g10RP+wFZomGUn1gN9BMmjz XLPu6UeyV/wM5t3cByqWt5+iwyjQt0mAdSC6NMQQpLEc5agCFR8aBQdqTIkH5eum2zeA59+oXHb/ OGoEn6nX7nrvujcMLc8ey3+8gohdVuFr/TRWAt/xs7gXdIZop9B0nvHaeyUDka1i47R+TtN6fQOE JiWqFC5rvBV7N537mfjHg5n/Lh4clqbRglS8hulFE6iUQBslI1jRXSjv8NJYYXuws6CAp85euxMG umGEuHKhVSppbkfz8bOz5cH6I2SMbM+nwmex2+3cj2ObYJQrQpsGj4UA9d8WDAOQP1ABTrnVbOc5 qrJsCoyll/uz1ibrDiGZbxpMEvBovmClhiTuncj7RIQ7dE9cpSmy2iBU5E1WiGxFgogmP/PEH1f6 ZC5bbWj7pvxaU9f/36XaxPPYvC0L3QzpXeba7jMNZB+bqaCYrR66Dx6nNxisT2dlZS3SwosIooWJ qiiQjjPabRahFDrmlR49im4MMKeH9F334mYHH6NL/flIjlNPJ4hgZf/Pkr5ltHMXVnp3sv/UTyX6 4Q+56h1mZA5Lfouy654CZXiE/wqMgqtACZ1X5Lk77Jfj5DntWrRRdTlFi70lHYlKlFnT4fKg0Lvx lQnZzKpEwwQrATs8c4IztWB15LTAn/mQrNhZ0LLcUTNi5+SDuHYr//8yZlCn39OqkocLGcEdHt8+ E4H0DiN6V8bASNIny04kGnWBKlcAL38tuCZM4yvEthF21hjPeNNKEgMjVNCemrqx478EelMSea2a fFjK1lwquFS0NQsgi8fQCMGe4eWlWJqhxPuU36wYx+x+f88sMsYZQiiBVGQoO8CBMpEmYqMnJDGj EPGIRBJ8NIKiujNr5TgH+AMh4mY9KMZS7Ecq7Sh10MtTDicd9zz2WQdBmBIszvE9YjrNsXgv3+/j VZ8K6DcXjItxcSZWC88vGbKp8Hefjmg6aLAN4B6SM20vP9rc+hlIS202CtthIKuDhMNxwEXipk8S srv7smDTIuaJEc1Qfpyuo1zf9Z11BJm0zVkVy6p1kJwcY3sDW52p3PM26l+QO38JNyL+YWNKqlo1 QJF0/yMz0Yl096FmvG84EU4j9tqcsREw0HLrXQJSc9Sde90tpqckLmEManYL4n/oBvy/jU9sT3G8 plQITPuICMdrRGTF2CIKIiZ3c4g/ypnDoL1bsVfu53Qsry0WOfhA+MwiHcj9AQsFzSi9JEBKLmq2 VraZ526z6sexfw/vpI7t8p83dSyPX+FmyO3lTgIPZNowFX8SkPZxBQtLuyb0M/Vh9aZBhC6kdKax cOW9E6GYw50YpOrhBPdNvKkXoKdxuOzmcXtJc8BKgP4PR5/md7fNUiQYfcbiRSbxXN8pw/IYPowf T1l63JbYxQ0t4my11miIOpe2GgLWcEvgi521vsZnoZO4O5hxK0ZgvQm1TYeMEnQ7EbNfVN25RN0C JFQjFbt/flCSJ4VUbbMdsimNARmsQ+Ue7x7BfZuS8zCMfadrshhViPqbT1K6NQPbUBRt8Z4iLDHg IxHd0y0I3/vuKAdnTxHN1AxXUhgTuZzKKsbj9uxBgc2pG2F3UfXl+TgZN5ideya8otxx6ZAmDcIG dKt175n4M8+PAfdM1PxHrFSykxttpy6IsR8GIVKpgUU+IQi7Pc40LRPuhg17VnNRD5Hh67CHh0j7 gat1JNsYVSjqzjn+oSlenklfEtgypjgBLEm4lfQBLxyE2RHDkBt0QAlcjaL6V6gbUfmRnfxGFyxY AQPakmsscVPmaV1rr3+/oHH6fK55me8B/3Mi2z1KnDkUrrsyRBJ1ch4PNM1W46OkFyD0KBV6WAhA jrWTvXXdeXQh3BZFFRVYFwHyCu3FD0g/SqQP2SC28GXVGCCGIncjrwdVpwspl8bqWk3EqoHc0of9 /3pZ268wlfRSCeT0UUPttrgjrAS6cb5Py08uBTQcuhz49LaDZeC78GxCuqmlVUHXUw6S54L8mwk2 75IHrDT2JfoEPjBVFC7xCGy9YZen0Tn858cXKStcZ7HpZ7Ntlg+QN7hfHKpuwq/LPE1M6Bv9x00E A0j9qHgCZX02WaCBAsTazTKzawDysQZnRNm6KKz9cdDjfz3WhblK3cVrtWpmPjMv9T9aRBHtFVHC KOhb+bqCMxTs/PHQbYwy83cMT1BZfZi0es2iqd84tExrGKWk8n55Tiyt+7tECNy4HqdikGi3Md9H GjOSo5854KFdSHw1pWz4061Y022bljRuUMLQAGtwkwTy8e4VqFH4RpdJyAJObHhOsvNk/hdWd8dC Qx29lyMm3RWTMo4zQHqsThrMkUDBd3NAZxvdblEnamtzdGA2vgesLuT5Gn/4iXvXetg53hrOBNEJ g7q5dWDLqxqLtvEGkTmwQ+kp4OEqGscl9xLIkRYcMwLhlFlYUACZIccEW/c3rhINQA1EWuSy65ab vG1HyfSZj7F7NrU7n4W+6DgBJuGx8ItqO27dtiKvu06OhPp50aYLTYx+cNG/iiph4Tw6epyXexfh R6YjE+gu9EB+fwbLNEmCUDx7BtHuQmXb0YPbgyxMGlBNYKHrefNC9gy0ok0TcHvcRa8vLp8WFRrU elJYMQF7Rp6gCGdentdrCo3erysCwVUiGcbC1sxP+p8Qx66lObiMrByKgLeEQCjc3N453gIZWpPm PppucknSE7cJxZVSg6hcP99Q6iHKo/ais+Mi5YH7DYhxcF/nshCFZO9uWa/CdlOs4PEw/KDkQ8gK yzoOxMey1BEjrDfNNNbvkrfioHMazqibmAvemZTFapGPZoyarxLrv19RTf4tQXepZew07Tv2WPtq 14xBkfQ3iC6yPPMQJ4zMtmuuPEz7CPMsm89bWPjYHUsMQL0XPm5NpxRYhPNr5PIlHN1tbWNNX4fK WBo0HKNzBBtpCBHPflwABMZjlWYH8WQAycEG+3X8SuSOwYWwwZcx08W8Hrfxc3FgndixPujZhrMm PDNX/WHJEt9jSGCO0PvqntiLdc8ISct4kUzpek0bWMIu4+LjoJHU0e8CDw0RX7bJ666hMUEUbvq1 u1TR/7gNmYIl+joK/d/rGdwJ1ifuJxzX6ZuzGOgIa+V4tAl0M1GWNv2qXNDPdIjKmG5pbDXdNXwm dNEsTNSgPKhupTHtLonWguFHx19p59RWdixoroCdeMfZt4fBUR0RYOZ1dQVUqNcZi/HIq0/cGIMh TNoL0xG93Es2bIPKttwznO0guk9/SJMM3qMaoS81rO882zs/8vCJrZrPq9hsMGcxWOAXzZd0b5Ae TSMHdIqKPqtVAPlJRTS5MkeK//EQdP8vf7EOvBx2L3vakdKlNojR0vTpSgUDth5dGEWPWdiFOAk8 4lx/KPDzKF+Wus4V0KSXP4eV0mW1oUWc3eiGCCvnLXwO3I64g8I/lDgrjlTBxorm4q7xYRNequbc sRa4hx0+1pl04/a7kmZ+zIMibcPUEd94IxXzkRvHAj1gmBpKBABJnRnE821Q02oxRJxYxMrKM3Bj sHjhecpvoYE2DqxoAxaTL2EXnrhsbrWZEsFILAxj3TeytudKnILs3hdYMsNS8WM9/oEqJ8PVTSBn ITq29GZGw2GAtAPMaYU7941hGwwKOc81NgmwTTCLxu5t5K+1JGzymuUCoJusf+dVqB0lx3VSZn03 /6bfd7luxct/15w73ZX9fJO6Pi87cdo3s1BDQw4gwkq1gDBSq9sczhg+XNACEKjknieYxTYZN0Yx AhpOovTAAQEJdg0vfYRVdbUAzibxhlH+k3P6oTkWZRnCyaTq0FFFZ8pEgVE0Y/ev1oXxfATdY4WW k6xxNtbMbcsHOl59Lj8bDV4DomCCVJZy9hcyJRlPwmDvTAtA5eOrgMrprsxw93dRCgNUe/YkPUsC SC7K+i1QGSQMdLW+mrq4JANHzBIacwcpNdVpazSgTJ7j6M+BANwJwQOfC7+bU1+XECoz65uqID6D pLLaOlprtvv9YtG/yTxV4RxtuoJqJWEOE3IhOeQHczQ5ndYhgltU0/dPdpNyYwg2K+2eM/g8BJxZ 0erdJ7TdUVykRhCt+wlUiu7p83m4lcVraGx1DX9A1RrU4jxELHcOCEc0wRxuN/lEB1VABfkVShgh uzJRUTjuedMxemmUfKv2eOpYVg1/eEjD08AWqgmRlhUFlKGwpRoG7hfpgVHWLu7Afq8AL93nm7x+ j/Sc7YgwDCdfGz6AZVoUa3UdJyeWQvRtUvhJALhUW3GsMVjEpzsUeggwZEmMrYIGEAK/H/vYBFYR oVL4M7kbsPBtBcCJ0l6zUa/+iojjsQsF1sJKzg148EpNjO+QYFzBp61lnYwpMpt/W7mj0lxar1XE yzIV8cPnObgUTbfFWoyaLLeZtgQcjncHBd73clFvyvHyHcnMjiAxEABLKRinamjjc5DnKEngiAmt ictd1WNhJkxwj+B9mFH0uMJhuuzUJX4EoFMngNjrwQufAfu5AxJ65mrKRZaHauVgD6ugCtC7MDG5 5tIoLokUlJdfJDaAY1AA7qRLgKcp0hfhBVJSsE8zA+GGVPyQk0BfaEdEy+PDazPHILXIanxuHMB3 i71Yo9pQm/Wv1mjF+Dmgx/iD+JNY7aLQXa9ASBC2XjF1zwf83ZCMzStI2sYAKhPQmtq+zpDNnC5U TD+fezYNx8vMZZ4xZCTc05YEYLv2rzZmgKMU3y+9oPWd32KhnCX6gE0hpLle2Z6Ylpi4qHre0S+N xdGTBbEshwZWTDzBVHhqqiKVX6TOYMaoFn8Xqk9OAekczV7jXvECQngwcJWxtwcrd89Tad1dYdQt 4/9ZngDkzpTMTgPN5Yxa/h0BM8mo+aIZw4IJNj7mvBX2+3NhyICqB9ML4XGwoIg8pbV1VqkKe02d QwC7k9z0Bhh+y9KpVF1pHCqRcMDoSuFORXfwk5fK/b+XAhyS+VWsQXQByv2FN68SEiJfsc9TyEof ETW7QsT48qnO5E6iYoh05A/jXLFojJx86X43MD3N4dFikhyFTg0Et63yKrDPb3X9AXoWWYE15jhX 5UHELi9q4yqSPry8aAeZsuxBwHaULDvVczckx4aYBXrN6Sgbu/MhzD+VHvLin2gbGfjSk2IhqT3Q LkhKn18mceqahJdq7Yw9LrDVwUzGg50VvDMo/t7QIvta5mg+K25rcY/uuTN/866YKTEJu6bp82En coodjf9GvdqihfotU6OqfSAELwKfml7bizPTWMgh/b2aI1NHDNROp+IS9Obx3Q/KfIMOqGUCPPrr cvcid2+zIw1mVKkJ9ZN4O7luZDS5rQ61UXF1pQWaYfzN5cx4DQprqhGCU26+AAlOKGsKCUZPivWR GG0kGCnVn6uRR1CQk1H1EsfYXj7AirsAnO/LmepC73mkalFxSgFzOsK5h6vj58q/qKN4DwvYlfgp G4dktOlvb8nPbepZpKmaCLDFlAxFCf2dVPmneQlJLcR32H06H5fYznJrPuBmslciN3EnjcRzU5J3 Ewbk7ei1qZC/KbkPg0qbyATM4VvEtVDrwvCsBKQdPpWMPYa4xSAqoL7kXmw1pIyn3vBkbUIuK+z0 8wXYD56c+i71lEfUPYEPJBz3UKA+W+gRlMwKl7gVvB1QERJCbN9IvTTDMYladbfTxldomNtgR3Sh gKVHuneoz+OY7y9TFVwYQZR/a9vzHMDkaa5r7PLUOGjEyaWXrzcs0TAVwyUxX5ck+1sDeaE2TQF0 MRm2l8h8KtYRST+4tuWbRNgF5ArJYez8T/rFvKVSQ8KtAn9vGVv5CXnnT8B6VUnlpL+WqxZXy4EQ fSm+gK4SjFoDNBEYakor3hEep0f/voQFilUIHaAdsBrNPke4L5ekGu5Z9Ud6eo4Z1hK1fpJCjMOK FgTObyLbGCIeaWvhI5dT/hyQGEO0/vuX8uXSgVozUs+IJGJ22F9EzaFtsL4h3sh5KHQxOqv3PkuT ywzeJIZd90rfoO60LMKgiyrGUQ9jAuhpLjYOD0CYmcDu4PFFEAqcdBTvIhoXsRC2sMf//83UX1oB xvWAA31aEYHVb3lYCgE85hIvt8X/hD1JDjR9le3+VcSE+1wOY/3OuGuWy5/ggXuG1yzlGnQD2hw1 O6U8toiK3Mzwl/72cfS1rjyV8JwJWO+mjulzj6GDLEWBGL+EoR0rnyrtEtVwH0gY+/ifZjG/xdbI 3WOKv91WR8nmdrSS9+1qDwe7jBVCvzzSN4zO+gK9GLjYO63LeeOrSuqfAIIyMUjxrrAwLGIYFxHF 2e3dQMWIW/kG71wO48lILnimyHhzpmZE+gCIWFdskGqpXhpWZFw+ogBqHk5oMqpiVqL7Iu5pyzbg beXvQy2EWj9QeDvpKRbmrwOM5yTzoOLlugCUnIeuupia+KSQcDFv3t1XQaFqfIZVspJhnVvlhz+d pqL+QgPlUYubKny7Pf0rz+C5CJUQsgXjlnbtV/veHknWhLaZreSZDZ5R1QRfnZ4OOdOfFr6Fbi9A rQcCyHYHkYR91khntE71ULDY/hUlkRHlQ6bF2fGNXi9R3uMWGFwiqlDl3Iihipq5nhtD+/08JYwU trha8EuL5Tf7TYouAEhXTUdkReD0WaBfk3aAHuSh/6qXfEILYyfBbTx4Bwe6E0RQjL2O+usUY7om mx8z7lUrIOz+S7maXoRk10Fmt/MikxC+/aNlgha446If8vV/iKBptnuBjnm8be6E2Ate65ap+4gh 2fGtmM5IOK48rgQFP3WIQz40/dywDVyQmvAn7SzF/r8ZLzaqxOarGCL1B0nsIV2LnrESMI1k+y8o bYO3d8Lsabm0nxn26ln85Ts93Yl+x0bfy+qxjoR51qxbIST0a9tzdKb/ZKbieLViZ6LisbGsM6CO iwm+gGfggljT/NiZh6xgEj0r0c8lqE81efnWNzJw9lgDj8HLSt0XjCf7dVr3apYT6yuhho9XMR77 bX5ojp++OYrEolYDmZ8oliL0s83vvduoqzOZ2bNfUdg4aOJTvSqkHn4TCA5q8CZA5JepTUhi8gAe Mh2h2s4iHPssPb6h6hC6e5CjdfVuTLlyGX7kOtko6OZzLT7eYUOLZSm4ft+5K7o50cbXjJD4Lgdg l/Ben3+Ays3pLKI7UvgxB8/4VAuiwrxhnzE2zG2lgQYZMlYZbSQE/CN5f9NKMpvlzuMK+R0jAau6 JnzPKTlFjM7pNv7VdtAxMXFXa6TH10DSG49D+tR1AueUDVv9hx2xD8Gfji7gcxIX1GrqjMaUxXWf Kcd/lwHJ2J8/UGXCykA1IC5g5/Pv6TG5IZdTEQXPtE587natpQ3ec0391y7Q2CA92gGMY/UyuSL8 hyJK6rLJCLfDDQVFsH50HZyOBFDjJcsemS9ga3c3I8gEbekuSsKMLcgJCRraSRJf6tU0KdFEb1+s 6t4TeASJUnvafSIYTzJ8wXn0lYKEgVusurwJ54QIWf83v2iZOb1RsbuuuAvQw6pQOtxMhntjSW5w PS9KSwD3SGcp4jkKJ/SNrRsOXLRTVi76VhquTRATDBDYhSKQhlX5RWsG83MRM5jMp0hhQrVQZfuN Ko+1AuDPyrvdX8AYNh7+h8Fwm+cp3EWQjEgl8QSyJJ8m+x1xrN2bIvvsGwvcyR9CrWdgXcgXOnoI M/Pi0yIVCjU/cNcwAOBgU/7bLqAt6seOV2ZcFL2mvkiuiIxLx+eG7LcaGjz+Kbgvoma1MJQ88Z93 Ruq1PqgKpKIbWCz6S+jDXQgnYkf0VmwwvJpa9Fau94W6Sf5K9gzjl9g6wv6t7u6VFop2VjVqDZPF P8/IdOYQCNINWGa802fwky1H5nO/DqyOIRntxMSCCPW9PShQwhBMN06qZVx4SiHZs8O4Q9CEkrZ6 KyrIMqjhCzoS3Mn3MOOx2gF4GB/g5z8c4IHwL+fRlTfjJjBRyawjLE7wRxXhCyzTAHii33L6Cx1C jVXymvmbYQV1TOl6yLxFjdI++RLLS1Cd0exXGNpryfZ6k9CjzvgJXExojFENJbXMe9ohacQ/UChb PlwNTa9MxDRASfv2NrAaXmhW1ipmn7e7lOYRH7O9c4M3zZDgxgYMyA9dw474eD0rsSAIXXnLT3g+ nuL5q+g9f9HWbhBDBKJVFjUJcxQ0e3+jm4KM2+QDJ2OB/6yx9dzUVMObNDY9Q1RjwPxir0uNvHa5 Rf88V9wyf451aZUxlpmmXm5Sk3SvVzzpTWTGVdtzi7wWBkAk+C+Zh4R1VqrhRlVgyzexP8gtvRlC TTc5+hVVja+VFCqyBN5NXNuk+SGZL6Ra9vsLe9aVTpJGH1yOAEMnA3D3+G5NbpkQm8yjtcdWJw0Z AyQTCZZcdgNCIBvz64VZQpF8Waz1Tpp45VJxadxF3oe9pbO0YfUcAi+gwX7n/G4xdXkTvAn+y48M qJNpj688QLaHntVu7lTgo6SdfRMxiEZqdTvjS7NMgoS0XYXaR3H3Dtr3VuSJu0cGTvIzDElvJSgo mF5BHeICeoTEcdGdRx4AtTJ5HyxBziG3RqcE4ojzaMZhyREqELT/Q+0ZtezEEqJLXLomMIuTiHWn Zatzx87I13RXc+txcTFV5vWTsWSTLZPW5bFNklDAIrA8uM4/5rM+GfJyylBk2aDQdB2Q1hUjPTmx mm0mv02HFDauPszqBnc3XKAOgGknOMh1tkt+H7Wp5X/wsVaZ8R3/2rCx+OpYfplYxzxsiptLILXT qNw/mygHWzaE5sOFYsuNLpULIiEICRLeaCflkiMXZc6raaF6mptqnKUiapE5m4R4TktLavQjVAYn VJv33eZWvrj7Nk24xH7cNqgmagvydJvf2MNmYhd++95CgPGbV/+cFu/rjJpiGMAFIZOh5dALj+F1 tPXiXViX68lnbyyU2nB9uzGM3CUvKKuF4VooEIk4u0f9NeUB9rCdJQTCr52e0b6VE1zgR+Hxm4vy ObcPRpwLAhi/uJe2Blmj6mqoOkP3IBNEunpvgyjYWNEhX1aBz8mdlj0yvPKRauCmlWTY5YBybU/o SbddzQDX1nLf0ZwF1Jiny9n6DMgde2WQxOXwUYp/ETsMvQ3M5DeykYvq+vJ/bG2ZyjpxgHuxW8Lr kR2Tz2docyrOcvveqO44ekkbkkA0uGg4D8arjIL2B3xCQmrUVC+i991dC8gIemj594hlusRW/ZHz tSaL22np3bWQ3gYWS8zcU63znq62hN6z8a5XoN+W3DUUk7bfRHvcKywvT015+SfGRxW1y5lu+kV7 wV3XNUbNVFUNVsfCVICranWv0gg61GL8tQ7Bso/+wl7VfZRs3V7lzhD//0hHem/0xyqnOFvdZ8P2 99L0iU3h7lKFhksfvEbyFD3P5ACGLV6K/Xv9W1tqPKoM4UIDNHxsh1Vsl+ltRgUhwH0l+GUGzZ7t FUiKR7pMM+Viv3dNY/JETLr2AyQuKzrRWEpuJIMBpETm6yl6XllKpSt+akz2OIyuIFUmYzs1oZn7 MQJR+dRHHullFGJDkMSxuR3tkNkWVJdvm1jWckbv1Yqb6U5jmm+WyCCfTSnA+T/KsEQq86u3PxkO 0ZbOi/W3rtVhxlkx8eZ6/Im226//XtIpABDUuUm2yMZnGcs8VG8jCVQFCIXOfXkW+fhRnc5ATiJg GIJBKRdS6eqY7za6saWpUrEG1ZwBwhd1Hu1qFsZ3Oq4xxEPAuVF8xxPUL6oHu2utEYsnD/kB+rva db9Q7IEb+nmyYpHnoAnez2g+WFYLS7YIHeQNhjZtBn550hQ4jGbHhpioDz/lXTTf0OHg6POeMp6Y bNqxOcNdq0JDNZi9KMULnEMq8vPRr3CiFpBIs1Dc0rxOCyFkYXWqFQF5GSlKE6Ea56sUiAbjBVg4 ggQ60QlkHxZShbLXVGynBYdK2nWxHyZSnDAhSAxd/Uok1xAhwrzkMVJur+OCfZ+lONdXn2xIuRIJ 30BOKdjljy3S+KmvHFJ5Kcce4q6pLA910rX4Ck/8V44kzqsW/DilN5SHri+XGFyXeIffp3ytwwPj pFO7M3r+rJ/hl9jT0T3hsG49EmK7iHPGnHXOsifoDXgrUxLSk5WpB1Mwv+5iXIf7K5kmYqVaD8Cl ys2fUvumaCrLAHkRmm91fvHqbSrquJ3pHKobJBO09BEg6GOC3cMIk00+W+LYSxpJXunG4LDwOSxc yS0q1SxBS/iMoUW52gYJWeqOUSgSXCRjILDVF0gIeQpp4cJxim7a9QtY+sqUNrLVIPt8NZDcHosT GAUcGTtGOpVa/LErNn2PgN7yUNvsGbH25FHzw03cYbjhas7Jll8oqn3ONT/65AiZGR0mXQrAP1/f LMLl/y5LbhIgi5tsNi7GEJilaoYh7ngnbynnW+fSMLRL8xg/0eZaVX7cwnjfXjjt5Ckdh7ALBc2i aPCQIqilUR5SwebxF943RfyZkhtAe2MX5ngz7/V/HDSDUs9PdpmFjxu3BccL+HcajVXR93nWmG3n u9v4I+HndVkEYhJnDoJh0kif1uVTKUmBJXf7GiFxmZsp3NfrvvfnMr8G5atgj3lF1D9xhEcM2e4G fNK/4BdA+o4wsBtm5HCyaz7OVPCirGkI5I51OAZ4TMef3AwWfhRzPQzPteOakzibL4ZaUrFEDXrU fpkj/qmZiXc4w7fmNahhEfOR0UryFCSwSiLyiiKZnCSiU8XxDgLB5PosOrQtpOMgaaFHAlSty5oX je9+zXpaAnSEVkT7xUUEEAW/ipiwd/Gt3ABx49TibFr6RiI0O0n7r7XNC8EyyXRqDFWE98zy4s5b LrmCdyv7Q7KiEXo7a+Ko9tpNN2GJ1kW9LJ2xzo8refh4l4HKYUxCOJW2rXh13vaTrDLAhE+lYo5o ijVAvfxpjVub6YEd4vkm2szpG7TOj9luDUbFVVOTJ7R4TFT/NQi+lvETriDyg7UoOA/XdWa7hsYI rRp2iqjfSMMfDx+1RmBquRPIOL/mCUDKnUIACNJTHLafdOT8nk7DrEe3M248E5/B4hj41i6yr/cg QOYFDIrq8ZpWQ2ky/ZJrv1B8dwEl3rHYVHaZ1OUT6jlxwkNsCcpDkNhY7tpRXTC6RxQNjGIfTZ/p 7uh584w5qVTa627XUsdSacNvWQwQcFR43VjK+Q6lDV5CN6vJaQaTkLj1LA9g9y+c089H/ddeAUQY 7/v7nyoIsI3is3GwaHxx9Aln65EXUZJu57kxI99U70L0Unbf3YA6+FZhfEuL5VXy+/iUTJMbzgKD VlDI6YjK3S0RhNuLdzYFJoKBCqLkNKjI9rpSqDVw0ie/TMMmu3rmeTUogE7E4jiVdwKlCYc0GC9g C+9G6S1WLVtgP8Bm04+/EhDX4JU9uFQ/O0c+llU6DE19/TMsAqMSKiGrKaN2yJdzQNMcp6yvT8jo eU8XzcBlfm7VMF9Tn37UI6gdphToKhvyJcOxz7S+CDd+Q9aHwA7ZnpHSrJx/Nl1kngnPxjQ7ZzHo TvdngQOXT/qFcnNZEQOBbsZuNxL3qQCKNqOrY1s3Cp0KJpJfSn7FbjVSSD/Z1odBptEHHsdHbyQs uDY+WO8XdbzFymYYj8hLaUuJM8FyR6FndcASnJ6CE886GzeKBHyn/qbbinx2f2+AummyGQxyJf7S eTM2Vrss/xoWc2b7e5VDALncaHQUC2Y1+46ZYEjbyL31UmXzQLv1F1WJ//ThNru9dDYWjvJqAVxV 9GhstaTc92bO8VYspS9GnqdyNCFk0vpWV5Kl3X5a+Tt/XmNpj2NIDJD55RuuzXLLk29r8siNO6ch ioV8MfEXIXhVv63Qz6If2TRsJVvx4A4yJDzaAxOWxepOi53P7EjkFlPuzp/u1HJy/NZJ233ilTIt H58nqNc3Tm3QaUicO6qsA5UdXYJ0ouDP6TU9Bzis9bTThaoImRgJsjjJyBxtsJIHYdnAguez1uIz /No+Q8ah0AHtOcBO9EswmGa285UbpS8yA5j0Jj0qKunopOOU7L6bEvxHsofxRi3JpswT/gV0eXb5 Tdgm1+DQyHOgDoGdefPHd6lkVYWmAdP1gB4bV69m1J+JAEt+kejAclQwTbX0HlvxjO/9qGO13g4a ffmxxL6Fx5qUyngPGDQ+DGrWcTwnBzTR1DtykSI2tSdWTmNMOj3gIinXIsl+7MJ/hQrLSKIf8Fss +uycaO6KekwAR323pkIBUOikDkqaqM3DX0ErfoL3t8enuRpieZHoK9qZox+VXE5BhDd/VDY9+mga 5r0E+OQar1JX50v3IkAKigKcqvU5Zsd2cA+jbSbVVEuHkAs234ChX0UfRFgy0Y53Pgpdd/HfFZoN LmuTTcZN7jZaet4HykQqJ0SukAoLs36RDVk+pyH0b9gjmv5p+bDNWZ+S7kTfmpyVQ+HtBMcEs580 apauM5/1IgCg79PbaT8LlJD2Pu/HLMO6Rjw/P2laj+edzigzkZ/hWwdh5ouX2ckDjt4TGwnvzFsG dJu0DAM4lJzqzbz8VqhnhpWsS86JoyR1w/ZpfyqM63qRtRkc/S8LyhLBoIc0dwXb0h/43NbYyBvd dN4fcmHbJLLu+Atz07ejaPxsDb242pjyGuWApNf1/IAok69nyzaBFsdUu87mRluehAVY9LvQBSdv WcMk/H5VZPwXphhI4v6AJuLHDjWFVuPwOkZEfgxdXTzIryV1ufSsyHjkGjiMdpfTCfMmK4pV3z1n avZaDUXlRvojkp86Set5n9eop2SipuB7NdkmliA5wnqbBAkPjWC3FbJzZQi3o4xdew8WUp+bEYRs 1TZZNFoXq/2RUd4IKD9jSU0xtGtPw4uWzp+bcUnLiGqESYjHmCB7cDDc3R1seprt+VVvnPI7ghkc LdosRYbfWQFMkQA+tQhFke3s1VVh7ef5oGmGnTDmUxiHEs3s+hXvUa6y8cc+BdgRx898HoALemEB RvmS5MOsLtAkNtJ2DUEzMdrGBlUNiFV9f+RENqQD24H2gJx/eEZFoLNFxejUecue3HGxtacWotCR kXRGwJOjzL4fdrz8kgnsQ14OIC4nWawJuyTSeB9amEbQ84nDlHvHsRZSRsgjozmTgjiSklQDQ15N 0ovo9vmgF0FgjoWbVpXoFm73QLMobdFAD7mVFnkKjnwAsS4Vr9s1yHsdv5zcE92jZis6j9Je31g4 a0mbfCrw8ECFWtm6j/dXg/EY0Am7wq1K5MIWipYu4hUMh8fZORrKcLVf4Bpk1LQGpZd1mQ91kwNN H1cyiNPdBvQK4GXAV4diTkxvH9euVIEnnWCKO1WsAagG6Yy44hXz6QALtytZwofsQTK5+sBSjvxM kt/Zm1D16u6T7JDHY1OOmWh+Pw6jKrUowjn+rdzr/d4U82r99f3+515AQe4sGWrSL5OeX1ExJ90e mnkzcyrXY83cyfZb8dN4f9hBcpFw0dObZoIw3xz5g6UZDLUKFgk/dQS5YXumJ6xIlZM0P7iG91PW Uh0/IEnOttxAaQr5aPJy4odLhDZ5eBwfoLlMSZIcEaxEh8Y2+kkbPo7lPbzxbLGHOVHTVuRn5+/3 SNwKIhvnMZZL8Riy8wa8uyriwC8ubLkpTDaUBJJlKzFNAGM9DWEWz942v5w50Qk0A5IsRDXxV+TI h6YX1ymO+rEu62BujpQze3jcsAtBR5ZM8EBfhV8bje4j6jJ6OTIb2CFB0RZ1SdD0/IIXZMo9PO3R BrTK+NPG5Snk8Bbth8UHmT2JFNHYEkJPjpFV4/dSmyidTKQYgxCNfW4mfO4/yOrTR+7WRmAE5Qeb xtzKFAaxrcmjkYn9dXDQ8NBzloT0I76niiXPDwZtIfHJAwsx7AkE+N9uLXqrtPEVQyTF5jolgSVv hHArpdbdlxc03ezYW5PGcfxyCApCpo6qjgJtzX0NKz30f2KXh07wpubO2zXTz4jymfFDlaThhDvB ufv/gsBFbLF7NhqJo62ciitbutpEnJHxtGKy1NG5BeJD+gfNmFr5QTnXDZ9MiTd0wmhSzodSt7q4 WsbtQ4if/TiCw7XiQ6Sr8kQglDA8/i64cXo6iK9MyhXP31nXOC5uHDihFvBQd1+3aX2MuGdv1NCJ f1xNBUA5Bk20MjELSiy6XQ+yOj0DT8rIiNGM03cMmGa0jtHzhqplZJbcugRu5QipS4/Nh6HGiTf+ bJn19WFsccUpIwrC5xqB81MnqghV83MooF4LR7oJFJDYsN0yAXWNSUySUL5/fz9/Otx1bhGaPe9H 3jMKgkijIMk9SEwIVjVr23lQwVrxBbwsVv0zPc4HqsGXdNPoEE7Xig9c4JxbwxDBnQz04w+myNEE asY5XSmobzIgwp8AoW5XpP2BSITnRWf5xtDX71wy7nGNDTh/LqLFoMHwUqI/d6YZM/pawrvirIk7 8uxfIcm58mqIePq4jFoKNZDiRtdRu5BTWrSm9hNRG/7iFOFYG6UVBuI6kMLru31qOgBiQOgpJVYz Jc+FDXpNCsvZExoOsxL6RhIbKqVClXUBjpx/6WaaCk/cN8+5L6lCTwg5SwwH4URMrmlWAaAepS3I L7WDvM3jUrQhHlhdC7nZZXRx/4oPuSzGru7tX9SitY7L2idzfVbk9KCc/xUpNw2f3sZ80UPxyuLY DIAXyZ/bfOONeHntmzsUCNJKfQREb4y3Ep6HvfFLLlLhR+iwXq4DOuRDmO5qze/eK3kO1xsC9q4G HHVhIYDJDgSYIlXDGAf2XtAeh8YJkcV5wEB9sVWzkOTksk8yzz7edYaIjd5qVqUQiEryUwIpjj9C Miktb2V9GYAIZgtfzZ1GK69ullXAc5MEvaG7kR577s7+a3AmyJrCmWWQWcfgEAQN3drBlMbvLN0G Hmbk0HE21NVRLbD5nl/ppjvRJ6b+pvY0qOk5WGkLWLm7t7qAUcos/BYel7m0IChS+9rBfiAjYWs/ TzC7NvcK/FL9AUt9zm047YedG8X+gOTEBZHMhgZAyUAxiHmlV4EG4hCcZ0gRK1gb+mjtYflyZt7+ RpEkpt78Ddoxg1SX7o1bBE5gVvAfKhvJDh8qUdzXaMrfOdTFFoob1uMJ9ku4eGWFAJccGDyxzF0D A4EASaICfbeDpnQPqt33zozzZ4fpUeqcqU3NbdyYNaDFniLtz5K9Z0qcgCmddH8kqZIY/yFUTsm0 b1fiHDM+7VFd++u7rm+DkK8wHjdWNQbx8XPx0PFy5Mq3BCbTNg5DAi8ojCVzItirTEGnMcm2nEWq +TkrJpmofLerdhHAEeOccmwSm8Y8gu2atUq10pwTX+5J1kyTqFDUywGdPZjiVouZ0aohL1TCH6/7 UVSuTCkdpV+XH7axfOrEJ8SlzJv4Km1R+HWbow7HGzkXPwAwq+i0UYlxbD34B0KUBKCzpGnP6atG G9wzEeHaXyk9TX0reI8nCRR981wWQG/BozB5Xy1Cb+HZz12ERJG2CyTugpmhP8pJJD9JV/GUvAcq TyGwZSFd+ZLpNKuupEvBXxnXt/4IhQzgX70OmAhOLnABPPUOwLsmcmi/SRgoRUjOUumMtC5yUHBX hDm0XzVYqsaiZKBGBUkquJVoy3EOb3Iz51AbTKgYR3K/gTRC9Np1lLJ4vGFenlZ4OYnFGLmqB1EN nyCXuet1xtnzLTHWxyHkLFx7BV9WetViwJi6352TPe9GnZ7UOL2KOTQ/KTeS1euYJDg85i6sSkjm UE56yG7Nl2gY7wLjdOZrQOTR/lR+Blm79jeeol9RxPjpbDX0phS2Q+LxYVYHh4huQDc52tSQpGl3 d7pqnaKz7lUuDoDnokO7aqabIP9zOC4SZeMGZ34HM3qRzWqgosWiKzIDvE2pVvhGHD5fTiPdfegz mvqT5Yay4vzAtkX5eTl5ZEv6C62Aunv0EGtwWxK0OZKp2zhFZXqfiHdVN+POo3jav90jkgusbZfd EBTNvvHbWcljXKfCkFgOwWAp6MRid+9sKAk642/A7fCEV5iKI31t6QyXcSIuV3hJR2LQ107IcqUu 5FoB9omrEsTAtY4PwbwvJh1pNmKzEoM4F9xMAGhTpezJ44L/SwDYmcdrx4QWBzZoFXyFg2FtObYT m//QmZnI70USd8GMg+tldsp7urC+eDO2oe1qnwyFUI1PNIYP8pG1iJpMGziBt6G4Zx+keKZxzyGJ SVQ9WVmnYJAr2a2Fa/1YoT1QIX05EtA+h/aOf7YxiqmwqGUNLRVMbCu6xq/rjXDpOEozRdnQKJ5o cQZ1ICUcY4qdKEYIVLaKPFE8SXVOYsgo/0nhzvpQL7zEDDhrKgFW2/bNXZbPULyXzUSHLAz+rlZv 7PmK92KzF9C8YLxMYf1hObdUIr3JgrJ2+JzDCO44ak4zEkbysLvQYswOnn/EyIWSoBvihdbu6Vem M7sR2p+18Yd5aaW7AkNfP/jGrMErnFaJVwDx7RH3i5sv8yJo/90JKCCtKh5RtSPR87F3ctR7qGK6 cGCkTIK088srZiiar1RW6uxicymHTkSPdXeBFBYRA4jeiIYvP000mdZ8DVOvY+iYERy9gle6/COi VC/imh9iyKojBugCRbtt96v41zSANlLxq3ROW0R9BmzoTqo9qDr8khHUiYv40h1rqL1dI1bxQ0Cx WITYyXCqG7XR+FhogyibTHDB9xC+c4dCY7AOUFnjZk3ZLxZ7sS872vv71DjNCbL3yuJ7XouEVuiG CdRBg02UNinX2fUyu0HkoUVkjkvjm3l/dCRAnZKxPfRWEBKML+G9xyg4omNPxkuT0oic9I4zM5Cu rkIjHLjf5huomVuFVOmiZkBW2f1S9iV5bhqZkv3cnkcUZFsuynf4FD2VQ/5mbJ2dNmPYL838OvDM xCNGz7xhlviqFQBxvo7xFsGxeCyWdl5L2YyNoZr++S8S8t76soaQkTBAkHM84Lo9BlH1QxsTi7c8 hOMAe8BSWdy3kGxcqThMb82SDF70Of2IvPvXNCx9+BeSsgKdb9etC2jBJPc5AeeWE5J13bkBpw04 SVnuItHc7A0kExDjwgWimxS10Uvxr2yhEHmpASG7n7AHKKqOGvVfi0BLURUwoyOEwAxuMrWixWdw IRfOnxGbjcTjyx7Yc8OB88NlDNjSsKgLVml5DxiIc3uRmNUcaMUNfKR+zqNqAoBsh6KuJi4jUOE4 hUOhMHe8rado72LLPCrenGhYhRFgKylYiCrbzMz/oVfuMunrxaVBVdWB1tYs2jv11CuBvRYR9GWO 3vjIOXp1wj5j8bg5/J7cihssE+HZ9TR0oH7fQ2umfRWWtlcijpqaGFOLS9s9MNX2FDrEwha819k7 AFTHkuq04gx8wSt7vX79bTqFwMNxBeiSzEhmO9bZ867CQie4gBYc89+NkbjMuUPuAj3T/qqSJd5J A5oEehTUtgOKp1VhD/k6JkbImI3epJ0tNjP6CNGTg4gdudDfrRGyeqt7QvJKO4LlFykUcJd3zcWZ 99usq2Nkw6JpYIPhTGm8AmTJHIlxuvAdfBcLRkiIS2YS1YhpGmLXIo0oQ6IiKTG201ah51zMpe5a TCxHV3Ap57tAj/0+qS1CCGCwnAQCc2VgJy5hUIWCXKbad4KGagMiu5mnRXgD2hWBoae0Zp2NKdGg mO7eFcqtgVISAWDGR3TJJnyv4uK6LplCuuLn0WaFlaou9yKSmO8MkNIRhcSvqIOoQOkm1k2mtPCH KKgWotr3h6hR35YG6d1H0NRwaJhacE0nuTU2GwMYQLmy+CmGKjqxnnyZ9tngDZJDszMUFi6geZkY EijFDZWWFeG/W5ZSrKlGOn9sn/wgoWlyNedPJKPlQFVL9z5OfQKEQZBDORZiaKXzSAdLpZcUaf4b lvcBsXRMLjFA7tkA9Blzwqx9k1Ib8W+K9esiswt4s94e/jQaDN09aZX0ldgD+pTvGd7lxs2hp2x5 wCuuWqG3L97AcjyGTLp5IbIgbRY3HA4Uk0HyvXsuTWv7xHb/r7ZQSjusL0DGcMZ7sUjFUqbVMTql sK+xBLyP8gMC5RParpupkqgeBcahq9SQ63kCN7dZqI4a5Ak0xHgOiMGPbDAGz297PseCnUFopICI 24bp6r0VeUdJJPLfY9LJ8KM2rgWjwckG8CTLOtgMpZzhTFQRRL2/E4Y+UocIBH09mN20y6DiIxuK 4n5gxfL8jF+kQv82+WhMRxucR2ntbvFPHOWhGtrXD9wDe6IS8kBqzwQgLS5ty/OMHpcll5zT+M7N DcOZ7D7FRBzrrhtUXZhegM0h4q1SGFj8zoEHllZcwbroIsdWJzdr3V2MUnbhmiTlV+s1OKgbtLgl lHQB7vcxBfiRINo0I5USy+801uxubIJ5Ne8RMGTOz2HfwgGrT2AsXiMVs4lEq4wGLfOriFZltBkx bzVkONoDKDVaxpvs66BuoyDZ+6YYgmAxPKNVZYDlHlZvrJ+9DQMB6WSVNDeAvPr7ACKNR1RVvQQb 8FeTYzwWlPp0scDSqBTUvURbzTHOAyINDLNAAr0S7HsHTgcA1VZ2ZLNrvJbkNxtmzEa6tD+hDl0m ofpKc/LZSkizoUtIJ/0VY6EoOqMp92u0CaeAFgjkwBRxu2xPZpHG3n+hIXvl4tLlpjEZYBuhkVWj IDuYARPRI4WCjggIKR7xWHeQWDzAog3KM6Kj26QiJHt08F/pnxGznOeL+yaDCcZVVCw27BhdjK2D YLPStGUIxu+SN6CEyY+cVyjPVHhwSv/Kw0TNNtHlrwokhiQIWyWi4ii/pLnDpwS2VN+Q1O/kZw61 eKYwRIHFvGi0ZOyphZ50qXwD6v3LrFcGtCBV2w55/7cdiqe00rB5MDuMih7s6adzm5wGwVop7oXq JlxUBAFPqztlAhbCD+0QA0yA98Lzkih53im8/xaMeGJ5zeAeghOsA42YewWslWXoYJMLea0/mvFV qmhIc7cqTfgU6JUD6Navpdke1Tkn2p1U9KBj45UfVGAX850Oh8DOWmQrM8y6R97RdLC0sseMJzRS Qg6EiwH3uVOvSHaqKpimHJCRNfhaFyfAIQcGI/yKa+XDN2WnHskhqMv1REPmTCtMa4h4pruttW4V XQjoDZQ1TLcRIN1CpQJMCw3ogCGs/HCK3jo8tF2IhVSJUeTLXlYD51c4o8YrMQRzBZ3UXwW5Xs+P rZARJUCiZ9qMSUK6or0iPtzOAexHIm7KgkU8J0A0z+ZieFq/NipiBnLGXs0hou1D/Eyp6+We27rC vEaZXNErRiE79KgjhHucfpbf9mR+lhCTVz7h5aGvyWuh+2Mf8WnlWZjrc7LciB4lDImAd8Z3TGQQ lY28ejpnW7wsh1TWBl8b4tQPu+p7s6pQPIr2CnJ8xU5UfF8J5OX3mRlBhEHSuhgV4XCFeSIHu9oV Cjx15kOX0fBbiVkPqVuSF7L/7w1cQNFTMkcq8NqUmnidGElzaPb2ASlJn8ug27F4mex/4fA5BCdJ JayzDtxUzwppJXDAvezrZY5t/Mk3pcoFHfC6rHBroMSSHoFEW+LStR66kDN3rCSq34vRktNA1Ww6 QfXqLYquDPPpNjXASriSKPXcrZ2NLCiUMp6Ja9Gf9M8DT1FaotD8akquAiJzZ5415TUsRR1dPu7x 3pjN0clUzqDMoOMMjI7k5/DHcQ3qY/d2enzarhbQ0/8UVHYQia9mvjJLjDy8ioUvu9ZZPDulhBqw 6O4fyovn9fDXrIqp5+jY17kj8R8BheJn/snQYwD3FDJ5kdqOWILpY2WuYAI6RMbg4mWYYbDkMv0Z Sjplksvn14UGpPhwID/OtcuqBh5m0+vCOa/urNVsTLAuyW9LjSmwVfuptgvm57XnIu7nnNXYTM7A gTFzrjprrxqY+uFY3AZCOQMnlUKb+A8kbIuMbZxnex3j7RB2jJYOIV3ngxvgFo2pw0xU3eQd9gBQ F0xF5MVmhTpKWB8It6jSymAZghH7DXikUigOHidHojvtfOgBrmLtxJvXDaNBMukzFntAYps/7yQb 5u/la9jaA0nCfgq9gBt7R4lASGr+pbAvAYM+u5XLD7hOHqRzt0ECXwJvmhK7JeTR3aWmN3qsi9c7 HFJW8wZpt7oM5GSgUQ7dHboiThitlDapfw7PXcL/eEuRP5hQbqK0QYszssRDgWQlYjMUs0Y+Al2y GE+HQnoBFiyTIVMYhBZMa0QA2Ij+yj7BVE539YCsSARwG8frAQ0mBIxJDnnxfKCXmwQAqQm5IjDj tDmruh2WKX1lCbol/w/PUlugcQMXoKGwv07wMRX+QkES1v5IyC5NtRmKI0rWIHPFqLL6fqArNRjn AdiqauXKRBt7fbvVnp1wxvhsWEDjeJqd9ow82OaqcIep2oZ602OaJ2pUZoWS2v38m+FDZfvHYcvW YzoalRpHKyHWVNzuHsd1HXbuHqzW7V48hvHlzmVheivKWZK4yVgAwJ9xWedc74YeCwBJ04H1TlfH O0jUeun6Y/dq7/9KKV93HjMQFL+UwRgWv1Nz7eqimFIxVTK4LXqsR0nbfOkg408IZzdBUJ3muLKd 81tlJpX3uzWG0rmA/rVVqe/sB4Y65pyJIQ5q5jcwalyVqQS/oAv7pxAcBAVjxGVEHw0xP5UaOlbU x+2zjhpA3NgJqlvmQwlNVWTrRyxRyPuMNcl1fn3p2487Vz5vmgD0bsOHxVy+8B5ebMUVvVQyiOqr rJ3C3z2mHLrQuUKbq1JUeNE4QUw6FWRsDIJxaUa0RHsk4nRquOz3Umg1C9no5uor/Evl3RGkHUie pomQcsNL+Kx2DcNN6aHDooxOer3lzdLqZL50vEeCJ0+FZBCH78L+2nc/rTIccTQ7HOJIXL+5A07s dBC4kdIGSO1ayJxPwlx1pma7YHgDO4qeRPmvd/WvvD+X1ts8Z89A3wLyErSo5a6HSueb/trZD5gi UQFWPlFuSJRD6X4GwRKyMc6nLkG1x8XjuGz9V1eXvrmZwhNvdJKWBAhLZzCvzbw9Spa/Y/DAvbod U7Ejxa3cRjbOwvaNMzDUOed0mLO3WvWSYPUVHakbGyNzn8HR+A80yGclVdreqU1Tiie+imuMOGSg EuiTjVdPQxkREq/FL0aIH/9h3Q9sgvrMnQlr20MGFRn9Ywz+ewHKSBJaE+sRGpkeb4WriJR+albm 8Oy8UNSPfeOH3yEBbi1O/fa86CepZhzyq3uCPtSvQ7gRW7rsHubEzRODEwa9zY4V2Mox7sWWM1Li ZLS1fF2b6GmgMG2S+kEItBp6TQMw+YQVEtk7tYD01ip62L4UGzB5sCnCWHUpDyncySuDucYFd9Jj JJ1wkKWKtiudbQaUPuvxRNqH06AmXsbp19VqUdYw/pzxlcI/qYUCU1WpwlKTMjgqgPeq8G3o4yfc 8SJbpTfoG3Q9TtbtgbhLBU5+NJsk2T+umHfZ7MN9eSaN8k18NTpHHOHwMZ8E+2UzD/ocRTq6/jCd Qk1bHFEtwJ8x6hpRar+C0PiFDfkpvt3i6n2AVZTXuI5XaGqayA+2l1rHgWDZEL2RwkomlN9xyub4 gdYaJpCC8f+5VkK4SmJ+yNImqSXmIWpVzcnaWt6t5gqnVj3vhG6zUk7k4xkicDt/dODp+34uOgKP 87KsYWKy58q/j3MiiNXH2MbFjMB3APUIV7H1RK+TpnS+G7vsl2qhOoOlpu2YFGMmOMRGzSAi6qwx /HrKrdNQJ1thJf7smw9KdmHPAe7Aa55Grlhb71WtiipYB4gvX2GWq8qlxi6ccrRzvKzyARKftFs7 /e5bjRDhEAwnehIGynH0s9oiiggtwjBPuo+CziWSMOmkGge1AAt8eNfubM31aE5eQUnhDXPTrdRp NfEAjBy8otVrM8pDVEKPKXuM/Zo2r78OpHvMkOV4HDGWICS8xZAVVDgjwTqfrJKtiACXHThdi+jQ XEiDofGAjUXWxec4iyJ25c4Hm7gUIjgG2O2AlhilWmR11IyVn0t3eNlgbXvGh6deAPRP1oUvWo8M lSdFhbV3oUbjz/TBp5uxRWrPXsLMUEqzdWvbcg8UcjtnBbyq5ykjVQHgGYFekbagegr7QM392Uf1 4wk5dBKO0O+vymraNYBhWduEl37MYNFAT+17zgaUrZFN2z9rvOf3mUotAFHQkOhZXnzjbFFGBSei rJbNgCLf6neSRd0iptJ71qcrIK0lPF8Efu5Vx26yWSlGuizo2pgSWl2awbEPZXXOapt4vW5c7hqP 63IF7jKxD5Cwa05fSvqtwLdZIOLMF1eA0YwUvSJVx7E95gp7SXcUymy279CzugdCCK7D+I2+DxKO D2yV+5X5SfM5mmhbt8JEj31ycQNfAEcak2m3PM+GpMEQQL8MVH8p0htcnmnXg/c8pAEnjS7iIKmo X/TGUveC2W3t6sJ7foMNn2lcAMDS71lkJdYF2bJkJoSrE2QrigLUS/q9MZkJ07cuTClIXZvWMFCC 8QID0lFZQLEAm9jvjkd/ylMjh78aonb7RU2CZyahJMPqPeKgsHxRWOmLw/JTg5iGFdklFuH/M4cP CNPlYJHJx+YiFKRc3nLFpgwH+GG131/Z `protect end_protected
lgpl-3.0
id101010/vhdl-yasg
dds_tb.vhd
1
2231
---------------------------------------------------------------------------------- -- Project: YASG (Yet another signal generator) -- Project Page: https://github.com/id101010/vhdl-yasg/ -- Authors: Aaron Schmocker & Timo Lang -- License: GPL v3 -- Create Date: 11:35:57 05/16/2016 -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY dds_tb IS END dds_tb; ARCHITECTURE behavior OF dds_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT dds PORT( clk : IN std_logic; freq : IN unsigned(16 downto 0); form : IN unsigned(1 downto 0); amp : OUT unsigned(11 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal freq : unsigned(16 downto 0) := (others => '0'); signal form : unsigned(1 downto 0) := (others => '0'); --Outputs signal amp : unsigned(11 downto 0); -- Clock period definitions constant clk_period : time := 20 ns; --50mhz BEGIN -- Instantiate the Unit Under Test (UUT) uut: dds PORT MAP ( clk => clk, freq => freq, form => form, amp => amp ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; form <= "00"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; form <= "01"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; form <= "10"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; form <= "11"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; wait; end process; END;
lgpl-3.0
freecores/grain
src/VHDL/test_synth/hw4_grain128.vhd
1
775
-- -- synthesis test 4: -- * without clock enable -- * fast -- -- Altera EP2C-8, Quartus 8.0: (same as hw3_grain128) library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity hw4_grain128 is port ( CLK_I : in std_logic; ARESET_I : in std_logic; KEY_I : in std_logic; IV_I : in std_logic; INIT_I: in std_logic; KEYSTREAM_O : out std_logic; KEYSTREAM_VALID_O : out std_logic ); end entity; architecture behav of hw4_grain128 is begin top: entity work.grain128 generic map ( DEBUG => false, FAST => true ) port map ( CLK_I => CLK_I, CLKEN_I => '1', ARESET_I => ARESET_I, KEY_I => KEY_I, IV_I => IV_I, INIT_I=> INIT_I, KEYSTREAM_O => KEYSTREAM_O, KEYSTREAM_VALID_O => KEYSTREAM_VALID_O ); end behav;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Open16750/uart_baudgen.vhdl
1
2237
-- -- UART Baudrate generator -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; -- Serial UART baudrate generator entity uart_baudgen is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable CLEAR : in std_logic; -- Reset generator (synchronization) DIVIDER : in std_logic_vector(15 downto 0); -- Clock divider BAUDTICK : out std_logic -- 16xBaudrate tick ); end uart_baudgen; architecture rtl of uart_baudgen is -- Signals signal iCounter : unsigned(15 downto 0); begin -- Baudrate counter BG_COUNT: process (CLK, RST) begin if (RST = '1') then iCounter <= (others => '0'); BAUDTICK <= '0'; elsif (CLK'event and CLK = '1') then if (CLEAR = '1') then iCounter <= (others => '0'); elsif (CE = '1') then iCounter <= iCounter + 1; end if; BAUDTICK <= '0'; if (iCounter = unsigned(DIVIDER)) then iCounter <= (others => '0'); BAUDTICK <= '1'; end if; end if; end process; end rtl;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Omega/MemoryController_TB.vhd
1
3945
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:29:38 11/03/2016 -- Design Name: -- Module Name: /home/student1/Documents/Omega/CPU/Hardware/Omega/MemoryController_TB.vhd -- Project Name: Omega -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: MemoryController -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY MemoryController_TB IS END MemoryController_TB; ARCHITECTURE behavior OF MemoryController_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT MemoryController PORT( CLK : IN std_logic; Address : IN std_logic_vector(31 downto 0); Enable : IN std_logic; ToWrite : IN std_logic_vector(31 downto 0); FromRead : OUT std_logic_vector(31 downto 0); Instruction : IN std_logic_vector(31 downto 0); Reset : IN std_logic; Done : OUT std_logic; SRAM_addr : OUT std_logic_vector(20 downto 0); SRAM_OE : OUT std_logic; SRAM_CE : OUT std_logic; SRAM_WE : OUT std_logic; SRAM_data : INOUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal Address : std_logic_vector(31 downto 0) := (others => '0'); signal Enable : std_logic := '0'; signal ToWrite : std_logic_vector(31 downto 0) := (others => '0'); signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); signal Reset : std_logic := '0'; --BiDirs signal SRAM_data : std_logic_vector(7 downto 0); --Outputs signal FromRead : std_logic_vector(31 downto 0); signal Done : std_logic; signal SRAM_addr : std_logic_vector(20 downto 0); signal SRAM_OE : std_logic; signal SRAM_CE : std_logic; signal SRAM_WE : std_logic; -- Clock period definitions constant CLK_period : time := 31.25 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: MemoryController PORT MAP ( CLK => CLK, Address => Address, Enable => Enable, ToWrite => ToWrite, FromRead => FromRead, Instruction => Instruction, Reset => Reset, Done => Done, SRAM_addr => SRAM_addr, SRAM_OE => SRAM_OE, SRAM_CE => SRAM_CE, SRAM_WE => SRAM_WE, SRAM_data => SRAM_data ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; data_proc: process begin sram_data <= (others => 'Z'); wait until falling_edge(SRAM_oe); sram_data <= "00000000"; wait for 9 ns; sram_data <= "01011010"; wait until SRAM_oe = '1'; end process data_proc; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait until SRAM_we = '1'; wait for CLK_period*10; enable <= '1'; instruction <= "00010000000000000000000000000000"; address <= "00000000000000000000000000000100"; wait until done = '1'; enable <= '0'; -- insert stimulus here wait; end process; END;
lgpl-3.0
Hyperion302/omega-cpu
UnitTests/Divider/divider_sequential.vhdl
1
3524
library IEEE; use IEEE.std_logic_1164.all; use IEEE.Numeric_std.all; entity Divider_sequential is port ( Enable : in std_logic; Ready : out std_logic; CLK : in std_logic; Overflow : out std_logic; Divisor : in std_logic_vector(31 downto 0); Dividend : in std_logic_vector(31 downto 0); Remainder : out std_logic_vector(31 downto 0); Quotient : out std_logic_vector(31 downto 0); IsSigned : in std_logic); type machineState is (WaitingToStart,Dividing,Output); end Divider_sequential; architecture Behavioral of Divider_sequential is signal Enable_S : std_logic := '0'; signal Ready_S : std_logic := '0'; signal Overflow_S : std_logic := '0'; signal Divisor_S : std_logic_vector(31 downto 0) := (others => '0'); signal Quotient_S : std_logic_vector(31 downto 0) := (others => '0'); signal Remainder_S : std_logic_vector(63 downto 0) := (others => '0'); signal Dividend_S : std_logic_vector(31 downto 0) := (others => '0'); signal is_running : integer := 0; signal state : machineState := WaitingToStart; begin Enable_S <= Enable; Ready <= Ready_S; Overflow <= Overflow_S; Divisor_S <= Divisor when (isSigned = '0' or Divisor(31) = '0') else std_logic_vector(-signed(Divisor)); Quotient <= Quotient_S when (isSigned = '0' or Divisor(31) = Dividend(31)) else std_logic_vector(-signed(Quotient_S)); Remainder <= Remainder_S(63 downto 32) when (isSigned = '0' or Dividend(31) = '0') else std_logic_vector(-signed(Remainder_S(63 downto 32))); Dividend_S <= Dividend when (isSigned = '0' or Dividend(31) = '0') else std_logic_vector(-signed(Dividend)); Divide: process (CLK) variable Remainder_V : std_logic_vector(63 downto 0) := (others => '0'); begin if rising_edge(clk) then case state is when WaitingToStart => if enable_s = '1' then if Divisor_S = "00000000000000000000000000000000" then state <= Output; Ready_S <= '1'; Overflow_S <= '1'; else state <= Dividing; is_running <= 1; Ready_S <= '0'; Quotient_S <= (others => '0'); Overflow_S <= '0'; Remainder_S(63 downto 32) <= (others => '0'); Remainder_S(31 downto 0) <= Dividend_S; end if; end if; when Dividing => if is_running <= 32 then is_running <= is_running + 1; Remainder_V := Remainder_S(62 downto 0) & "0"; Remainder_V(63 downto 32) := std_logic_vector(to_signed(to_integer(signed(Remainder_V(63 downto 32))) - to_integer(signed(Divisor_S)),32)); if Remainder_V(63) = '1' then Remainder_S <= Remainder_S(62 downto 0) & "0";--Remainder_V(63 downto 32) := std_logic_vector(to_unsigned(to_integer(unsigned(Remainder_S(63 downto 32))) + to_integer(unsigned(Divisor_S)),32)); Quotient_S <= Quotient_S(30 downto 0) & "0"; else Remainder_S <= Remainder_V; Quotient_S <= Quotient_S(30 downto 0) & "1"; end if; elsif is_running = 33 then state <= Output; end if; when Output => if enable_s = '1' then Ready_S <= '1'; else Ready_S <= '0'; Overflow_S <= '0'; State <= WaitingToStart; end if; when others => null; end case; end if; end process; end Behavioral;
lgpl-3.0
lerwys/GitTest
hdl/modules/wb_position_calc/position_calc_counters_single.vhd
1
3044
------------------------------------------------------------------------------ -- Title : Position Calcualtion Error Counters ------------------------------------------------------------------------------ -- Author : Lucas Maziero Russo -- Company : CNPEM LNLS-DIG -- Created : 2014-01-13 -- Platform : FPGA-generic ------------------------------------------------------------------------------- -- Description: Simple counters for errors on the DSP chain ------------------------------------------------------------------------------- -- Copyright (c) 2014 CNPEM -- Licensed under GNU Lesser General Public License (LGPL) v3.0 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-01-13 1.0 lucas.russo Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity position_calc_counters_single is generic ( g_cntr_size : natural := 16 ); port ( fs_clk2x_i : in std_logic; -- clock period = 4.44116091946435 ns (225.16635135135124 Mhz) fs_rst2x_n_i : in std_logic; -- Clock enable ce_i : in std_logic; -- Error inputs (one clock cycle long) err1_i : in std_logic; -- Counter clear cntr_clr_i : in std_logic; -- Output counter cntr_o : out std_logic_vector(g_cntr_size-1 downto 0) ); end position_calc_counters_single; architecture rtl of position_calc_counters_single is signal cntr_clr_int : std_logic; signal cntr_int : unsigned(g_cntr_size-1 downto 0); begin -- Hold counter clear until it is visible by the remaing of logic with -- clock enable p_hold_clr : process(fs_clk2x_i) begin if rising_edge(fs_clk2x_i) then if fs_rst2x_n_i = '0' then cntr_clr_int <= '0'; else if cntr_clr_i = '1' then cntr_clr_int <= '1'; elsif ce_i = '1' then cntr_clr_int <= '0'; end if; end if; end if; end process; p_ctnr : process(fs_clk2x_i) begin if rising_edge(fs_clk2x_i) then if fs_rst2x_n_i = '0' then cntr_int <= to_unsigned(0, cntr_int'length); elsif ce_i = '1' then if cntr_clr_int = '1' then cntr_int <= to_unsigned(0, cntr_int'length); elsif err1_i = '1' then cntr_int <= cntr_int + 1; end if; end if; end if; end process; -- Output counters cntr_o <= std_logic_vector(cntr_int); end rtl;
lgpl-3.0
lerwys/GitTest
hdl/modules/position_calc/generated/virtex6/cc_cmplr_v3_0_2717b25e8a23e5e2.vhd
1
6147
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file cc_cmplr_v3_0_2717b25e8a23e5e2.vhd when simulating -- the core, cc_cmplr_v3_0_2717b25e8a23e5e2. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY cc_cmplr_v3_0_2717b25e8a23e5e2 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC ); END cc_cmplr_v3_0_2717b25e8a23e5e2; ARCHITECTURE cc_cmplr_v3_0_2717b25e8a23e5e2_a OF cc_cmplr_v3_0_2717b25e8a23e5e2 IS -- synthesis translate_off COMPONENT wrapped_cc_cmplr_v3_0_2717b25e8a23e5e2 PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_cc_cmplr_v3_0_2717b25e8a23e5e2 USE ENTITY XilinxCoreLib.cic_compiler_v3_0(behavioral) GENERIC MAP ( c_c1 => 58, c_c2 => 58, c_c3 => 58, c_c4 => 0, c_c5 => 0, c_c6 => 0, c_clk_freq => 2, c_component_name => "cc_cmplr_v3_0_2717b25e8a23e5e2", c_diff_delay => 2, c_family => "virtex6", c_filter_type => 1, c_has_aclken => 1, c_has_aresetn => 0, c_has_dout_tready => 0, c_has_rounding => 0, c_i1 => 58, c_i2 => 58, c_i3 => 58, c_i4 => 0, c_i5 => 0, c_i6 => 0, c_input_width => 24, c_m_axis_data_tdata_width => 64, c_m_axis_data_tuser_width => 16, c_max_rate => 1120, c_min_rate => 1120, c_num_channels => 2, c_num_stages => 3, c_output_width => 58, c_rate => 1120, c_rate_type => 0, c_s_axis_config_tdata_width => 1, c_s_axis_data_tdata_width => 24, c_sample_freq => 1, c_use_dsp => 1, c_use_streaming_interface => 1, c_xdevicefamily => "virtex6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_cc_cmplr_v3_0_2717b25e8a23e5e2 PORT MAP ( aclk => aclk, aclken => aclken, s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => s_axis_data_tlast, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tlast => m_axis_data_tlast, event_tlast_unexpected => event_tlast_unexpected, event_tlast_missing => event_tlast_missing ); -- synthesis translate_on END cc_cmplr_v3_0_2717b25e8a23e5e2_a;
lgpl-3.0
lerwys/GitTest
hdl/modules/sw_windowing/input_conditioner.vhd
1
6574
------------------------------------------------------------------------------- -- Title : Input Conditioner -- Project : ------------------------------------------------------------------------------- -- File : input_conditioner.vhd -- Author : Gustavo BM Bruno -- Company : -- Created : 2014-01-30 -- Last update: 2014-02-26 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Define the timing for the switch at the RFFE board and apply a -- proper window at the switch to avoid the switching noise. ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-01-30 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library UNISIM; use UNISIM.vcomponents.all; library work; use work.genram_pkg.all; entity input_conditioner is generic ( --g_clk_freq : real := 120.0e6; -- System clock frequency --g_sw_freq : real := 100.0e3; -- Desired switching frequency g_sw_interval : natural := 1000; g_input_width : natural := 16; g_output_width : natural := 24; g_window_width : natural := 24; g_input_delay : natural := 2; g_window_coef_file : string); port ( reset_n_i : in std_logic; -- Reset data clk_i : in std_logic; -- Main clock adc_a_i : in std_logic_vector(g_input_width-1 downto 0); adc_b_i : in std_logic_vector(g_input_width-1 downto 0); adc_c_i : in std_logic_vector(g_input_width-1 downto 0); adc_d_i : in std_logic_vector(g_input_width-1 downto 0); switch_o : out std_logic; -- Switch position output switch_en_i : in std_logic; switch_delay_i : in std_logic_vector(15 downto 0); a_o : out std_logic_vector(g_output_width-1 downto 0); b_o : out std_logic_vector(g_output_width-1 downto 0); c_o : out std_logic_vector(g_output_width-1 downto 0); d_o : out std_logic_vector(g_output_width-1 downto 0); dbg_cur_address_o : out std_logic_vector(31 downto 0)); end entity input_conditioner; architecture structural of input_conditioner is --constant c_mem_size : natural := natural(g_clk_freq/(g_sw_freq*2.0)) + 1; constant c_mem_size : natural := g_sw_interval/2 + 1; constant c_bus_size : natural := f_log2_size(c_mem_size); signal cur_address : std_logic_vector(c_bus_size-1 downto 0) := (others => '0'); -- Current index for lookup table signal window_factor : std_logic_vector(g_window_width-1 downto 0); -- Current value of the window -- factor, signed int component counter is generic ( g_mem_size : natural; g_bus_size : natural; g_switch_delay : natural); port ( clk_i : in std_logic; index_o : out std_logic_vector(c_bus_size-1 downto 0); ce_i : in std_logic; switch_o : out std_logic; switch_en_i : in std_logic; switch_delay_i : in std_logic_vector(15 downto 0); reset_n_i : in std_logic); end component counter; component generic_multiplier is generic ( g_a_width : natural; g_b_width : natural; g_signed : boolean; g_p_width : natural); port ( a_i : in std_logic_vector(g_a_width-1 downto 0); b_i : in std_logic_vector(g_b_width-1 downto 0); p_o : out std_logic_vector(g_p_width-1 downto 0); clk_i : in std_logic; reset_n_i : in std_logic); end component generic_multiplier; begin cmp_lut : generic_simple_dpram generic map ( g_data_width => g_window_width, g_size => c_mem_size, g_with_byte_enable => false, g_addr_conflict_resolution => "dont_care", --g_init_file => "./window.nif", g_init_file => g_window_coef_file, g_dual_clock => false ) port map ( rst_n_i => reset_n_i, clka_i => clk_i, bwea_i => (others => '0'), wea_i => '0', aa_i => cur_address, da_i => (others => '0'), clkb_i => clk_i, ab_i => cur_address, qb_o => window_factor ); cmp_index : counter generic map ( g_mem_size => c_mem_size, g_bus_size => c_bus_size, g_switch_delay => g_input_delay ) port map ( clk_i => clk_i, index_o => cur_address, ce_i => '1', reset_n_i => reset_n_i, switch_delay_i => switch_delay_i, switch_o => switch_o, switch_en_i => switch_en_i ); dbg_cur_address_o(dbg_cur_address_o'left downto cur_address'left+1) <= (others =>'0'); dbg_cur_address_o(cur_address'left downto 0) <= cur_address; cmp_multiplier_a : generic_multiplier generic map ( g_a_width => g_input_width, g_b_width => g_window_width, g_signed => true, g_p_width => g_output_width) port map ( a_i => adc_a_i, b_i => window_factor, p_o => a_o, clk_i => clk_i, reset_n_i => reset_n_i); cmp_multiplier_b : generic_multiplier generic map ( g_a_width => g_input_width, g_b_width => g_window_width, g_signed => true, g_p_width => g_output_width) port map ( a_i => adc_b_i, b_i => window_factor, p_o => b_o, clk_i => clk_i, reset_n_i => reset_n_i); cmp_multiplier_c : generic_multiplier generic map ( g_a_width => g_input_width, g_b_width => g_window_width, g_signed => true, g_p_width => g_output_width) port map ( a_i => adc_c_i, b_i => window_factor, p_o => c_o, clk_i => clk_i, reset_n_i => reset_n_i); cmp_multiplier_d : generic_multiplier generic map ( g_a_width => g_input_width, g_b_width => g_window_width, g_signed => true, g_p_width => g_output_width) port map ( a_i => adc_d_i, b_i => window_factor, p_o => d_o, clk_i => clk_i, reset_n_i => reset_n_i); end structural;
lgpl-3.0
QuickJack/logi-hard
test_bench/wishbone_double_buffer_tb.vhd
2
3834
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:24:24 01/16/2014 -- Design Name: -- Module Name: /home/jpiat/development/FPGA/logi-family/logi-hard/test_bench/wishbone_double_buffer_tb.vhd -- Project Name: logibone-wishbone -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: wishbone_double_buffer -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY wishbone_double_buffer_tb IS END wishbone_double_buffer_tb; ARCHITECTURE behavior OF wishbone_double_buffer_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT wishbone_double_buffer PORT( gls_reset : IN std_logic; gls_clk : IN std_logic; wbs_address : IN std_logic_vector(15 downto 0); wbs_writedata : IN std_logic_vector(15 downto 0); wbs_readdata : OUT std_logic_vector(15 downto 0); wbs_strobe : IN std_logic; wbs_cycle : IN std_logic; wbs_write : IN std_logic; wbs_ack : OUT std_logic; write_buffer : IN std_logic; buffer_index : OUT std_logic; buffer_full : OUT std_logic; buffer_input : IN std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal gls_reset : std_logic := '0'; signal gls_clk : std_logic := '0'; signal wbs_address : std_logic_vector(15 downto 0) := (others => '0'); signal wbs_writedata : std_logic_vector(15 downto 0) := (others => '0'); signal wbs_strobe : std_logic := '0'; signal wbs_cycle : std_logic := '0'; signal wbs_write : std_logic := '0'; signal write_buffer : std_logic := '0'; signal buffer_input : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal wbs_readdata : std_logic_vector(15 downto 0); signal wbs_ack : std_logic; signal buffer_index : std_logic; signal buffer_full : std_logic; -- Clock period definitions constant gls_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: wishbone_double_buffer PORT MAP ( gls_reset => gls_reset, gls_clk => gls_clk, wbs_address => wbs_address, wbs_writedata => wbs_writedata, wbs_readdata => wbs_readdata, wbs_strobe => wbs_strobe, wbs_cycle => wbs_cycle, wbs_write => wbs_write, wbs_ack => wbs_ack, write_buffer => write_buffer, buffer_index => buffer_index, buffer_full => buffer_full, buffer_input => buffer_input ); -- Clock process definitions gls_clk_process :process begin gls_clk <= '0'; wait for gls_clk_period/2; gls_clk <= '1'; wait for gls_clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. gls_reset <= '1' ; wait for 100 ns; gls_reset <= '0' ; wait for gls_clk_period*10; while true loop write_buffer <= '1' ; wait for gls_clk_period; end loop ; -- insert stimulus here wait; end process; END;
lgpl-3.0
QuickJack/logi-hard
hdl/communication/i2c_master.vhd
2
8283
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:28:10 07/01/2014 -- Design Name: -- Module Name: i2c_master - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values -- -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity i2c_master is generic(i2c_freq_hz : positive := 100_000; clk_freq_hz : positive := 100_000_000); port( clk : in std_logic; reset : in std_logic; slave_addr : in std_logic_vector(6 downto 0 ); data_in : in std_logic_vector(7 downto 0 ); i2c_read : in std_logic; i2c_write : in std_logic; scl : inout std_logic; sda : inout std_logic; data_out : out std_logic_vector(7 downto 0 ); new_data : out std_logic ; ack, nack, busy : out std_logic ); end i2c_master; architecture Behavioral of i2c_master is constant clk_div : positive := ((clk_freq_hz/i2c_freq_hz)/4)-1 ; TYPE master_state IS (IDLE, I2C_START, TX_ADDR, ACK_ADDR, TX_BYTE, RX_BYTE, ACK_BYTE, HOLDING, I2C_STOP) ; signal cur_state, next_state : master_state ; signal modulo_counter : std_logic_vector(15 downto 0); signal end_modulo : std_logic ; signal cycle_counter : std_logic_vector(1 downto 0); signal quarter, half, full : std_logic ; signal transmit_buffer, receive_buffer, addr_buffer : std_logic_vector(7 downto 0); signal bit_counter : std_logic_vector(2 downto 0); signal write_mode : std_logic ; signal sda_unbuf, sda_latched : std_logic ; signal sda_shift_reg : std_logic_vector(5 downto 0); signal is_acked : std_logic ; begin process(clk, reset) begin if reset = '1' then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif clk'event and clk = '1' then if cur_state = IDLE then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif modulo_counter = 0 then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= cycle_counter + 1; else modulo_counter <= modulo_counter - 1 ; end if ; end if ; end process ; end_modulo <= '1' when modulo_counter = 0 else '0' ; quarter <= '1' when cycle_counter = 1 else '1' when cycle_counter = 3 else '0' ; half <= '1' when cycle_counter = 2 else '0' ; full <= '1' when cycle_counter = 3 and end_modulo = '1' else '0' ; process(clk, reset) begin if reset = '1' then cur_state <= IDLE ; elsif clk'event and clk = '1' then cur_state <= next_state ; end if ; end process ; process(cur_state, bit_counter, write_mode, cycle_counter, end_modulo, quarter, half, full, i2c_write, i2c_read, sda) begin next_state <= cur_state ; case (cur_state) is when IDLE => if i2c_write = '1' then next_state <= I2C_START ; elsif i2c_read = '1' then next_state <= I2C_START ; end if ; when I2C_START => if full = '1' then next_state <= TX_ADDR ; end if ; when TX_ADDR => if full = '1' and bit_counter = 7 then next_state <= ACK_ADDR ; end if ; when ACK_ADDR => if full = '1' and is_acked = '1' and write_mode = '1' then next_state <= TX_BYTE ; elsif full = '1' and is_acked = '1' and write_mode = '0' then next_state <= RX_BYTE ; elsif full = '1' and is_acked = '0' then next_state <= I2C_STOP ; end if ; when TX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when RX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when ACK_BYTE => if full = '1' and i2c_write = '1' and is_acked = '1' then -- next_state <= TX_BYTE ; elsif full = '1' and i2c_read = '1' then next_state <= RX_BYTE ; elsif full = '1' then next_state <= I2C_STOP ; end if ; when I2C_STOP => if full = '1' then next_state <= IDLE ; end if ; when others => end case ; end process ; scl <= 'Z' when cur_state = I2C_START and cycle_counter < 2 else '0' when cur_state = I2C_START and cycle_counter >= 2 else 'Z' when cur_state = IDLE else '0' when cycle_counter < 2 else 'Z' ; sda_unbuf <= '0' when cur_state = I2C_START else '0' when cur_state = I2C_STOP and cycle_counter <= 2 else '1' when cur_state = I2C_STOP and cycle_counter > 2 else -- need to make sure its enough ... '1' when cur_state = IDLE else '1' when cur_state = TX_ADDR and addr_buffer(7) = '1' else '0' when cur_state = TX_ADDR and addr_buffer(7) = '0' else '1' when cur_state = TX_BYTE and transmit_buffer(7) = '1' else '0' when cur_state = TX_BYTE and transmit_buffer(7) = '0' else '0' when cur_state = ACK_BYTE and write_mode = '0' and i2c_read = '1' else '1' ; process(clk, reset) begin if reset = '1' then sda_shift_reg <= (others => '1'); elsif clk'event and clk = '1' then sda_shift_reg(0) <= sda_unbuf ; sda_shift_reg(sda_shift_reg'high downto 1) <= sda_shift_reg(sda_shift_reg'high-1 downto 0); end if ; end process ; sda <= 'Z' when cur_state = ACK_BYTE and write_mode = '1' else '0' when sda_shift_reg(sda_shift_reg'high) = '0' else 'Z' ; process(clk, reset) begin if reset = '1' then is_acked <= '0' ; elsif clk'event and clk = '1' then if (cur_state = ACK_BYTE or cur_state = ACK_ADDR ) and sda = '0' then is_acked <= '1' ; elsif cur_state /= ACK_BYTE then is_acked <= '0' ; end if ; end if ; end process ; ack <= '1' when cur_state = ACK_BYTE and is_acked = '1' and full = '1' else '1' when cur_state = ACK_BYTE and next_state = RX_BYTE else '1' when cur_state = ACK_ADDR and is_acked = '1' and full = '1' else '0' ; nack <= '1' when cur_state = ACK_BYTE and write_mode = '1' and full='1' and is_acked = '0' else '1' when cur_state = ACK_ADDR and full='1' and is_acked = '0' else '0' ; busy <= '0' when cur_state = IDLE else '1' ; new_data <= '1' when cur_state = ACK_BYTE and write_mode = '0' else '0' ; process(clk, reset) begin if reset = '1' then transmit_buffer <= (others => '0') ; receive_buffer <= (others => '0') ; addr_buffer <= (others => '0') ; bit_counter <= (others => '0') ; elsif clk'event and clk = '1' then if (cur_state = IDLE and i2c_write = '1') or i2c_read = '1' then addr_buffer <= slave_addr & i2c_read ; elsif cur_state = TX_ADDR and full = '1' then addr_buffer(7 downto 1) <= addr_buffer(6 downto 0); end if; if cur_state = IDLE and i2c_write = '1' then transmit_buffer <= data_in ; elsif cur_state = TX_BYTE and full = '1' then transmit_buffer(7 downto 1) <= transmit_buffer(6 downto 0); transmit_buffer(0) <= '0' ; elsif cur_state = ACK_BYTE and i2c_write = '1' and is_acked = '1' then transmit_buffer <= data_in; end if; if cur_state = IDLE then receive_buffer <= (others => '0') ; elsif cur_state = RX_BYTE and half = '1' then receive_buffer(7 downto 1) <= receive_buffer(6 downto 0); receive_buffer(0) <= sda ; end if; if cur_state = IDLE or cur_state = ACK_BYTE or cur_state = ACK_ADDR then bit_counter <= (others => '0') ; elsif (cur_state = TX_ADDR or cur_state = TX_BYTE) and full = '1' then bit_counter <= bit_counter + 1 ; end if; end if ; end process ; process(clk, reset) begin if reset = '1' then write_mode <= '0' ; elsif clk'event and clk = '1' then if cur_state = IDLE and i2c_write = '1' then write_mode <= '1' ; elsif cur_state = I2C_STOP then write_mode <= '0' ; end if; end if ; end process ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/control/ADCS7476_ctrl.vhd
2
4818
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:09:59 09/30/2014 -- Design Name: -- Module Name: ADCS7476_ctrl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ADCS7476_ctrl is generic(clk_period_ns : positive := 10; sclk_period_ns : positive := 40; time_between_sample_ns : positive :=20_833); port( clk, resetn : in std_logic; sclk, ss : out std_logic ; miso : in std_logic ; sample_out : out std_logic_vector(11 downto 0); sample_valid : out std_logic ); end ADCS7476_ctrl; architecture Behavioral of ADCS7476_ctrl is constant divider_modulo : positive := ((sclk_period_ns/clk_period_ns)/2)-1 ; constant tick_between_samples : positive := (time_between_sample_ns/sclk_period_ns); type com_state is (WAIT_SAMPLE, ASSERT_SS, SCLK_LOW, SCLK_HIGH, DEASSERT_SS); signal cur_state, next_state : com_state ; signal bit_counter : std_logic_vector(15 downto 0); signal bit_counter_en, bit_counter_reset : std_logic ; signal clk_divider : std_logic_vector(15 downto 0); signal end_divider : std_logic ; signal shift_in : std_logic ; signal data_reg : std_logic_vector(15 downto 0); signal ss_comb, sclk_comb, miso_latched : std_logic ; begin process(clk, resetn) begin if resetn = '0' then clk_divider <= std_logic_vector(to_unsigned(divider_modulo, 16)); elsif clk'event and clk = '1' then if clk_divider = 0 then clk_divider <= std_logic_vector(to_unsigned(divider_modulo, 16)); else clk_divider <= clk_divider - 1 ; end if ; end if; end process ; end_divider <= '1' when clk_divider = 0 else '0' ; process(clk, resetn) begin if resetn = '0' then bit_counter <= (others => '0'); elsif clk'event and clk = '1' then if bit_counter_reset = '1' then bit_counter <= (others => '0'); elsif bit_counter_en = '1' then bit_counter <= bit_counter + 1; end if ; end if; end process ; process(clk, resetn) begin if resetn = '0' then cur_state <= WAIT_SAMPLE; elsif clk'event and clk = '1' then cur_state <= next_state; end if ; end process ; process(clk, resetn) begin if resetn = '0' then data_reg <= (others => '0'); elsif clk'event and clk = '1' then if shift_in = '1' then data_reg(15 downto 1) <= data_reg(14 downto 0); data_reg(0) <= miso_latched ; end if ; end if; end process ; process(cur_state, bit_counter, end_divider) begin next_state <= cur_state ; case cur_state is when WAIT_SAMPLE => if bit_counter = tick_between_samples and end_divider = '1' then next_state <= ASSERT_SS ; end if ; when ASSERT_SS => if bit_counter = 1 and end_divider = '1' then next_state <= SCLK_LOW ; end if ; when SCLK_LOW => if end_divider = '1' then next_state <= SCLK_HIGH ; end if ; when SCLK_HIGH => if bit_counter = 15 and end_divider = '1' then next_state <= DEASSERT_SS ; elsif end_divider = '1' then next_state <= SCLK_LOW ; end if ; when DEASSERT_SS => if bit_counter = 1 and end_divider = '1' then next_state <= WAIT_SAMPLE ; end if ; when others => next_state <= WAIT_SAMPLE ; end case; end process ; with cur_state select bit_counter_en <= end_divider when SCLK_HIGH, '0' when SCLK_LOW, end_divider when others ; bit_counter_reset <= '1' when cur_state = ASSERT_SS and next_state = SCLK_LOW else '1' when cur_state = WAIT_SAMPLE and next_state = ASSERT_SS else '1' when cur_state = SCLK_HIGH and next_state = DEASSERT_SS else '1' when cur_state = DEASSERT_SS and next_state = WAIT_SAMPLE else '0'; shift_in <= '1' when cur_state = SCLK_LOW and next_state = SCLK_HIGH else '0' ; sample_valid <= '1' when cur_state = SCLK_HIGH and next_state = DEASSERT_SS else '0' ; sample_out <= data_reg(12 downto 1); ss_comb <= '1' when cur_state = WAIT_SAMPLE else '1' when cur_state = DEASSERT_SS else '0' ; with cur_state select sclk_comb <= '0' when SCLK_LOW, '1' when others ; process(clk, resetn) begin if resetn = '0' then ss <= '1' ; sclk <= '1' ; miso_latched <= '0' ; elsif clk'event and clk = '1' then ss <= ss_comb; sclk <= sclk_comb ; miso_latched <= miso ; end if; end process ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/control/pwm.vhd
2
3650
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:57:26 04/20/2013 -- Design Name: -- Module Name: pwm - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library work ; use work.control_pack.all ; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pwm is generic(NB_CHANNEL : positive := 3); port( clk, resetn : in std_logic ; divider : in std_logic_vector(15 downto 0); period : in std_logic_vector(15 downto 0); pulse_width : in slv16_array(0 to NB_CHANNEL-1) ; pwm : out std_logic_vector(0 to NB_CHANNEL-1) ); end pwm; architecture Behavioral of pwm is signal end_div : std_logic ; signal divider_counter, period_counter: std_logic_vector(15 downto 0); signal period_q : std_logic_vector(15 downto 0); signal pulse_width_q : slv16_array(0 to NB_CHANNEL-1); signal en_period_count : std_logic ; signal pwm_d : std_logic_vector(0 to NB_CHANNEL-1) ; begin process(clk, resetn) begin if resetn = '0' then divider_counter <= (others => '0') ; elsif clk'event and clk = '1' then if divider_counter = 0 then divider_counter <= divider ; else divider_counter <= divider_counter - 1 ; end if ; end if ; end process ; en_period_count <= '1' when divider_counter = 0 else '0' ; process(clk, resetn) begin if resetn = '0' then period_q <= (others => '0'); pulse_width_q <= (others => (others => '0')); elsif clk'event and clk = '1' then if period_counter = 0 then period_q <= period ; pulse_width_q <= pulse_width ; end if ; end if ; end process ; process(clk, resetn) begin if resetn = '0' then period_counter <= (others => '0'); elsif clk'event and clk = '1' then if en_period_count = '1' then if period_counter = period_q then period_counter <= (others => '0'); else period_counter <= period_counter + 1 ; end if ; end if ; end if ; end process ; gen_outs : for i in 0 to NB_CHANNEL-1 generate pwm_d(i) <= '1' when period_counter < pulse_width_q(i) else '0' ; process(clk, resetn) begin if resetn = '0' then pwm(i) <= '0'; elsif clk'event and clk = '1' then pwm(i) <= pwm_d(i) ; end if ; end process ; end generate ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/control/sseg_4x.vhd
2
3193
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:51:42 01/14/2015 -- Design Name: -- Module Name: sseg_4x - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_utils_pack.all ; entity sseg_4x is generic( clock_freq_hz : natural := 100_000_000; refresh_rate_hz : natural := 100 ); port( clk, reset : in std_logic ; bcd_in : in std_logic_vector(15 downto 0); -- SSEG to EDU from Host sseg_cathode_out : out std_logic_vector(4 downto 0); -- common cathode sseg_anode_out : out std_logic_vector(7 downto 0) -- sseg anode ); end sseg_4x; architecture Behavioral of sseg_4x is constant clk_divider : positive := clock_freq_hz/(refresh_rate_hz*5); signal divider_counter : std_logic_vector(nbit(clk_divider)-1 downto 0); signal divider_end : std_logic ; signal cathode_buffer : std_logic_vector(4 downto 0); signal segs : slv8_array(0 to 3) ; begin gen_seg_decoder : for i in 0 to 3 generate with bcd_in(((i+1)*4)-1 downto (i*4)) select segs(i) <= X"3F" when "0000", X"06" when "0001", X"5B" when "0010", X"4F" when "0011", X"66" when "0100", X"6D" when "0101", X"7D" when "0110", X"07" when "0111", X"7F" when "1000", X"6F" when "1001", X"77" when "1010", X"7C" when "1011", X"39" when "1100", X"5E" when "1101", X"79" when "1110", X"71" when others; end generate ; -- sseg logic process(clk, reset) begin if reset = '1' then divider_counter <= std_logic_vector(to_unsigned(clk_divider, nbit(clk_divider))); elsif clk'event and clk = '1' then if divider_counter = 0 then divider_counter <= std_logic_vector(to_unsigned(clk_divider, nbit(clk_divider))); else divider_counter <= divider_counter - 1 ; end if ; end if ; end process ; divider_end <= '1' when divider_counter = 0 else '0' ; process(clk, reset) begin if reset = '1' then cathode_buffer(0) <= '1' ; cathode_buffer(4 downto 1) <= (others => '0'); elsif clk'event and clk = '1' then if divider_end = '1' then cathode_buffer(4 downto 1) <= cathode_buffer(3 downto 0); cathode_buffer(0) <= cathode_buffer(4); end if ; end if ; end process ; with cathode_buffer select sseg_anode_out <= segs(0) when "01000", segs(1) when "00100", segs(2) when "00010", segs(3) when "00001", (others => '0') when others ; sseg_cathode_out <= cathode_buffer ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/wishbone/peripherals/wishbone_led_matrix_ctrl.vhd
2
4165
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:28:56 07/06/2014 -- Design Name: -- Module Name: wishbone_led_matrix_ctrl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- This controller is based on Glen Atkins work (http://bikerglen.com/projects/lighting/led-panel-1up/) -- Minor modification on controller behavior to adapt to wishbone bus -- Major modification on coding style to meet XST guidelines ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; library work; use work.logi_utils_pack.all ; use work.control_pack.all ; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity wishbone_led_matrix_ctrl is generic(wb_size : positive := 16; clk_div : positive := 10; -- TODO: nb_panels is untested, still need to be validated nb_panels : positive := 1 ; bits_per_color : INTEGER RANGE 1 TO 4 := 4 ; expose_step_cycle : positive := 1910 ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; SCLK_OUT : out std_logic ; BLANK_OUT : out std_logic ; LATCH_OUT : out std_logic ; A_OUT : out std_logic_vector(3 downto 0); R_out : out std_logic_vector(1 downto 0); G_out : out std_logic_vector(1 downto 0); B_out : out std_logic_vector(1 downto 0) ); end wishbone_led_matrix_ctrl; architecture Behavioral of wishbone_led_matrix_ctrl is signal read_ack : std_logic ; signal write_ack, write_pixel: std_logic ; signal pixel_addr : std_logic_vector((nbit(32*32*nb_panels))-1 downto 0); begin -- wishbone related logic wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; -- ram buffer instanciation write_pixel <= wbs_strobe and wbs_write and wbs_cycle ; pixel_addr <= wbs_address(pixel_addr'high downto 0); matrix_ctrl0 : rgb_32_32_matrix_ctrl generic map( clk_div => clk_div, nb_panels => nb_panels, expose_step_cycle => expose_step_cycle, bits_per_color => bits_per_color ) port map( clk => gls_clk, reset => gls_reset, pixel_addr => pixel_addr, pixel_value_in => wbs_writedata((bits_per_color*3)-1 downto 0), pixel_value_out => wbs_readdata((bits_per_color*3)-1 downto 0), write_pixel => write_pixel, SCLK_OUT => SCLK_OUT, BLANK_OUT => BLANK_OUT, LATCH_OUT => LATCH_OUT, A_OUT => A_OUT, R_out => R_OUT, G_out => G_OUT, B_out => B_OUT ); wbs_readdata (15 downto (bits_per_color*3)) <= (others => '0'); end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/primitive/MAC16.vhd
2
1703
---------------------------------------------------------------------------------- -- Company:LAAS-CNRS -- Author:Jonathan Piat <[email protected]> -- -- Create Date: 18:43:10 03/05/2012 -- Design Name: -- Module Name: MAC16 - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MAC16 is port(clk, sraz : in std_logic; add_subb, reset_acc : in std_logic; A, B : in signed(15 downto 0); RES : out signed(31 downto 0) ); end MAC16; architecture Behavioral of MAC16 is signal mult, accum: signed(31 downto 0); begin process (clk) begin if (clk'event and clk='1') then if (sraz = '1') then accum <= (others => '0'); mult <= (others => '0'); else if reset_acc = '1' then accum <= (others => '0'); elsif add_subb = '1' then accum <= accum + mult; else accum <= accum - mult; end if; mult <= A * B; end if; end if; end process; RES <= accum ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/wishbone/peripherals/wishbone_double_buffer.vhd
2
5400
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:24:19 11/08/2013 -- Design Name: -- Module Name: double_buffer - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity wishbone_double_buffer is generic( wb_add_width: positive := 16; --! width of the address bus wb_data_width : positive := 16; --! width of the data bus buffer_size : positive := 64 --! buffer size ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(wb_add_width-1 downto 0) ; wbs_writedata : in std_logic_vector( wb_add_width-1 downto 0); wbs_readdata : out std_logic_vector( wb_add_width-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- logic signals buffer_index : out std_logic ; -- index of buffer currently in use free_buffer : in std_logic ; -- indicate that written buffer is free to switch write_buffer : in std_logic ; buffer_input : in std_logic_vector(15 downto 0); buffer_address : in std_logic_vector(15 downto 0) ); end wishbone_double_buffer; architecture Behavioral of wishbone_double_buffer is component dpram_NxN is generic(SIZE : natural := 64 ; NBIT : natural := 8; ADDR_WIDTH : natural := 6); port( clk : in std_logic; we : in std_logic; di : in std_logic_vector(NBIT-1 downto 0 ); a : in std_logic_vector((ADDR_WIDTH - 1) downto 0 ); dpra : in std_logic_vector((ADDR_WIDTH - 1) downto 0 ); spo : out std_logic_vector(NBIT-1 downto 0 ); dpo : out std_logic_vector(NBIT-1 downto 0 ) ); end component; signal buffer_use : std_logic_vector(1 downto 0); signal buffer_read_data : std_logic_vector(15 downto 0); signal read_address, write_address : std_logic_vector(12 downto 0); signal buffer_locked : std_logic ; signal read_ack : std_logic ; signal write_ack : std_logic ; begin wbs_ack <= read_ack or write_ack; -- need to implement write to the status register somewhere write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; -- need to implement read of the status register somewhere read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then read_ack <= '0'; elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; buffer_locked <= read_ack ; wbs_readdata <= buffer_read_data ; -- ram being used to implement the double buffer memory ram0 : dpram_NxN generic map(SIZE => (buffer_size*2), NBIT => wb_data_width, ADDR_WIDTH=> 13) -- need to be computed port map( clk => gls_clk, we => write_buffer , di => buffer_input, a => write_address , dpra => read_address, spo => open, dpo => buffer_read_data ); -- highest bit select buffer to write to write_address(write_address'high) <= buffer_use(1) ; write_address(write_address'high-1 downto 0) <= buffer_address(write_address'high-1 downto 0); read_address(read_address'high) <= buffer_use(0) ; read_address(read_address'high-1 downto 0) <= wbs_address(read_address'high-1 downto 0); process(gls_clk, gls_reset) begin if gls_reset = '1' then buffer_use <= "01" ; elsif gls_clk'event and gls_clk = '1' then if free_buffer = '1' and buffer_locked = '0' then -- if write and one buffer at least is available buffer_use <= not buffer_use ; end if ; end if ; end process ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/utils/dram_fifo.vhd
2
15305
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:12:13 10/16/2014 -- Design Name: -- Module Name: dram_fifo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_utils_pack.all ; use work.logi_primitive_pack.all ; entity dram_fifo is generic(CACHE_SIZE : positive := 2048; FIFO_SIZE : positive := 16_777_216; sdram_address_width : positive := 24; SYNC_READ : boolean := true; SYNC_WRITE : boolean := true; CACHE_ADDRESS : std_logic_vector(31 downto 0) := (others => '0')); port( clk : in STD_LOGIC; reset : in STD_LOGIC; -- FIFO interface reset_fifo : in std_logic ; write_fifo, read_fifo : in std_logic ; nb_available : out std_logic_vector(31 downto 0); data_out : out std_logic_vector(15 downto 0); data_in : in std_logic_vector(15 downto 0); refresh_active, flush_active : out std_logic ; -- Interface to issue reads or write data cmd_ready : in STD_LOGIC; -- '1' when a new command will be acted on cmd_enable : out STD_LOGIC; -- Set to '1' to issue new command (only acted on when cmd_read = '1') cmd_wr : out STD_LOGIC; -- Is this a write? cmd_address : out STD_LOGIC_VECTOR(sdram_address_width-2 downto 0); -- address to read/write cmd_byte_enable : out STD_LOGIC_VECTOR(3 downto 0); -- byte masks for the write command cmd_data_in : out STD_LOGIC_VECTOR(31 downto 0); -- data for the write command sdram_data_out : in STD_LOGIC_VECTOR(31 downto 0); -- word read from SDRAM sdram_data_ready : in STD_LOGIC ); end dram_fifo; architecture Behavioral of dram_fifo is type cache_controller_state is (IDLE, REFRESH, FLUSH); constant CACHE_END_ADDRESS : std_logic_vector(sdram_address_width-2 downto 0) := CACHE_ADDRESS(sdram_address_width-2 downto 0) + (FIFO_SIZE/2); constant cache_byte_granularity : positive := 256 ; constant cache_index_low_fifo_side : positive := nbit(cache_byte_granularity)-1; constant cache_index_low_sdram_side : positive := nbit(cache_byte_granularity)-2; signal cache_current_state, cache_next_state : cache_controller_state; signal fifo_write_address, fifo_read_address : std_logic_vector(cache_index_low_fifo_side downto 0); signal sdram_write_address, sdram_read_address : std_logic_vector(sdram_address_width-2 downto 0); signal cache_write_address, cache_read_address : std_logic_vector(cache_index_low_sdram_side downto 0); signal cache_out, cache_in : std_logic_vector(31 downto 0); signal cache_require_refresh, cache_require_flush : std_logic ; signal cache_counter : std_logic_vector(6 downto 0); signal cache_ready : std_logic ; signal write_fifo_index, read_fifo_index : std_logic ; signal refresh_done, flush_done, cache_require_flush_reset, cache_require_refresh_reset : std_logic ; signal old_write_line_index, old_read_line_index : std_logic ; signal write_fifo_write, write_cache_write : std_logic ; signal fifo_wr, fifo_rd : std_logic ; signal rd_old, wr_old, wr_data, rd_data, one_turn, latch_data : std_logic ; signal rd_rising_edge, wr_rising_edge : std_logic ; signal rd_falling_edge, wr_falling_edge : std_logic ; signal fifo_nb_available_t : std_logic_vector(31 downto 0); signal fifo_ready : std_logic ; signal flushed_line_count : std_logic_vector(15 downto 0); signal read_cache_init : std_logic_vector(1 downto 0); begin refresh_active <= '1' when cache_current_state = REFRESH else '0' ; flush_active <= '1' when cache_current_state = FLUSH else '0' ; cmd_byte_enable <= (others => '1'); -- CACHE MANAGEMENT process(clk, reset) begin if reset = '1' then cache_current_state <= IDLE; -- CACHE init state is IDLE elsif clk'event and clk = '1' then if reset_fifo = '1' then cache_current_state <= IDLE; else cache_current_state <= cache_next_state; end if ; end if ; end process ; process(cache_ready, cache_require_refresh, cache_require_flush, refresh_done, flush_done, cache_current_state) begin cache_next_state <= cache_current_state ; case cache_current_state is when IDLE => if cache_require_refresh = '1' and cache_ready = '1' then cache_next_state <= REFRESH ; -- CACHE can only be refreshed if already flushed onces elsif cache_require_flush = '1' then cache_next_state <= FLUSH ; end if ; when REFRESH => if refresh_done = '1' then cache_next_state <= IDLE ; end if ; when FLUSH => if flush_done = '1' then cache_next_state <= IDLE ; end if ; when others => cache_next_state <= IDLE ; end case ; end process ; -- CACHE REFRESH/FLUSH STRATEGY process(clk, reset) begin if reset = '1' then cache_ready <= '0' ; cache_require_refresh <= '0' ; cache_require_flush <= '0'; write_fifo_index <= '0'; read_fifo_index <= '0'; fifo_ready <= '0' ; read_cache_init <= "11"; elsif clk'event and clk = '1' then -- CACHE IS FLUSHED WHENEVER THE FIFO_INDEX BIT CHANGES if reset_fifo = '1' then cache_require_flush <= '0'; elsif cache_require_flush_reset = '1' then cache_require_flush <= '0'; elsif write_fifo_index /= fifo_write_address(fifo_write_address'high) then cache_require_flush <= '1'; -- CACHE require flush when one line of cache was written -- fifo write address highest byte indicate cache line address end if ; write_fifo_index <= fifo_write_address(fifo_write_address'high) ; -- CACHE IS REFRESHED ONCE TWICE AND THEN -- WHENEVER THE FIFO_INDEX BIT CHANGES if reset_fifo = '1' then read_cache_init <= "11"; -- at reset init indicates that cache can be refreshed twice cache_require_refresh <= '0' ; elsif cache_require_refresh_reset = '1' then -- a refresh was performed cache_require_refresh <= '0'; read_cache_init(1) <= '0' ; read_cache_init(0) <= read_cache_init(1) ; elsif read_cache_init /= 0 and flushed_line_count > 0 then -- a refresh is required as init of cache was not performed cache_require_refresh <= '1'; elsif read_cache_init = 0 and read_fifo_index /= fifo_read_address(fifo_read_address'high) and flushed_line_count > 0 then cache_require_refresh <= '1'; -- normal case to trigger a refresh. One line of cache was fully consumed end if ; read_fifo_index <= fifo_read_address(fifo_read_address'high) ; -- CACHE IS CONSIDERED READY WHEN IT WAS ONCE FLUSHED AND REFRESHED -- THIS LIMIT GRANULARITY OF FIFO if reset_fifo = '1' then cache_ready <= '0' ; elsif cache_current_state = FLUSH and cache_next_state = IDLE then cache_ready <= '1' ; end if ; --TODO: decide when cache is not ready anymore ... -- FIFO IS CONSIDERED READY WHEN IT WAS ONCE REFRESHED -- THIS LIMIT GRANULARITY OF FIFO if reset_fifo = '1' then fifo_ready <= '0' ; elsif cache_current_state = REFRESH and cache_next_state = IDLE then fifo_ready <= '1' ; elsif fifo_ready = '1' and fifo_nb_available_t = 0 then fifo_ready <= '0' ;-- fifo is fully empty the cache is not ready anymore end if ; end if ; end process ; -- SDRAM WRITE ADDRESS IS INCREMENTED WHEN CACHE IS FLUSHED -- END OF FLUSH IS REACHED WHEN THE CACHE_LINE_INDEX CHANGES process(clk, reset) begin if reset = '1' then sdram_write_address <= CACHE_ADDRESS(sdram_address_width-2 downto 0); old_write_line_index <= '0' ; elsif clk'event and clk = '1' then if reset_fifo = '1' then sdram_write_address <= CACHE_ADDRESS(sdram_address_width-2 downto 0) ; elsif cache_current_state = FLUSH and cmd_ready = '1' then --incrementing on falling edge of ready signal if sdram_write_address = CACHE_END_ADDRESS then sdram_write_address <= CACHE_ADDRESS(sdram_address_width-2 downto 0) ; else sdram_write_address <= sdram_write_address + 1 ; end if ; end if ; if reset_fifo = '1' then old_write_line_index <= '0' ; else old_write_line_index <= sdram_write_address(cache_index_low_sdram_side); end if ; end if ; end process ; cache_read_address <= sdram_write_address(cache_read_address'high downto 0); flush_done <= '1' when cache_current_state = FLUSH and old_write_line_index /= sdram_write_address(cache_index_low_sdram_side) else '0' ; cache_require_flush_reset <= '1' when cache_current_state = FLUSH and cache_next_state=IDLE else '0' ; -- SDRAM_READ_ADDRESS IS INCREMENTED ON REFRESH UNTIL THE CACHE_LINE_INDEX CHANGES process(clk, reset) begin if reset = '1' then sdram_read_address <= CACHE_ADDRESS(sdram_address_width-2 downto 0); cache_write_address <= (others => '0'); old_read_line_index <= '0' ; elsif clk'event and clk = '1' then if reset_fifo = '1' then sdram_read_address <= CACHE_ADDRESS(sdram_address_width-2 downto 0); elsif cache_current_state = REFRESH and refresh_done = '0' and cmd_ready = '1' and cache_write_address(cache_write_address'high) = sdram_read_address(cache_write_address'high) then if sdram_read_address = CACHE_END_ADDRESS then sdram_read_address <= CACHE_ADDRESS(sdram_address_width-2 downto 0) ; else sdram_read_address <= sdram_read_address + 1 ; end if ; end if ; -- CACHE_WRITE_ADDRESS IS ONLY INCREMENT WHEN SDRAM PIPELINE IS INITIALIZED if reset_fifo = '1' then cache_write_address <= (others => '0'); elsif cache_current_state = REFRESH and sdram_data_ready = '1' then cache_write_address <= cache_write_address + 1 ; end if ; if reset_fifo = '1' then old_read_line_index <= '0' ; else old_read_line_index <= cache_write_address(cache_write_address'high); end if ; end if ; end process ; refresh_done <= '1' when cache_current_state = REFRESH and old_read_line_index /= cache_write_address(cache_write_address'high) else '0'; write_cache_write <= '1' when cache_current_state = REFRESH and sdram_data_ready = '1' else '0' ; process(clk, reset) begin if reset = '1' then flushed_line_count <= (others => '0'); elsif clk'event and clk = '1' then if reset_fifo = '1' then flushed_line_count <= (others => '0'); elsif refresh_done = '1' and flushed_line_count > 0 then flushed_line_count <= flushed_line_count - 1; elsif flush_done = '1' then flushed_line_count <= flushed_line_count + 1; end if ; end if ; end process ; cache_require_refresh_reset <= '1' when cache_current_state = REFRESH and cache_next_state=IDLE else '0' ; ---- WRITE COMMAND IS ACTIVE ON FLUSH --cmd_wr <= cmd_ready when cache_current_state = FLUSH else cmd_wr <= '1' when cache_current_state = FLUSH else '0' ; ---- CMD_ENABLE IS ACTIVE ON FLUSH AND ON REFRESH UNTIL THE SDRAM_READ_ADDRESS INDICATING CACHE_LINE_INDEX ---- CHANGES ---- THIS SEEMS TO AFFECT TIMING ... cmd_enable <= '0' when cache_current_state = IDLE else '1' when cache_current_state = REFRESH and cache_write_address(cache_write_address'high) = sdram_read_address(cache_write_address'high) else '1' when cache_current_state = FLUSH else '0'; ----CMD_ADDRESS IS EITHER READ_ADDRESS OR WRITE_ADDRESS DEPENDING ON FLUSH OR REFRESH ---- THIS SEEMS TO AFFECT TIMING ... with cache_current_state select cmd_address <= sdram_write_address when FLUSH, sdram_read_address when REFRESH, (others => '0') when others ; cache_in <= sdram_data_out ; cmd_data_in <= cache_out ; -- CACHE ARE INSTANTIATED IN NEXT SECTION -- write cache is divided into two lines -- one line is always available for write, and one line is always available -- to be flushed to SDRAM write_cache : tdp_bram generic map( DATA_A => 16, ADDR_A => 8, DATA_B => 32, ADDR_B => 7 ) port map( -- Port A a_clk => clk, a_wr => write_fifo_write, a_addr => fifo_write_address, a_din => data_in, a_dout => open, -- Port B b_clk => clk, b_wr => '0', b_addr => cache_read_address, b_din => (others => '0'), b_dout => cache_out ); -- read cache is divided into two lines -- one line is always available for read, and one line is always available --for refresh read_cache : tdp_bram generic map( DATA_A => 16, ADDR_A => 8, DATA_B => 32, ADDR_B => 7 ) port map( -- Port A a_clk => clk, a_wr => '0', a_addr => fifo_read_address, a_din => (others => '0'), a_dout => data_out, -- Port B b_clk => clk, b_wr => write_cache_write, b_addr => cache_write_address, b_din => cache_in, b_dout => open ); -- HERE STARTS FIFO STRUCTURE gen_async_rd : if NOT SYNC_READ generate process(reset, clk) begin if reset = '1' then rd_old <= '0' ; elsif clk'event and clk = '1' then rd_old <= read_fifo ; end if ; end process ; rd_falling_edge <= ((NOT read_fifo) AND rd_old); fifo_rd <= rd_falling_edge ; end generate ; gen_sync_rd : if SYNC_READ generate fifo_rd <= read_fifo; end generate ; gen_async_wr : if NOT SYNC_WRITE generate process(reset, clk) begin if reset = '1' then wr_old <= '0' ; elsif clk'event and clk = '1' then wr_old <= write_fifo ; end if ; end process ; wr_falling_edge <= ((NOT write_fifo) AND wr_old) ; fifo_wr <= wr_falling_edge ; end generate ; gen_sync_wr : if SYNC_WRITE generate fifo_wr <= write_fifo ; end generate ; write_fifo_write <= fifo_wr ; --rd process process(clk, reset) begin if reset = '1' then fifo_read_address <= (others => '0') ; elsif clk'event and clk = '1' then if reset_fifo = '1' then fifo_read_address <= (others => '0') ; elsif fifo_rd = '1' and fifo_nb_available_t /= 0 then fifo_read_address <= fifo_read_address + 1; end if ; end if ; end process ; -- wr process process(clk, reset) begin if reset = '1' then fifo_write_address <= (others => '0') ; elsif clk'event and clk = '1' then if reset_fifo = '1' then fifo_write_address <= (others => '0') ; elsif fifo_wr = '1' and fifo_nb_available_t /= FIFO_SIZE then fifo_write_address <= fifo_write_address + 1; end if ; end if ; end process ; -- nb available process process(clk, reset) begin if reset = '1' then fifo_nb_available_t <= (others => '0') ; elsif clk'event and clk = '1' then if reset_fifo = '1' then fifo_nb_available_t <= (others => '0') ; elsif fifo_wr = '1' and fifo_rd = '0' and fifo_nb_available_t /= FIFO_SIZE then fifo_nb_available_t <= fifo_nb_available_t + 1 ; elsif fifo_rd = '1' and fifo_wr = '0' and fifo_nb_available_t /= 0 then fifo_nb_available_t <= fifo_nb_available_t - 1 ; end if ; end if ; end process ; nb_available <= fifo_nb_available_t when fifo_ready = '1' else (others => '0'); end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/primitive/logi_primitive_pack.vhd
2
1778
-- -- Package File Template -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions -- -- To use any of the example code shown below, uncomment the lines and modify as necessary -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; package logi_primitive_pack is component MAC16 is port(clk, sraz : in std_logic; add_subb, reset_acc : in std_logic; A, B : in signed(15 downto 0); RES : out signed(31 downto 0) ); end component; component dpram_NxN is generic(SIZE : natural := 64 ; NBIT : natural := 8; ADDR_WIDTH : natural := 6); port( clk : in std_logic; we : in std_logic; di : in std_logic_vector(NBIT-1 downto 0 ); a : in std_logic_vector((ADDR_WIDTH - 1) downto 0 ); dpra : in std_logic_vector((ADDR_WIDTH - 1) downto 0 ); spo : out std_logic_vector(NBIT-1 downto 0 ); dpo : out std_logic_vector(NBIT-1 downto 0 ) ); end component; component tdp_bram is generic ( DATA_A : integer := 16; ADDR_A : integer := 10; DATA_B : integer := 16; ADDR_B : integer := 10 ); port ( -- Port A a_clk : in std_logic; a_wr : in std_logic; a_addr : in std_logic_vector(ADDR_A-1 downto 0); a_din : in std_logic_vector(DATA_A-1 downto 0); a_dout : out std_logic_vector(DATA_A-1 downto 0); -- Port B b_clk : in std_logic; b_wr : in std_logic; b_addr : in std_logic_vector(ADDR_B-1 downto 0); b_din : in std_logic_vector(DATA_B-1 downto 0); b_dout : out std_logic_vector(DATA_B-1 downto 0) ); end component; end logi_primitive_pack; package body logi_primitive_pack is end logi_primitive_pack;
lgpl-3.0
QuickJack/logi-hard
hdl/virtual_instrument/logi_virtual_pb.vhd
2
3235
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:18:41 12/17/2013 -- Design Name: -- Module Name: logi_virtual_pb - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity logi_virtual_pb is generic( wb_size : natural := 16 -- Data port size for wishbone ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- out signals pb : out std_logic_vector(15 downto 0) ); end logi_virtual_pb; architecture Behavioral of logi_virtual_pb is signal reg_out_d : std_logic_vector(15 downto 0) ; signal read_ack : std_logic ; signal write_ack : std_logic ; begin wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then reg_out_d <= (others => '0'); write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then reg_out_d <= wbs_writedata; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; pb <= reg_out_d ; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
test_bench/servo_controller_tb.vhd
2
3341
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:08:22 05/12/2013 -- Design Name: -- Module Name: /home/jpiat/development/FPGA/logi-family/logi-projects/AVC2013/avc_platform/servo_controller_tb.vhd -- Project Name: avc_platform -- Target Device: -- Tool versions: ISE 14.1 -- Description: -- -- VHDL Test Bench Created by ISE for module: servo_controller -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY servo_controller_tb IS END servo_controller_tb; ARCHITECTURE behavior OF servo_controller_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT servo_controller PORT( clk : IN std_logic; rst : IN std_logic; servo_position : IN std_logic_vector(0 to 7); servo_out : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal servo_position : std_logic_vector(0 to 7) := (others => '0'); --Outputs signal servo_out : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: servo_controller PORT MAP ( clk => clk, rst => rst, servo_position => servo_position, servo_out => servo_out ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin rst <= '1' ; -- hold reset state for 100 ns. wait for 100 ns; rst <= '0' ; servo_position <= X"80"; wait for clk_period*10; -- insert stimulus here wait; end process; END;
lgpl-3.0
QuickJack/logi-hard
test_bench/encoder_interface_tb.vhd
2
3625
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:14:41 05/12/2014 -- Design Name: -- Module Name: /home/jpiat/development/FPGA/logi-family/logi-hard/test_bench/encoder_interface_tb.vhd -- Project Name: test_ugv -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: encoder_interface -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY encoder_interface_tb IS END encoder_interface_tb; ARCHITECTURE behavior OF encoder_interface_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT encoder_interface generic(FREQ_DIV : positive := 100; SINGLE_CHANNEL : boolean := true); PORT( clk : IN std_logic; reset : IN std_logic; channel_a : IN std_logic; channel_b : IN std_logic; period : OUT std_logic_vector(15 downto 0); reset_count : IN std_logic; count : OUT std_logic_vector(15 downto 0); pv : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal channel_a : std_logic := '0'; signal channel_b : std_logic := '0'; signal reset_count : std_logic := '0'; --Outputs signal period : std_logic_vector(15 downto 0); signal count : std_logic_vector(15 downto 0); signal pv : std_logic; signal dir : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; constant enc_period : time := 10 us ; BEGIN -- Instantiate the Unit Under Test (UUT) uut: encoder_interface generic map(SINGLE_CHANNEL => false) PORT MAP ( clk => clk, reset => reset, channel_a => channel_a, channel_b => channel_b, period => period, reset_count => reset_count, count => count, pv => pv ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. reset <= '1' ; channel_a <= '0' ; channel_b <= '0' ; reset_count <= '0' ; wait for 100 ns; reset <= '0' ; wait for clk_period*10; for i in 0 to 10 loop channel_a <= '1' ; channel_b <= '0' ; wait for enc_period/4; channel_b <= '1' ; wait for enc_period/4; channel_a <= '0' ; wait for enc_period/4; channel_b <= '0' ; wait for enc_period/4; end loop ; wait for enc_period*2; for i in 0 to 10 loop channel_a <= '0' ; channel_b <= '1' ; wait for enc_period/4; channel_a <= '1' ; wait for enc_period/4; channel_b <= '0' ; wait for enc_period/4; channel_a <= '0' ; wait for enc_period/4; end loop ; -- insert stimulus here wait; end process; END;
lgpl-3.0
HackLinux/ION
src/testbench/ion_cpu_tb.vhdl
1
15208
--############################################################################## -- ion_cpu_tb.vhdl -- Test bench for standalone CPU. -- -- Simulates the CPU connected to fake memory on both buses. -- The size and contents of the simulated memory are defined in package -- sim_params_pkg. -- -------------------------------------------------------------------------------- -- MEMORY MAP (except IO areas, see below): -- -- Code ROM Data RAM -- ----------------------------- -- Code [00000000..FFFFFFFF] : R/O -- Data [00000000..BFBFFFFF] : R/W -- Data [BFC00000..BFCFFFFF] : R/O -- Data [BFD00000..FFFFFFFF] : R/W -- ----------------------------- -- -- Note we only simulate two separate blocks, ROM for code and RAM for data. -- Both are mirrored all over the decoded memory spaces. -- The code ROM is accessible from the data bus so that SW constants can be -- easily reached. -- -------------------------------------------------------------------------------- -- FIXME no support for simulating external IRQs. -------------------------------------------------------------------------------- -- SIMULATED IO DEVICES: -- Apart from the fake UART implemented in package ion_tb_pkg, this test bench -- simulates the following ports: -- -- 20010020: Debug register 0 (R/W). -- FIXME unimplemented -- 20010024: Debug register 1 (R/W). -- FIXME unimplemented -- 20010028: Debug register 2 (R/W). -- FIXME unimplemented -- 2001002c: Debug register 3 (R/W). -- FIXME unimplemented -- 20010030: Wait states for simulated code memory accesses (W/o). -- 20010034: Wait states for simulated data memory accesses (W/o). -- -- NOTE: These addresses are for write accesses only. For read accesses, the -- debug registers 0..3 are mirrored over all the io address range 2001xxxxh. -- -- The debug registers 0 to 3 can only be used to test 32-bit i/o. -- All of these registers can only be addressed as 32-bit words. Any other type -- of access will yield undefined results. -- -- These registers are only write-enabled if the generic ENABLE_DEBUG_REGISTERS -- is TRUE. -------------------------------------------------------------------------------- -- Console logging: -- -- The TB implements a simple, fake console at address 0x20000000. -- Any bytes written to that address will be logged to text file -- "hw_sim_console_log.txt". -- -- IMPORTANT: The code that echoes UART TX data to the simulation console does -- line buffering; it will not print anything until it gets a CR (0x0d), and -- will ifnore LFs (0x0a). Bear this in mind if you see no output when you -- expect it. -- -------------------------------------------------------------------------------- -- WARNING: This TB will only work on Modelsim; uses custom library SignalSpy. --############################################################################## library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Project packages. use work.ION_INTERFACES_PKG.all; use work.ION_INTERNAL_PKG.all; -- Tst bench support packages. use std.textio.all; use work.txt_util.all; use work.ION_TB_PKG.all; -- Simulation parameters defined in the SW makefile (generated package). use work.SIM_PARAMS_PKG.all; -- Hardware parameters & memory contents from SW build (generated package). use work.OBJ_CODE_PKG.all; entity ION_CPU_TB is generic ( CODE_WCYCLES : integer := 1; DATA_WCYCLES : integer := 0; ENABLE_DEBUG_REGISTERS : boolean := false ); end; architecture testbench of ION_CPU_TB is -- Simulation clock rate constant CLOCK_RATE : integer := 50e6; -- Simulation clock period constant T : time := (1.0e9/real(CLOCK_RATE)) * 1 ns; -------------------------------------------------------------------------------- -- Memory. -- For CPU verification, we'll connect a data array to the CPU data port with no -- intervening cache, like TCMs. -- For the data array, we'll use the data memory size and initialization values. constant DTCM_SIZE : integer := DATA_MEM_SIZE; constant DTCM_ADDR_SIZE : integer := log2(DTCM_SIZE); -- Using shared variables for big memory arrays speeds up simulation a lot; -- see Modelsim 6.3 User Manual, section on 'Modelling Memory'. -- WARNING: I have only tested this construct with Modelsim SE 6.3. shared variable dtcm : t_word_table(0 to DTCM_SIZE-1) := (others => X"00000000"); signal dtcm_addr : std_logic_vector(DTCM_ADDR_SIZE downto 2); signal dtcm_data : t_word; signal data_dtcm_ce : std_logic; signal data_dtcm_ce_reg : std_logic; signal data_rd_en_reg : std_logic; signal dtcm_wait : std_logic; signal data_ctcm_ce : std_logic; signal data_dtcm : t_word; signal data_ctcm : t_word; -- For the code array, we'll use the code memory size and initialization values. constant CTCM_SIZE : integer := CODE_MEM_SIZE; constant CTCM_ADDR_SIZE : integer := log2(CTCM_SIZE); shared variable ctcm : t_word_table(0 to CTCM_SIZE-1) := objcode_to_wtable(obj_code, CTCM_SIZE); signal ctcm_addr : std_logic_vector(CTCM_ADDR_SIZE downto 2); signal ctcm_data : t_word; signal ctcm_wait : std_logic; signal code_wait_ctr : integer range -2 to 63; signal data_wait_ctr : integer range -2 to 63; signal code_ctcm_ce_reg : std_logic; signal code_ctcm : t_word; -------------------------------------------------------------------------------- -- CPU interface. signal clk : std_logic := '0'; signal clk_delayed : std_logic; signal reset : std_logic := '1'; signal data_mosi : t_cpumem_mosi; signal data_miso : t_cpumem_miso; signal code_mosi : t_cpumem_mosi; signal code_miso : t_cpumem_miso; signal cache_mosi : t_cache_mosi; signal icache_miso : t_cache_miso; signal dcache_miso : t_cache_miso; signal irq : std_logic_vector(7 downto 0); -------------------------------------------------------------------------------- -- Debug registers. signal debug_reg_ce : std_logic; signal wait_states_code : unsigned(5 downto 0) := (others => '0'); signal wait_states_data : unsigned(5 downto 0) := (others => '0'); -------------------------------------------------------------------------------- -- Logging signals & simulation control. signal done : std_logic := '0'; -- Log file file log_file: TEXT open write_mode is "hw_sim_log.txt"; -- Console output log file file con_file: TEXT open write_mode is "hw_sim_console_log.txt"; -- All the info needed by the logger is here signal log_info : t_log_info; -------------------------------------------------------------------------------- begin cpu: entity work.ION_CPU generic map ( XILINX_REGBANK => "distributed" ) port map ( CLK_I => clk, RESET_I => reset, DATA_MOSI_O => data_mosi, DATA_MISO_I => data_miso, CODE_MOSI_O => code_mosi, CODE_MISO_I => code_miso, CACHE_CTRL_MOSI_O => cache_mosi, CACHE_CTRL_MISO_I => cache_miso, IRQ_I => irq ); -- Master clock: free running clock used as main module clock -------------- run_master_clock: process(done, clk) begin if done = '0' then clk <= not clk after T/2; end if; end process run_master_clock; clk_delayed <= clk after 1 ns; -- Main simulation process: reset MCU and wait for fixed period ------------ drive_uut: process variable l : line; begin wait for T*4; reset <= '0'; wait for T*SIMULATION_LENGTH; -- Flush console output to log console file (in case the end of the -- simulation caught an unterminated line in the buffer) if log_info.con_line_ix > 1 then write(l, log_info.con_line_buf(1 to log_info.con_line_ix)); writeline(con_file, l); end if; print("TB finished"); done <= '1'; wait; end process drive_uut; -- Data memory ------------------------------------------------------------- dtcm_addr <= data_mosi.addr(dtcm_addr'high downto 2); data_miso.mwait <= dtcm_wait; data_ctcm_ce <= '1' when data_mosi.addr(31 downto 20) = X"bfc" else '0'; data_dtcm_ce <= '1' when data_mosi.addr(31 downto 28) /= X"2" and data_ctcm_ce='0' else '0'; -- Simulated data RAM write port. -- Note we ignore the wait states; we get the data when it's in DATA_MOSI -- and let the CPU deal with the simulated wait states. -- This is the behavior expected from a real ION bus slave. simulated_dtcm_write: process(clk) begin if clk'event and clk='1' then if data_dtcm_ce='1' then if data_mosi.wr_be(0)='1' then dtcm(to_integer(unsigned(dtcm_addr)))(7 downto 0) := data_mosi.wr_data(7 downto 0); end if; if data_mosi.wr_be(1)='1' then dtcm(to_integer(unsigned(dtcm_addr)))(15 downto 8) := data_mosi.wr_data(15 downto 8); end if; if data_mosi.wr_be(2)='1' then dtcm(to_integer(unsigned(dtcm_addr)))(23 downto 16) := data_mosi.wr_data(23 downto 16); end if; if data_mosi.wr_be(3)='1' then dtcm(to_integer(unsigned(dtcm_addr)))(31 downto 24) := data_mosi.wr_data(31 downto 24); end if; end if; end if; end process simulated_dtcm_write; -- Simulated data RAM read port. data_memory: process(clk) begin if clk'event and clk='1' and data_dtcm_ce='1' then -- Update data bus the cycle after rd_en is asserted if there's no -- wait states, or the cycle after wait goes low otherwise. --if (to_integer(wait_states_data)=0) or (data_wait_ctr = 1) then data_dtcm <= dtcm(to_integer(unsigned(dtcm_addr))); --end if; end if; end process data_memory; -- Simulated code RAM read port connected to the data bus. code_memory_as_data: process(clk) begin if clk'event and clk='1' and data_ctcm_ce='1' then -- Update data bus the cycle after rd_en is asserted if there's no -- wait states, or the cycle after wait goes low otherwise. if (to_integer(wait_states_data)=0) or (data_wait_ctr = 1) then data_ctcm <= ctcm(to_integer(unsigned(dtcm_addr))); end if; end if; end process code_memory_as_data; -- Read data will come from either the code array or the data array; we -- to drive the mux with a delayed CE, the data bus is pipelined. -- The data abus will be driven only when the ION bus specs say so, to -- help pinpoint bugs in the bus logic. data_miso.rd_data <= data_dtcm when data_dtcm_ce_reg='1' and data_wait_ctr=0 else data_ctcm when data_dtcm_ce_reg='0' and data_wait_ctr=0 else (others => 'Z'); -- TODO Debug IO register inputs are unimplemented. data_mem_wait_states: process(clk) begin if clk'event and clk='1' then if reset = '1' then data_wait_ctr <= -2; elsif data_dtcm_ce='1' and (data_mosi.rd_en='1' or data_mosi.wr_be/="0000") then data_wait_ctr <= to_integer(wait_states_data); elsif data_wait_ctr >= -1 then data_wait_ctr <= data_wait_ctr - 1; else data_wait_ctr <= -2; end if; data_dtcm_ce_reg <= data_dtcm_ce; data_rd_en_reg <= data_mosi.rd_en; end if; end process data_mem_wait_states; dtcm_wait <= '1' when data_wait_ctr > 0 else '0'; -- Code memory ------------------------------------------------------------- ctcm_addr <= code_mosi.addr(ctcm_addr'high downto 2); code_miso.mwait <= ctcm_wait; code_memory: process(clk) begin if clk'event and clk='1' then -- Update data bus the cycle after rd_en is asserted if there's no -- wait states, or the cycle after wait goes low otherwise. if (to_integer(wait_states_code)=0) or (code_wait_ctr = 1) then code_ctcm <= ctcm(to_integer(unsigned(ctcm_addr))); end if; end if; end process code_memory; code_miso.rd_data <= code_ctcm when code_wait_ctr <= 0 else (others => 'Z'); code_mem_wait_states: process(clk) begin if clk'event and clk='1' then if reset = '1' then code_wait_ctr <= -2; elsif code_mosi.rd_en='1' then code_wait_ctr <= to_integer(wait_states_code); elsif code_wait_ctr >= -1 then code_wait_ctr <= code_wait_ctr - 1; else code_wait_ctr <= -2; end if; code_ctcm_ce_reg <= code_mosi.rd_en; end if; end process code_mem_wait_states; ctcm_wait <= '1' when code_wait_ctr > 0 else '0'; -- Debug registers --------------------------------------------------------- debug_reg_ce <= '1' when data_mosi.addr(31 downto 16) = X"2001" else '0'; debug_register_writes: process(clk) begin if clk'event and clk='1' then if reset = '1' then wait_states_code <= to_unsigned(CODE_WCYCLES,wait_states_code'length); wait_states_data <= to_unsigned(DATA_WCYCLES,wait_states_data'length); else if debug_reg_ce='1' and data_mosi.wr_be/="0000" and ENABLE_DEBUG_REGISTERS then case data_mosi.addr(15 downto 0) is when X"0030" => wait_states_code <= unsigned(data_mosi.wr_data(5 downto 0)); when X"0034" => wait_states_data <= unsigned(data_mosi.wr_data(5 downto 0)); when others => -- ignore access. end case; end if; end if; end if; end process debug_register_writes; -- Placeholder signals, to be completed ------------------------------------ irq <= (others => '0'); icache_miso.present <= '0'; dcache_miso.present <= '0'; -- Logging process: launch logger function --------------------------------- log_execution: process begin log_cpu_activity(clk_delayed, reset, done, "ION_CPU_TB", "cpu", log_info, "log_info", LOG_TRIGGER_ADDRESS, log_file, con_file); wait; end process log_execution; end architecture testbench;
lgpl-3.0
HackLinux/ION
src/testbench/common/txt_util.vhdl
4
14508
library ieee; use ieee.std_logic_1164.all; use std.textio.all; package txt_util is -- prints a message to the screen procedure print(text: string); -- prints the message when active -- useful for debug switches procedure print(active: boolean; text: string); -- converts std_logic into a character function chr(sl: std_logic) return character; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string; -- converts std_logic_vector into a string (binary base) function str(slv: std_logic_vector) return string; -- converts boolean into a string function str(b: boolean) return string; -- converts an integer into a single character -- (can also be used for hex conversion and other bases) function chr(int: integer) return character; -- converts integer into string using specified base function str(int: integer; base: integer) return string; -- converts integer to string, using base 10 function str(int: integer) return string; -- convert std_logic_vector into a string in hex format function hstr(slv: std_logic_vector) return string; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character; -- convert a character to lower case function to_lower(c: character) return character; -- convert a string to upper case function to_upper(s: string) return string; -- convert a string to lower case function to_lower(s: string) return string; -- functions to convert strings into other formats -------------------------------------------------- -- converts a character into std_logic function to_std_logic(c: character) return std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector; -- file I/O ----------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string); -- print string to a file and start new line procedure print(file out_file: TEXT; new_string: in string); -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character); end txt_util; package body txt_util is -- prints text to the screen procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; -- prints text to the screen when active procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; -- converts std_logic into a character function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end chr; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string is variable s: string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b: boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int: integer) return character is variable c: character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int: integer; base: integer) return string is variable temp: string(1 to 10); variable num: integer; variable abs_int: integer; variable len: integer := 1; variable power: integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop ; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop ; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int: integer) return string is begin return str(int, 10) ; end str; -- converts a std_logic_vector into a hex string. function hstr(slv: std_logic_vector) return string is variable hexlen: integer; variable longslv : std_logic_vector(67 downto 0) := (others => '0'); variable hex : string(1 to 16); variable fourbit : std_logic_vector(3 downto 0); begin hexlen := (slv'left+1)/4; if (slv'left+1) mod 4 /= 0 then hexlen := hexlen + 1; end if; longslv(slv'left downto 0) := slv; for i in (hexlen -1) downto 0 loop fourbit := longslv(((i*4)+3) downto (i*4)); case fourbit is when "0000" => hex(hexlen -I) := '0'; when "0001" => hex(hexlen -I) := '1'; when "0010" => hex(hexlen -I) := '2'; when "0011" => hex(hexlen -I) := '3'; when "0100" => hex(hexlen -I) := '4'; when "0101" => hex(hexlen -I) := '5'; when "0110" => hex(hexlen -I) := '6'; when "0111" => hex(hexlen -I) := '7'; when "1000" => hex(hexlen -I) := '8'; when "1001" => hex(hexlen -I) := '9'; when "1010" => hex(hexlen -I) := 'A'; when "1011" => hex(hexlen -I) := 'B'; when "1100" => hex(hexlen -I) := 'C'; when "1101" => hex(hexlen -I) := 'D'; when "1110" => hex(hexlen -I) := 'E'; when "1111" => hex(hexlen -I) := 'F'; when "ZZZZ" => hex(hexlen -I) := 'z'; when "UUUU" => hex(hexlen -I) := 'u'; when "XXXX" => hex(hexlen -I) := 'x'; when others => hex(hexlen -I) := '?'; end case; end loop; return hex(1 to hexlen); end hstr; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character is variable u: character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; -- convert a character to lower case function to_lower(c: character) return character is variable l: character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; -- convert a string to upper case function to_upper(s: string) return string is variable uppercase: string (s'range); begin for i in s'range loop uppercase(i):= to_upper(s(i)); end loop; return uppercase; end to_upper; -- convert a string to lower case function to_lower(s: string) return string is variable lowercase: string (s'range); begin for i in s'range loop lowercase(i):= to_lower(s(i)); end loop; return lowercase; end to_lower; -- functions to convert strings into other types -- converts a character into a std_logic function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; ---------------- -- file I/O -- ---------------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- print string to a file procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; end txt_util;
lgpl-3.0
HackLinux/ION
src/testbench/ion_core_tb.vhdl
1
18175
--############################################################################## -- ion_core_tb.vhdl -- Test bench for full ION core. -- -- Simulates the full ION core, which includes TCM and caches. -- -------------------------------------------------------------------------------- -- KNOWN BUGS AND MISSING THINGS: -- -- WB bridge not simulated with wait states. -- WB bridge not simulated with 8 or 16 bit accesses, only 32. -- -------------------------------------------------------------------------------- -- SIMULATED IO DEVICES: -- -- This TB simulates the following IO devices as support for the test SW: -- -- Address Name Size Access Purpose --------------------------------------------------------------------------- -- ffff8000: DbgTxD : 8 : b : Debug UART TX buffer (W/o). -- ffff8020: DbgRW0 : 32 : w : Debug register 0 (R/W). -- ffff8024: DbgRW1 : 32 : w : Debug register 1 (R/W). -- ffff8018: ExitReg : 32 : w : Exit register (W/o). -- -- (b support byte access, w support word access). -- -- The fake UART is implemented in package ion_tb_pkg, not as a proper WB -- register but directly on the CPU buses. -- The exit register is another ion_tb_pkg fake-register used to terminate the -- execution of the TB; upon writing on it, the TB will stop and a success/fail -- message will be output (success is 0, failure anything else). -- All other debug registers are simulated as WB registers so they can be used -- to verify the operation of the WB bridge. -- -------------------------------------------------------------------------------- -- SIMULATED MEMORY: -- -- Data cache refill port ----------------------------- -- 80000000 4KB RAM (word access only). -- 90000000 256MB ROM (test pattern). -- -------------------------------------------------------------------------------- -- Console logging: -- -- Console output (at address 0xffff8000) is logged to text file -- "hw_sim_console_log.txt". -- -- IMPORTANT: The code that echoes UART TX data to the simulation console does -- line buffering; it will not print anything until it gets a CR (0x0d), and -- will ignore LFs (0x0a). Bear this in mind if you see no output when you -- expect it. -- -- Console logging is done by monitoring CPU writes to the UART, NOT by looking -- at the TxD pin. It will NOT catch baud-related problems, etc. -------------------------------------------------------------------------------- -- WARNING: Will only work on Modelsim 6.3+; uses proprietary library SignalSpy. --############################################################################## library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- Project packages. use work.ION_INTERFACES_PKG.all; use work.ION_INTERNAL_PKG.all; -- Tst bench support packages. use std.textio.all; use work.txt_util.all; use work.ION_TB_PKG.all; -- Simulation parameters defined in the SW makefile (generated package). use work.SIM_PARAMS_PKG.all; -- Hardware parameters & memory contents from SW build (generated package). use work.OBJ_CODE_PKG.all; entity ION_CORE_TB is end; architecture testbench of ION_CORE_TB is -- Simulation clock rate constant CLOCK_RATE : integer := 50e6; -- Simulation clock period constant T : time := (1.0e9/real(CLOCK_RATE)) * 1 ns; -------------------------------------------------------------------------------- -- Core interface. signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal code_wb_mosi : t_wishbone_mosi; signal code_wb_miso : t_wishbone_miso; signal data_wb_mosi : t_wishbone_mosi; signal data_wb_miso : t_wishbone_miso; signal data_uc_wb_mosi : t_wishbone_mosi; signal data_uc_wb_miso : t_wishbone_miso; signal cop2_mosi : t_cop2_mosi; signal cop2_miso : t_cop2_miso; signal irq : std_logic_vector(5 downto 0); -------------------------------------------------------------------------------- -- Memory refill ports. type t_natural_table is array(natural range <>) of natural; -- Wait states simulated by data refill port (elements used in succession). constant DATA_WS : t_natural_table (0 to 3) := (4,1,3,2); signal data_wait_ctr : natural; signal data_cycle_count : natural := 0; signal data_address : t_word; type t_ram_table is array(natural range <>) of t_word; shared variable ram : t_ram_table(0 to 4095); signal code_wait_ctr : natural; signal code_cycle_count : natural := 0; signal code_address : t_word; -------------------------------------------------------------------------------- -- Uncached data WB bridge. -- Wait states simulated by uncached WB port (elements used in succession). -- FIXME wait state simulation disabled! constant UNCACHED_WS : t_natural_table (0 to 3) := (0,0,0,0); --(4,1,3,2); signal uwb_wait_ctr : natural; signal uwb_cycle_count : natural := 0; signal uwb_address : t_word; shared variable debug_regs: t_ram_table(0 to 3); -------------------------------------------------------------------------------- -- Logging signals & simulation control. signal done : std_logic := '0'; -- Log file file log_file: TEXT open write_mode is "hw_sim_log.txt"; -- Console output log file file con_file: TEXT open write_mode is "hw_sim_console_log.txt"; -- All the info needed by the logger is here signal log_info : t_log_info; -------------------------------------------------------------------------------- begin core: entity work.ION_CORE generic map ( TCM_CODE_SIZE => CODE_MEM_SIZE, TCM_CODE_INIT => OBJ_CODE, TCM_DATA_SIZE => DATA_MEM_SIZE, CODE_CACHE_LINES => 128, DATA_CACHE_LINES => 128 ) port map ( CLK_I => clk, RESET_I => reset, CODE_WB_MOSI_O => code_wb_mosi, CODE_WB_MISO_I => code_wb_miso, DATA_WB_MOSI_O => data_wb_mosi, DATA_WB_MISO_I => data_wb_miso, DATA_UC_WB_MOSI_O => data_uc_wb_mosi, DATA_UC_WB_MISO_I => data_uc_wb_miso, COP2_MOSI_O => cop2_mosi, COP2_MISO_I => cop2_miso, IRQ_I => irq ); -- Master clock: free running clock used as main module clock -------------- run_master_clock: process(done, clk) begin if done = '0' then clk <= not clk after T/2; end if; end process run_master_clock; -- Main simulation process: reset MCU and wait for fixed period ------------ drive_uut: process variable l : line; begin wait for T*4; reset <= '0'; wait for T*SIMULATION_LENGTH; -- Flush console output to log console file (in case the end of the -- simulation caught an unterminated line in the buffer) if log_info.con_line_ix > 1 then write(l, log_info.con_line_buf(1 to log_info.con_line_ix)); writeline(con_file, l); end if; print("TB finished"); done <= '1'; file_close(con_file); wait; end process drive_uut; -- Data refill port interface ---------------------------------------------- -- Crudely simulate a WB interface with a variable number of delay cycles. -- The number of wait cycles is taken from a table for variety's sake, this -- model does not approach a real WB slave but should exercise the cache -- sufficiently to flush out major bugs. -- Note that this interface does NOT overlap successive reads nor cycles -- with zero wait states! -- TODO optional simulation of overlapped reads & zero waits. data_refill_port: process(clk) begin if clk'event and clk='1' then if reset = '1' then data_wait_ctr <= DATA_WS((data_cycle_count) mod DATA_WS'length); data_wb_miso.ack <= '0'; data_wb_miso.dat <= (others => '1'); data_address <= (others => '0'); elsif data_wb_mosi.stb = '1' then if data_wait_ctr > 0 then -- Access in progress, decrement wait counter... data_wait_ctr <= data_wait_ctr - 1; data_wb_miso.ack <= '0'; data_address <= data_wb_mosi.adr; else -- Access finished, wait counter reached zero. -- Prepare the wait counter for the next access... data_wait_ctr <= DATA_WS((data_cycle_count+1) mod DATA_WS'length); -- ...and drive the slave WB bus. data_wb_miso.ack <= '1'; -- Termination is different for read and write accesses: if data_wb_mosi.we = '1' then -- Write access: do the simulated write. -- FIXME do address decoding. -- FIXME support byte & halfword writes. ram(conv_integer(data_address(13 downto 2))) := data_wb_mosi.dat; else -- Read access: simulate read & WB slave multiplexor. -- For simplicity´s sake, do the address decoding -- right here and select between RAM and ROM. if data_address(31 downto 28) = X"9" then -- Fake data: low 16 bits of address replicated twice. data_wb_miso.dat <= data_wb_mosi.adr(15 downto 0) & data_wb_mosi.adr(15 downto 0); elsif data_address(31 downto 28) = X"8" then -- Simulated RAM. data_wb_miso.dat <= ram(conv_integer(data_address(13 downto 2))); else -- Unmapped area: read zeros. -- TODO should raise some sort of alert. data_wb_miso.dat <= (others => '0'); end if; end if; end if; else -- No WB access is going on: restore the wait counter to its -- idle state and deassert ACK. data_wait_ctr <= DATA_WS((data_cycle_count) mod DATA_WS'length); data_wb_miso.ack <= '0'; end if; -- Keep track of how many accesses we have performed. -- We use this to select a number of wait states from a table. if data_wb_mosi.stb = '1' and data_wait_ctr = 0 then data_cycle_count <= data_cycle_count + 1; end if; end if; end process data_refill_port; -- stall the WB bus as long as the wait counter is not zero. data_wb_miso.stall <= '1' when data_wb_mosi.stb = '1' and data_wait_ctr > 0 else '0'; -- Code refill port interface ---------------------------------------------- -- We do the same as for the data refill port, except we don't need to -- support write cycles here. -- the memory we will be reading is the same as the data bus -- no need to -- simulate any arbitration. -- Also, there's no test pattern ROM in this bus. code_refill_port: process(clk) begin if clk'event and clk='1' then if reset = '1' then code_wait_ctr <= DATA_WS((code_cycle_count) mod DATA_WS'length); code_wb_miso.ack <= '0'; code_wb_miso.dat <= (others => '1'); code_address <= (others => '0'); elsif code_wb_mosi.stb = '1' then if code_wait_ctr > 0 then -- Access in progress, decrement wait counter... code_wait_ctr <= code_wait_ctr - 1; code_wb_miso.ack <= '0'; code_address <= code_wb_mosi.adr; else -- Access finished, wait counter reached zero. -- Prepare the wait counter for the next access... code_wait_ctr <= DATA_WS((code_cycle_count+1) mod DATA_WS'length); -- ...and drive the slave WB bus. code_wb_miso.ack <= '1'; -- We will ignore write accesses on this bus. -- (We are already asserting that there aren't any anyway.) -- FIXME add assertion if data_wb_mosi.we = '0' then -- Read access: simulate read & WB slave multiplexor. if code_address(31 downto 28) = X"8" then -- Simulated RAM. code_wb_miso.dat <= ram(conv_integer(code_address(13 downto 2))); else -- Cached, unmapped area: read zeros. -- TODO should raise some sort of alert. code_wb_miso.dat <= (others => '0'); end if; end if; end if; else -- No WB access is going on: restore the wait counter to its -- idle state and deassert ACK. code_wait_ctr <= DATA_WS((code_cycle_count) mod DATA_WS'length); code_wb_miso.ack <= '0'; end if; -- Keep track of how many accesses we have performed. -- We use this to select a number of wait states from a table. if code_wb_mosi.stb = '1' and code_wait_ctr = 0 then code_cycle_count <= code_cycle_count + 1; end if; end if; end process code_refill_port; -- stall the WB bus as long as the wait counter is not zero. code_wb_miso.stall <= '1' when code_wb_mosi.stb = '1' and code_wait_ctr > 0 else '0'; -- Uncached WB port -------------------------------------------------------- -- We only have the debug register on this WB bus so we will not bother -- decoding the address and multiplexing the MISOs, etc. uncached_wb_port: process(clk) variable debug_port : natural; begin if clk'event and clk='1' then if reset = '1' then uwb_wait_ctr <= UNCACHED_WS((uwb_cycle_count) mod UNCACHED_WS'length); data_uc_wb_miso.ack <= '0'; data_uc_wb_miso.dat <= (others => '1'); uwb_address <= (others => '0'); elsif data_uc_wb_mosi.stb = '1' then debug_port := conv_integer(data_uc_wb_mosi.adr(3 downto 2)); if uwb_wait_ctr > 0 then -- Access in progress, decrement wait counter... uwb_wait_ctr <= data_wait_ctr - 1; data_uc_wb_miso.ack <= '0'; uwb_address <= data_wb_mosi.adr; else -- Access finished, wait counter reached zero. -- Prepare the wait counter for the next access... uwb_wait_ctr <= UNCACHED_WS((uwb_cycle_count+1) mod UNCACHED_WS'length); -- ...and drive the slave WB bus. data_uc_wb_miso.ack <= '1'; -- Termination is different for read and write accesses: if data_uc_wb_mosi.we = '1' then -- Write access: do the simulated write. debug_regs(debug_port) := data_uc_wb_mosi.dat; else -- Read access: simulate read & WB slave multiplexor. data_uc_wb_miso.dat <= debug_regs(debug_port); end if; end if; else -- No WB access is going on: restore the wait counter to its -- idle state and deassert ACK. uwb_wait_ctr <= UNCACHED_WS((uwb_cycle_count) mod UNCACHED_WS'length); data_uc_wb_miso.ack <= '0'; end if; -- Keep track of how many accesses we have performed. -- We use this to select a number of wait states from a table. if data_uc_wb_mosi.stb = '1' and uwb_wait_ctr = 0 then uwb_cycle_count <= uwb_cycle_count + 1; end if; end if; end process uncached_wb_port; -- stall the WB bus as long as the wait counter is not zero. data_uc_wb_miso.stall <= '1' when data_uc_wb_mosi.stb = '1' and uwb_wait_ctr > 0 else '0'; -- Dummy COP2 for interface testing ---------------------------------------- cop2: entity work.ION_COP2_STUB port map ( CLK_I => clk, RESET_I => reset, CPU_MOSI_I => cop2_mosi, CPU_MISO_O => cop2_miso ); -- HW interrupt simulation ------------------------------------------------- -- All we do here is "feed back" into the hardware the value of a fake -- register implemented in ion_tb_pkg. interrupt_registers: process(clk) begin if clk'event and clk='1' then if reset='1' then irq <= (others => '0'); else irq <= log_info.hw_irq; end if; end if; end process interrupt_registers; -- Logging process: launch logger function --------------------------------- log_execution: process begin log_cpu_activity(clk, reset, done, "ION_CORE_TB", "core/cpu", log_info, "log_info", LOG_TRIGGER_ADDRESS, log_file, con_file); wait; end process log_execution; end architecture testbench;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/gc_sync_ffs.vhd
1
3928
------------------------------------------------------------------------------- -- Title : Synchronizer chain -- Project : White Rabbit ------------------------------------------------------------------------------- -- File : gc_sync_ffs.vhd -- Author : Tomasz Wlostowski -- Company : CERN BE-Co-HT -- Created : 2010-06-14 -- Last update: 2014-07-31 -- Platform : FPGA-generic -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: Synchronizer chain and edge detector. ------------------------------------------------------------------------------- -- -- Copyright (c) 2009 - 2010 CERN -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.gnu.org/licenses/lgpl-2.1.html -- ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2010-06-14 1.0 twlostow Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity gc_sync_ffs is generic( g_sync_edge : string := "positive" ); port( clk_i : in std_logic; -- clock from the destination clock domain rst_n_i : in std_logic; -- reset data_i : in std_logic; -- async input synced_o : out std_logic; -- synchronized output npulse_o : out std_logic; -- negative edge detect output (single-clock -- pulse) ppulse_o : out std_logic -- positive edge detect output (single-clock -- pulse) ); end gc_sync_ffs; architecture behavioral of gc_sync_ffs is signal sync0, sync1, sync2 : std_logic; attribute shreg_extract : string; attribute shreg_extract of sync0 : signal is "no"; attribute shreg_extract of sync1 : signal is "no"; attribute shreg_extract of sync2 : signal is "no"; attribute keep : string; attribute keep of sync0 : signal is "true"; attribute keep of sync1 : signal is "true"; begin sync_posedge : if (g_sync_edge = "positive") generate process(clk_i, rst_n_i) begin if(rst_n_i = '0') then sync0 <= '0'; sync1 <= '0'; sync2 <= '0'; synced_o <= '0'; npulse_o <= '0'; ppulse_o <= '0'; elsif rising_edge(clk_i) then sync0 <= data_i; sync1 <= sync0; sync2 <= sync1; synced_o <= sync1; npulse_o <= sync2 and not sync1; ppulse_o <= not sync2 and sync1; end if; end process; end generate sync_posedge; sync_negedge : if(g_sync_edge = "negative") generate process(clk_i, rst_n_i) begin if(rst_n_i = '0') then sync0 <= '0'; sync1 <= '0'; sync2 <= '0'; synced_o <= '0'; npulse_o <= '0'; ppulse_o <= '0'; elsif falling_edge(clk_i) then sync0 <= data_i; sync1 <= sync0; sync2 <= sync1; synced_o <= sync1; npulse_o <= sync2 and not sync1; ppulse_o <= not sync2 and sync1; end if; end process; end generate sync_negedge; end behavioral;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/generic_sync_fifo.vhd
2
4536
------------------------------------------------------------------------------- -- Title : Parametrizable synchronous FIFO (Xilinx version) -- Project : Generics RAMs and FIFOs collection ------------------------------------------------------------------------------- -- File : generic_sync_fifo.vhd -- Author : Tomasz Wlostowski -- Company : CERN BE-CO-HT -- Created : 2011-01-25 -- Last update: 2012-07-03 -- Platform : -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Single-clock FIFO. -- - configurable data width and size -- - "show ahead" mode -- - configurable full/empty/almost full/almost empty/word count signals ------------------------------------------------------------------------------- -- Copyright (c) 2011 CERN ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2011-01-25 1.0 twlostow Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.genram_pkg.all; entity generic_sync_fifo is generic ( g_data_width : natural; g_size : natural; g_show_ahead : boolean := false; -- Read-side flag selection g_with_empty : boolean := true; -- with empty flag g_with_full : boolean := true; -- with full flag g_with_almost_empty : boolean := false; g_with_almost_full : boolean := false; g_with_count : boolean := false; -- with words counter g_almost_empty_threshold : integer; -- threshold for almost empty flag g_almost_full_threshold : integer; -- threshold for almost full flag g_register_flag_outputs : boolean := true ); port ( rst_n_i : in std_logic := '1'; clk_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; empty_o : out std_logic; full_o : out std_logic; almost_empty_o : out std_logic; almost_full_o : out std_logic; count_o : out std_logic_vector(f_log2_size(g_size)-1 downto 0) ); end generic_sync_fifo; architecture syn of generic_sync_fifo is component inferred_sync_fifo generic ( g_data_width : natural; g_size : natural; g_show_ahead : boolean; g_with_empty : boolean; g_with_full : boolean; g_with_almost_empty : boolean; g_with_almost_full : boolean; g_with_count : boolean; g_almost_empty_threshold : integer; g_almost_full_threshold : integer; g_register_flag_outputs : boolean); port ( rst_n_i : in std_logic := '1'; clk_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; empty_o : out std_logic; full_o : out std_logic; almost_empty_o : out std_logic; almost_full_o : out std_logic; count_o : out std_logic_vector(f_log2_size(g_size)-1 downto 0)); end component; begin -- syn U_Inferred_FIFO : inferred_sync_fifo generic map ( g_data_width => g_data_width, g_size => g_size, g_show_ahead => g_show_ahead, g_with_empty => g_with_empty, g_with_full => g_with_full, g_with_almost_empty => g_with_almost_empty, g_with_almost_full => g_with_almost_full, g_with_count => g_with_count, g_almost_empty_threshold => g_almost_empty_threshold, g_almost_full_threshold => g_almost_full_threshold, g_register_flag_outputs => g_register_flag_outputs) port map ( rst_n_i => rst_n_i, clk_i => clk_i, d_i => d_i, we_i => we_i, q_o => q_o, rd_i => rd_i, empty_o => empty_o, full_o => full_o, almost_empty_o => almost_empty_o, almost_full_o => almost_full_o, count_o => count_o); end syn;
lgpl-3.0
twlostow/dsi-shield
hdl/top/rev1/reset_gen.vhd
1
1205
library ieee; use ieee.STD_LOGIC_1164.all; use ieee.NUMERIC_STD.all; use work.gencores_pkg.all; entity reset_gen is port ( clk_sys_i : in std_logic; rst_pcie_n_a_i : in std_logic; rst_button_n_a_i : in std_logic; rst_n_o : out std_logic ); end reset_gen; architecture behavioral of reset_gen is signal powerup_cnt : unsigned(7 downto 0) := x"00"; signal button_synced_n : std_logic; signal pcie_synced_n : std_logic; signal powerup_n : std_logic := '0'; begin -- behavioral U_EdgeDet_PCIe : gc_sync_ffs port map ( clk_i => clk_sys_i, rst_n_i => '1', data_i => rst_pcie_n_a_i, ppulse_o => pcie_synced_n); U_Sync_Button : gc_sync_ffs port map ( clk_i => clk_sys_i, rst_n_i => '1', data_i => rst_button_n_a_i, synced_o => button_synced_n); p_powerup_reset : process(clk_sys_i) begin if rising_edge(clk_sys_i) then if(powerup_cnt /= x"ff") then powerup_cnt <= powerup_cnt + 1; powerup_n <= '0'; else powerup_n <= '1'; end if; end if; end process; rst_n_o <= powerup_n and button_synced_n and (not pcie_synced_n); end behavioral;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/gc_pulse_synchronizer.vhd
2
4191
------------------------------------------------------------------------------- -- Title : Pulse synchronizer -- Project : General Cores Library ------------------------------------------------------------------------------- -- File : gc_pulse_synchronizer.vhd -- Author : Tomasz Wlostowski -- Company : CERN BE-CO-HT -- Created : 2012-01-10 -- Last update: 2012-08-29 -- Platform : FPGA-generic -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Full feedback pulse synchronizer (works independently of the -- input/output clock domain frequency ratio) ------------------------------------------------------------------------------- -- -- Copyright (c) 2012 CERN / BE-CO-HT -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.gnu.org/licenses/lgpl-2.1.html -- ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2012-01-12 1.0 twlostow Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.gencores_pkg.all; entity gc_pulse_synchronizer is port ( -- pulse input clock clk_in_i : in std_logic; -- pulse output clock clk_out_i : in std_logic; -- system reset (clk_in_i domain) rst_n_i : in std_logic; -- pulse input ready (clk_in_i domain). When HI, a pulse coming to d_p_i will be -- correctly transferred to q_p_o. d_ready_o : out std_logic; -- pulse input (clk_in_i domain) d_p_i : in std_logic; -- pulse output (clk_out_i domain) q_p_o : out std_logic); end gc_pulse_synchronizer; architecture rtl of gc_pulse_synchronizer is constant c_sync_stages : integer := 3; signal ready, d_p_d0 : std_logic; signal in_ext, out_ext : std_logic; signal out_feedback : std_logic; signal d_in2out : std_logic_vector(c_sync_stages-1 downto 0); signal d_out2in : std_logic_vector(c_sync_stages-1 downto 0); begin -- rtl process(clk_out_i, rst_n_i) begin if rst_n_i = '0' then d_in2out <= (others => '0'); out_ext <= '0'; elsif rising_edge(clk_out_i) then d_in2out <= d_in2out(c_sync_stages-2 downto 0) & in_ext; out_ext <= d_in2out(c_sync_stages-1); end if; end process; process(clk_in_i, rst_n_i) begin if rst_n_i = '0' then d_out2in <= (others => '0'); elsif rising_edge(clk_in_i) then d_out2in <= d_out2in(c_sync_stages-2 downto 0) & out_ext; end if; end process; out_feedback <= d_out2in(c_sync_stages-1); p_input_ack : process(clk_in_i, rst_n_i) begin if rst_n_i = '0' then ready <= '1'; in_ext <= '0'; d_p_d0 <= '0'; elsif rising_edge(clk_in_i) then d_p_d0 <= d_p_i; if(ready = '1' and d_p_i = '1' and d_p_d0 = '0') then in_ext <= '1'; ready <= '0'; elsif(in_ext = '1' and out_feedback = '1') then in_ext <= '0'; elsif(in_ext = '0' and out_feedback = '0') then ready <= '1'; end if; end if; end process; p_drive_output : process(clk_out_i, rst_n_i) begin if rst_n_i = '0' then q_p_o <= '0'; elsif rising_edge(clk_out_i) then q_p_o <= not out_ext and d_in2out(c_sync_stages-1); end if; end process; d_ready_o <= ready; end rtl;
lgpl-3.0
trondd/mkjpeg
design/mdct/DCT1D.vhd
8
11974
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : DCT1D -- Design : MDCT Core -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : DCT1D.VHD -- Created : Sat Mar 5 7:37 2006 -- -------------------------------------------------------------------------------- -- -- Description : 1D Discrete Cosine Transform (1st stage) -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library WORK; use WORK.MDCT_PKG.all; -------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------- entity DCT1D is port( clk : in STD_LOGIC; rst : in std_logic; dcti : in std_logic_vector(IP_W-1 downto 0); idv : in STD_LOGIC; romedatao : in T_ROM1DATAO; romodatao : in T_ROM1DATAO; odv : out STD_LOGIC; dcto : out std_logic_vector(OP_W-1 downto 0); romeaddro : out T_ROM1ADDRO; romoaddro : out T_ROM1ADDRO; ramwaddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); ramdatai : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); ramwe : out STD_LOGIC; wmemsel : out STD_LOGIC ); end DCT1D; -------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------- architecture RTL of DCT1D is type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0); signal databuf_reg : INPUT_DATA; signal latchbuf_reg : INPUT_DATA; signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal inpcnt_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwe_s : STD_LOGIC; signal wmemsel_reg : STD_LOGIC; signal stage2_reg : STD_LOGIC; signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); signal col_2_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal even_not_odd : std_logic; signal even_not_odd_d1 : std_logic; signal even_not_odd_d2 : std_logic; signal even_not_odd_d3 : std_logic; signal ramwe_d1 : STD_LOGIC; signal ramwe_d2 : STD_LOGIC; signal ramwe_d3 : STD_LOGIC; signal ramwe_d4 : STD_LOGIC; signal ramwaddro_d1 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d2 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d3 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d4 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal wmemsel_d1 : STD_LOGIC; signal wmemsel_d2 : STD_LOGIC; signal wmemsel_d3 : STD_LOGIC; signal wmemsel_d4 : STD_LOGIC; signal romedatao_d1 : T_ROM1DATAO; signal romodatao_d1 : T_ROM1DATAO; signal romedatao_d2 : T_ROM1DATAO; signal romodatao_d2 : T_ROM1DATAO; signal romedatao_d3 : T_ROM1DATAO; signal romodatao_d3 : T_ROM1DATAO; signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_2 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_3 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_4 : STD_LOGIC_VECTOR(DA_W-1 downto 0); begin ramwaddro <= ramwaddro_d4; ramwe <= ramwe_d4; ramdatai <= dcto_4(DA_W-1 downto 12); wmemsel <= wmemsel_d4; process(clk,rst) begin if rst = '1' then inpcnt_reg <= (others => '0'); latchbuf_reg <= (others => (others => '0')); databuf_reg <= (others => (others => '0')); stage2_reg <= '0'; stage2_cnt_reg <= (others => '1'); ramwe_s <= '0'; ramwaddro_s <= (others => '0'); col_reg <= (others => '0'); row_reg <= (others => '0'); wmemsel_reg <= '0'; col_2_reg <= (others => '0'); elsif clk = '1' and clk'event then stage2_reg <= '0'; ramwe_s <= '0'; -------------------------------- -- 1st stage -------------------------------- if idv = '1' then inpcnt_reg <= inpcnt_reg + 1; -- right shift input data latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT; if inpcnt_reg = N-1 then -- after this sum databuf_reg is in range of -256 to 254 (min to max) databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); stage2_reg <= '1'; end if; end if; -------------------------------- -------------------------------- -- 2nd stage -------------------------------- if stage2_cnt_reg < N then stage2_cnt_reg <= stage2_cnt_reg + 1; -- write RAM ramwe_s <= '1'; -- reverse col/row order for transposition purpose ramwaddro_s <= STD_LOGIC_VECTOR(col_2_reg & row_reg); -- increment column counter col_reg <= col_reg + 1; col_2_reg <= col_2_reg + 1; -- finished processing one input row if col_reg = 0 then row_reg <= row_reg + 1; -- switch to 2nd memory if row_reg = N - 1 then wmemsel_reg <= not wmemsel_reg; col_reg <= (others => '0'); end if; end if; end if; if stage2_reg = '1' then stage2_cnt_reg <= (others => '0'); col_reg <= (0=>'1',others => '0'); col_2_reg <= (others => '0'); end if; ---------------------------------- end if; end process; -- output data pipeline p_data_out_pipe : process(CLK, RST) begin if RST = '1' then even_not_odd <= '0'; even_not_odd_d1 <= '0'; even_not_odd_d2 <= '0'; even_not_odd_d3 <= '0'; ramwe_d1 <= '0'; ramwe_d2 <= '0'; ramwe_d3 <= '0'; ramwe_d4 <= '0'; ramwaddro_d1 <= (others => '0'); ramwaddro_d2 <= (others => '0'); ramwaddro_d3 <= (others => '0'); ramwaddro_d4 <= (others => '0'); wmemsel_d1 <= '0'; wmemsel_d2 <= '0'; wmemsel_d3 <= '0'; wmemsel_d4 <= '0'; dcto_1 <= (others => '0'); dcto_2 <= (others => '0'); dcto_3 <= (others => '0'); dcto_4 <= (others => '0'); elsif CLK'event and CLK = '1' then even_not_odd <= stage2_cnt_reg(0); even_not_odd_d1 <= even_not_odd; even_not_odd_d2 <= even_not_odd_d1; even_not_odd_d3 <= even_not_odd_d2; ramwe_d1 <= ramwe_s; ramwe_d2 <= ramwe_d1; ramwe_d3 <= ramwe_d2; ramwe_d4 <= ramwe_d3; ramwaddro_d1 <= ramwaddro_s; ramwaddro_d2 <= ramwaddro_d1; ramwaddro_d3 <= ramwaddro_d2; ramwaddro_d4 <= ramwaddro_d3; wmemsel_d1 <= wmemsel_reg; wmemsel_d2 <= wmemsel_d1; wmemsel_d3 <= wmemsel_d2; wmemsel_d4 <= wmemsel_d3; if even_not_odd = '0' then dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romedatao(0)),DA_W) + (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romedatao(2)),DA_W-2) & "00"), DA_W)); else dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romodatao(0)),DA_W) + (RESIZE(SIGNED(romodatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romodatao(2)),DA_W-2) & "00"), DA_W)); end if; if even_not_odd_d1 = '0' then dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romedatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romedatao_d1(4)),DA_W-4) & "0000"), DA_W)); else dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romodatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romodatao_d1(4)),DA_W-4) & "0000"), DA_W)); end if; if even_not_odd_d2 = '0' then dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romedatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romedatao_d2(6)),DA_W-6) & "000000"), DA_W)); else dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romodatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romodatao_d2(6)),DA_W-6) & "000000"), DA_W)); end if; if even_not_odd_d3 = '0' then dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romedatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romedatao_d3(8)),DA_W-8) & "00000000"), DA_W)); else dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romodatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romodatao_d3(8)),DA_W-8) & "00000000"), DA_W)); end if; end if; end process; -- read precomputed MAC results from LUT p_romaddr : process(CLK, RST) begin if RST = '1' then romeaddro <= (others => (others => '0')); romoaddro <= (others => (others => '0')); elsif CLK'event and CLK = '1' then for i in 0 to 8 loop -- even romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(0)(i) & databuf_reg(1)(i) & databuf_reg(2)(i) & databuf_reg(3)(i); -- odd romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(4)(i) & databuf_reg(5)(i) & databuf_reg(6)(i) & databuf_reg(7)(i); end loop; end if; end process; p_romdatao_d1 : process(CLK, RST) begin if RST = '1' then romedatao_d1 <= (others => (others => '0')); romodatao_d1 <= (others => (others => '0')); romedatao_d2 <= (others => (others => '0')); romodatao_d2 <= (others => (others => '0')); romedatao_d3 <= (others => (others => '0')); romodatao_d3 <= (others => (others => '0')); elsif CLK'event and CLK = '1' then romedatao_d1 <= romedatao; romodatao_d1 <= romodatao; romedatao_d2 <= romedatao_d1; romodatao_d2 <= romodatao_d1; romedatao_d3 <= romedatao_d2; romodatao_d3 <= romodatao_d2; end if; end process; end RTL; --------------------------------------------------------------------------------
lgpl-3.0
trondd/mkjpeg
design/iramif.vhd
2
2403
------------------------------------------------------------------------------- -- File Name : IRamIF.vhd -- -- Project : JPEG_ENC -- -- Module : IRamIF -- -- Content : IMAGE RAM Interface -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity IRamIF is port ( CLK : in std_logic; RST : in std_logic; -- IMAGE RAM iram_addr : out std_logic_vector(19 downto 0); iram_rdata : in std_logic_vector(23 downto 0); -- FDCT jpg_iram_rden : in std_logic; jpg_iram_rdaddr : in std_logic_vector(31 downto 0); jpg_iram_data : out std_logic_vector(23 downto 0) ); end entity IRamIF; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of IRamIF is ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin jpg_iram_data <= iram_rdata; ------------------------------------------------------------------- -- ------------------------------------------------------------------- p_if : process(CLK, RST) begin if RST = '1' then iram_addr <= (others => '0'); elsif CLK'event and CLK = '1' then -- host has access iram_addr <= jpg_iram_rdaddr(iram_addr'range); end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
lgpl-3.0
jairov4/accel-oil
impl/impl_test_pcie/simulation/behavioral/system_xps_central_dma_1_wrapper.vhd
1
10201
------------------------------------------------------------------------------- -- system_xps_central_dma_1_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library xps_central_dma_v2_03_a; use xps_central_dma_v2_03_a.all; entity system_xps_central_dma_1_wrapper is port ( SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; SPLB_ABus : in std_logic_vector(0 to 31); SPLB_BE : in std_logic_vector(0 to 7); SPLB_UABus : in std_logic_vector(0 to 31); SPLB_PAValid : in std_logic; SPLB_SAValid : in std_logic; SPLB_rdPrim : in std_logic; SPLB_wrPrim : in std_logic; SPLB_masterID : in std_logic_vector(0 to 2); SPLB_abort : in std_logic; SPLB_busLock : in std_logic; SPLB_RNW : in std_logic; SPLB_MSize : in std_logic_vector(0 to 1); SPLB_size : in std_logic_vector(0 to 3); SPLB_type : in std_logic_vector(0 to 2); SPLB_lockErr : in std_logic; SPLB_wrDBus : in std_logic_vector(0 to 63); SPLB_wrBurst : in std_logic; SPLB_rdBurst : in std_logic; SPLB_wrPendReq : in std_logic; SPLB_rdPendReq : in std_logic; SPLB_wrPendPri : in std_logic_vector(0 to 1); SPLB_rdPendPri : in std_logic_vector(0 to 1); SPLB_reqPri : in std_logic_vector(0 to 1); SPLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to 63); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to 5); Sl_MWrErr : out std_logic_vector(0 to 5); Sl_MRdErr : out std_logic_vector(0 to 5); Sl_MIRQ : out std_logic_vector(0 to 5); IP2INTC_Irpt : out std_logic; MPLB_MAddrAck : in std_logic; MPLB_MSSize : in std_logic_vector(0 to 1); MPLB_MRearbitrate : in std_logic; MPLB_MTimeout : in std_logic; MPLB_MBusy : in std_logic; MPLB_MRdErr : in std_logic; MPLB_MWrErr : in std_logic; MPLB_MIRQ : in std_logic; MPLB_MRdDBus : in std_logic_vector(0 to 63); MPLB_MRdWdAddr : in std_logic_vector(0 to 3); MPLB_MRdDAck : in std_logic; MPLB_MRdBTerm : in std_logic; MPLB_MWrDAck : in std_logic; MPLB_MWrBTerm : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to 7); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_UABus : out std_logic_vector(0 to 31); M_ABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to 63); M_wrBurst : out std_logic; M_rdBurst : out std_logic ); end system_xps_central_dma_1_wrapper; architecture STRUCTURE of system_xps_central_dma_1_wrapper is component xps_central_dma is generic ( C_FIFO_DEPTH : INTEGER; C_RD_BURST_SIZE : INTEGER; C_WR_BURST_SIZE : INTEGER; C_BASEADDR : std_logic_vector; C_HIGHADDR : std_logic_vector; C_SPLB_DWIDTH : INTEGER; C_SPLB_AWIDTH : INTEGER; C_SPLB_NUM_MASTERS : INTEGER; C_SPLB_MID_WIDTH : INTEGER; C_SPLB_P2P : INTEGER; C_SPLB_NATIVE_DWIDTH : INTEGER; C_MPLB_NATIVE_DWIDTH : INTEGER; C_SPLB_SUPPORT_BURSTS : INTEGER; C_MPLB_AWIDTH : INTEGER; C_MPLB_DWIDTH : INTEGER; C_FAMILY : STRING ); port ( SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; SPLB_ABus : in std_logic_vector(0 to (C_SPLB_AWIDTH-1)); SPLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1)); SPLB_UABus : in std_logic_vector(0 to 31); SPLB_PAValid : in std_logic; SPLB_SAValid : in std_logic; SPLB_rdPrim : in std_logic; SPLB_wrPrim : in std_logic; SPLB_masterID : in std_logic_vector(0 to (C_SPLB_MID_WIDTH-1)); SPLB_abort : in std_logic; SPLB_busLock : in std_logic; SPLB_RNW : in std_logic; SPLB_MSize : in std_logic_vector(0 to 1); SPLB_size : in std_logic_vector(0 to 3); SPLB_type : in std_logic_vector(0 to 2); SPLB_lockErr : in std_logic; SPLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1)); SPLB_wrBurst : in std_logic; SPLB_rdBurst : in std_logic; SPLB_wrPendReq : in std_logic; SPLB_rdPendReq : in std_logic; SPLB_wrPendPri : in std_logic_vector(0 to 1); SPLB_rdPendPri : in std_logic_vector(0 to 1); SPLB_reqPri : in std_logic_vector(0 to 1); SPLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1)); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); IP2INTC_Irpt : out std_logic; MPLB_MAddrAck : in std_logic; MPLB_MSSize : in std_logic_vector(0 to 1); MPLB_MRearbitrate : in std_logic; MPLB_MTimeout : in std_logic; MPLB_MBusy : in std_logic; MPLB_MRdErr : in std_logic; MPLB_MWrErr : in std_logic; MPLB_MIRQ : in std_logic; MPLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1)); MPLB_MRdWdAddr : in std_logic_vector(0 to 3); MPLB_MRdDAck : in std_logic; MPLB_MRdBTerm : in std_logic; MPLB_MWrDAck : in std_logic; MPLB_MWrBTerm : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to ((C_MPLB_DWIDTH/8)-1)); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_UABus : out std_logic_vector(0 to 31); M_ABus : out std_logic_vector(0 to (C_MPLB_AWIDTH-1)); M_wrDBus : out std_logic_vector(0 to (C_MPLB_DWIDTH-1)); M_wrBurst : out std_logic; M_rdBurst : out std_logic ); end component; begin xps_central_dma_1 : xps_central_dma generic map ( C_FIFO_DEPTH => 8, C_RD_BURST_SIZE => 8, C_WR_BURST_SIZE => 8, C_BASEADDR => X"80200000", C_HIGHADDR => X"8020ffff", C_SPLB_DWIDTH => 64, C_SPLB_AWIDTH => 32, C_SPLB_NUM_MASTERS => 6, C_SPLB_MID_WIDTH => 3, C_SPLB_P2P => 0, C_SPLB_NATIVE_DWIDTH => 32, C_MPLB_NATIVE_DWIDTH => 32, C_SPLB_SUPPORT_BURSTS => 0, C_MPLB_AWIDTH => 32, C_MPLB_DWIDTH => 64, C_FAMILY => "virtex5" ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, MPLB_Clk => MPLB_Clk, MPLB_Rst => MPLB_Rst, SPLB_ABus => SPLB_ABus, SPLB_BE => SPLB_BE, SPLB_UABus => SPLB_UABus, SPLB_PAValid => SPLB_PAValid, SPLB_SAValid => SPLB_SAValid, SPLB_rdPrim => SPLB_rdPrim, SPLB_wrPrim => SPLB_wrPrim, SPLB_masterID => SPLB_masterID, SPLB_abort => SPLB_abort, SPLB_busLock => SPLB_busLock, SPLB_RNW => SPLB_RNW, SPLB_MSize => SPLB_MSize, SPLB_size => SPLB_size, SPLB_type => SPLB_type, SPLB_lockErr => SPLB_lockErr, SPLB_wrDBus => SPLB_wrDBus, SPLB_wrBurst => SPLB_wrBurst, SPLB_rdBurst => SPLB_rdBurst, SPLB_wrPendReq => SPLB_wrPendReq, SPLB_rdPendReq => SPLB_rdPendReq, SPLB_wrPendPri => SPLB_wrPendPri, SPLB_rdPendPri => SPLB_rdPendPri, SPLB_reqPri => SPLB_reqPri, SPLB_TAttribute => SPLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, IP2INTC_Irpt => IP2INTC_Irpt, MPLB_MAddrAck => MPLB_MAddrAck, MPLB_MSSize => MPLB_MSSize, MPLB_MRearbitrate => MPLB_MRearbitrate, MPLB_MTimeout => MPLB_MTimeout, MPLB_MBusy => MPLB_MBusy, MPLB_MRdErr => MPLB_MRdErr, MPLB_MWrErr => MPLB_MWrErr, MPLB_MIRQ => MPLB_MIRQ, MPLB_MRdDBus => MPLB_MRdDBus, MPLB_MRdWdAddr => MPLB_MRdWdAddr, MPLB_MRdDAck => MPLB_MRdDAck, MPLB_MRdBTerm => MPLB_MRdBTerm, MPLB_MWrDAck => MPLB_MWrDAck, MPLB_MWrBTerm => MPLB_MWrBTerm, M_request => M_request, M_priority => M_priority, M_busLock => M_busLock, M_RNW => M_RNW, M_BE => M_BE, M_MSize => M_MSize, M_size => M_size, M_type => M_type, M_TAttribute => M_TAttribute, M_lockErr => M_lockErr, M_abort => M_abort, M_UABus => M_UABus, M_ABus => M_ABus, M_wrDBus => M_wrDBus, M_wrBurst => M_wrBurst, M_rdBurst => M_rdBurst ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/syn/vhdl/nfa_get_initials.vhd
3
13072
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_initials is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_initials is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "1"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal nfa_initials_buckets_read_reg_55 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_117 : BOOLEAN; signal ap_sig_bdd_119 : BOOLEAN; signal ap_sig_bdd_116 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it0)))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then nfa_initials_buckets_read_reg_55 <= nfa_initials_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , nfa_initials_buckets_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if (not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_initials_buckets_read_reg_55; ap_return_1 <= nfa_initials_buckets_datain; -- ap_sig_bdd_116 assign process. -- ap_sig_bdd_116_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_116 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_117 assign process. -- ap_sig_bdd_117_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, nfa_initials_buckets_rsp_empty_n) begin ap_sig_bdd_117 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))); end process; -- ap_sig_bdd_119 assign process. -- ap_sig_bdd_119_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, nfa_initials_buckets_rsp_empty_n) begin ap_sig_bdd_119 <= ((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_initials_buckets_address assign process. -- nfa_initials_buckets_address_assign_proc : process(ap_sig_bdd_117, ap_sig_bdd_119, ap_sig_bdd_116) begin if (ap_sig_bdd_116) then if (ap_sig_bdd_119) then nfa_initials_buckets_address <= ap_const_lv32_1; elsif (ap_sig_bdd_117) then nfa_initials_buckets_address <= ap_const_lv32_0; else nfa_initials_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_initials_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_initials_buckets_dataout <= ap_const_lv32_0; nfa_initials_buckets_req_din <= ap_const_logic_0; -- nfa_initials_buckets_req_write assign process. -- nfa_initials_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))))) then nfa_initials_buckets_req_write <= ap_const_logic_1; else nfa_initials_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_initials_buckets_rsp_read assign process. -- nfa_initials_buckets_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, nfa_initials_buckets_rsp_empty_n, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_initials_buckets_rsp_empty_n = ap_const_logic_0))))))) then nfa_initials_buckets_rsp_read <= ap_const_logic_1; else nfa_initials_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_initials_buckets_size <= ap_const_lv32_1; end behav;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/impl/pcores/nfa_accept_samples_generic_hw_top_v1_01_a/synhdl/vhdl/nfa_finals_buckets_if_plb_master_if.vhd
2
37001
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_finals_buckets_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end entity; architecture rtl of nfa_finals_buckets_if_ap_fifo_uw is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype; signal mInPtr, mNextInPtr, mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0); signal internal_empty_n, internal_full_n : STD_LOGIC; signal internal_use_word : STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0); begin mNextInPtr <= mInPtr + 1; if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; use_word <= internal_use_word; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); internal_use_word <= (others => '0'); else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr + 1; end if; if if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; mInPtr <= mNextInPtr; end if; if (if_read = '1' and if_write = '0') then internal_use_word <= internal_use_word - '1'; elsif (if_read = '0' and if_write = '1') then internal_use_word <= internal_use_word + '1'; end if; end if; end if; end process; process (mInPtr, mOutPtr, mNextInPtr) begin if mInPtr = mOutPtr then internal_empty_n <= '0'; else internal_empty_n <= '1'; end if; if mNextInPtr = mOutPtr then internal_full_n <= '0'; else internal_full_n <= '1'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_finals_buckets_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3 ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- physical address BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8-1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n: out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of PLB_Clk : signal is "Clk"; attribute SIGIS of PLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of nfa_finals_buckets_if_plb_master_if is component nfa_finals_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component; component nfa_finals_buckets_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end component; constant PLB_DW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := PLB_DW/8; constant REQ_FIFO_WIDTH : integer := 1 + PLB_BYTE_COUNT + 32 + 32; --nRW + BE + 32 bits phy addr + size constant FIFO_ADDR_WIDTH : integer := 5; constant FIFO_DEPTH : integer := 32; -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); -- burst write counter (only push burst data in and ignore all burst write request except the first one) signal req_burst_write: STD_LOGIC; -- whether last request is a burst write signal req_burst_write_counter: STD_LOGIC_VECTOR(31 downto 0); -- write data FIFO (for bus write data) signal wd_fifo_empty_n : STD_LOGIC; signal wd_fifo_pop : STD_LOGIC; signal wd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_dout_mirror : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_full_n : STD_LOGIC; signal wd_fifo_push : STD_LOGIC; signal wd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); -- read data FIFO (for bus read returned data) signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); signal req_address : std_logic_vector(0 to C_PLB_AWIDTH -1);-- bus request word address signal req_fifo_dout_req_size : std_logic_vector(31 downto 0); -- req_size -1 signal req_size : std_logic_vector(0 to 27); -- burst size of 16 word block signal request, req_nRW: std_logic; signal req_BE : std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal pending_rd_req_burst_mode: std_logic; signal pending_rd_req_burst_size: std_logic_vector(3 downto 0); signal pending_wr_req_burst_mode: std_logic; signal pending_wr_req_burst_size: std_logic_vector(3 downto 0); signal pending_read, pending_write: std_logic; signal burst_mode, burst_last : std_logic; signal burst_size : std_logic_vector(3 downto 0); -- maximum burst 16 words --signals for write data mirror signal conv_mode_comb : std_logic_vector(1 downto 0); -- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal conv_counter_comb: std_logic_vector(1 downto 0); signal wr_data_phase : std_logic; signal dataConv_last: std_logic; signal dp_dataConv_last: std_logic; signal dp_dataConv_word_addr: std_logic_vector(1 downto 0); signal dp_dataConv_wd_conv_mode : std_logic_vector(1 downto 0); -- 00:NO conv, 01:128/32, 10:64/32, 11:128/64 signal dp_dataConv_wd_burst_counter: std_logic_vector(1 downto 0); signal dp_dataConv_wd_BE: std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal dp_PLB_MSSize : std_logic_vector(1 downto 0); --signals for read data mirror signal PLB_MRdDAck_reg : std_logic; signal dp_dataConv_rd_conv_mode : std_logic_vector(1 downto 0);-- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal dp_dataConv_rd_burst_counter, dp_dataConv_rd_burst_counter_reg: std_logic_vector(1 downto 0); signal PLB_MRdDBus_reverse : std_logic_vector(PLB_DW-1 downto 0); -- signals with dp_ prefix stand for data phase signals -- signals with req_ prefix stand for request phase signals begin -- interface to user logic BUS_RdData <= rd_fifo_dout; BUS_req_full_n <= req_fifo_full_n and wd_fifo_full_n; BUS_rsp_nRW <= '0'; BUS_rsp_empty_n <= rd_fifo_empty_n; -- interface to PLB M_abort <= '0'; M_busLock <= '0'; M_lockErr <= '0'; M_MSize <= "01"; -- 00:32b dev, 01:64b, 10:128b, 11:256b M_size <= "0000" when (burst_mode = '0' or burst_size = "0000") else "1011"; -- single rw or 64 bits burst M_type <= "000"; -- memory trans M_priority <= "00"; M_RNW <= not req_nRW; M_rdBurst <= '1' when pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /="00") else '0'; process (PLB_MSSize) begin M_wrBurst <= '0'; if (pending_wr_req_burst_mode = '1' and (pending_wr_req_burst_size /= "0000" or dp_dataConv_wd_burst_counter /="00")) then M_wrBurst <= '1'; elsif (request = '1' and req_nRW = '1' and pending_write = '0' and burst_mode = '1' and burst_size /="0000" and wd_fifo_use_word > burst_size) then M_wrBurst <= '1'; end if; end process; -- write data mirror section process (PLB_MSSize) begin if (C_PLB_DWIDTH = 64 and PLB_MSSize = "00") then conv_mode_comb <= "10"; -- conv 64:32 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "01") then conv_mode_comb <= "11"; -- conv 128:64 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "00") then conv_mode_comb <= "01"; -- conv 128:32 conv_counter_comb <= "11"; else conv_mode_comb <= "00"; -- do not need conv conv_counter_comb <= "00"; end if; end process; process (burst_mode, burst_size, conv_mode_comb, req_address, req_BE) begin dataConv_last <= '0'; if (burst_mode = '0' or burst_size = "0000") then if (conv_mode_comb = "00") then -- no conv dataConv_last <= '1'; elsif (conv_mode_comb = "10") then -- 64:32 conv if (req_address(29)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "11") then -- 128:64 conv if (req_address(28)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "01") then -- 128:32 conv if (req_address(28 to 29) = "00" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT*3/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "01" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "10" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT*3/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "11") then dataConv_last <= '1'; end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_word_addr <= (others => '0'); dp_dataConv_wd_conv_mode <= (others =>'0'); dp_dataConv_wd_burst_counter <= (others => '0'); dp_dataConv_wd_BE <= (others => '0'); dp_dataConv_last <= '0'; wr_data_phase <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then dp_dataConv_wd_BE <= req_BE; dp_dataConv_last <= dataConv_last; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and (PLB_MWrDAck = '0' or (burst_mode = '1' and burst_size /= "0000"))) then wr_data_phase <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if ((pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0')) then wr_data_phase <= '0'; end if; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and dp_dataConv_wd_conv_mode = "00") then if (PLB_MWrDAck = '0') then -- only AddrAck asserted dp_dataConv_wd_conv_mode <= conv_mode_comb; dp_dataConv_word_addr <= req_address(28 to 29); dp_dataConv_wd_burst_counter <= conv_counter_comb; else -- Xilinx PLB v4.6 support assert addrAck & wrDAck at the same cycle if (dataConv_last = '0') then dp_dataConv_wd_conv_mode <= conv_mode_comb; end if; if (PLB_MSSize = "00") then -- 32 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +1; elsif (PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +2; end if; if (conv_mode_comb /= "00") then -- need conv dp_dataConv_wd_burst_counter <= conv_counter_comb -1; end if; end if; end if; if (wr_data_phase = '1' and PLB_MWrDAck = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0' and dp_dataConv_last = '1'))) then dp_dataConv_wd_conv_mode <= "00"; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if (dp_PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= dp_dataConv_word_addr +2; else dp_dataConv_word_addr <= dp_dataConv_word_addr +1; end if; if ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size /= "0000") or dp_dataConv_wd_burst_counter /= "00") then if (dp_dataConv_wd_burst_counter = "00") then if (dp_dataConv_wd_conv_mode = "01") then -- 128/32 dp_dataConv_wd_burst_counter <= "11"; elsif (dp_dataConv_wd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_wd_burst_counter <= "01"; end if; else dp_dataConv_wd_burst_counter <= dp_dataConv_wd_burst_counter -1; end if; end if; end if; end if; end process; process(PLB_MWrDAck, wr_data_phase, dp_dataConv_wd_burst_counter, burst_mode, conv_counter_comb, conv_mode_comb, req_BE) begin wd_fifo_pop <= '0'; if (PLB_MWrDAck = '1') then if (wr_data_phase = '1') then if ((pending_wr_req_burst_mode = '1' and dp_dataConv_wd_burst_counter = "00") or (dp_dataConv_wd_conv_mode /= "00" and dp_dataConv_last = '1') or dp_dataConv_wd_conv_mode = "00" )then wd_fifo_pop <= '1'; end if; else -- got addrAck and wrDAck at the same cycle if (burst_mode = '1' and burst_size /= "0000" and conv_counter_comb = "00") then wd_fifo_pop <= '1'; elsif ((burst_mode = '0' or burst_size = "0000") and dataConv_last = '1') then wd_fifo_pop <= '1'; end if; end if; end if; end process; process(wd_fifo_dout, wr_data_phase, req_address, dp_dataConv_wd_conv_mode, dp_dataConv_word_addr) begin wd_fifo_dout_mirror <= wd_fifo_dout; if (wr_data_phase = '0') then -- we do not know slave bus width, perform default convert if (C_PLB_DWIDTH = 32) then wd_fifo_dout_mirror <= wd_fifo_dout; elsif (C_PLB_DWIDTH = 64) then if (req_address(29) = '0') then wd_fifo_dout_mirror <= wd_fifo_dout; else wd_fifo_dout_mirror(PLB_DW/2-1 downto 0) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); wd_fifo_dout_mirror(PLB_DW-1 downto PLB_DW/2) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); end if; elsif (C_PLB_DWIDTH = 128) then case req_address(28 to 29) is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; else -- in data phase wd_fifo_dout_mirror <= wd_fifo_dout; if ((dp_dataConv_wd_conv_mode = "10" and dp_dataConv_word_addr(0) = '1') or (dp_dataConv_wd_conv_mode = "11" and dp_dataConv_word_addr(1) = '1')) then -- conv 64:32 or 128:64 wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); elsif (dp_dataConv_wd_conv_mode = "01") then -- conv 128:32 case dp_dataConv_word_addr is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; end if; end process; process(wd_fifo_dout_mirror) variable i: integer; begin for i in 0 to C_PLB_DWIDTH-1 loop M_wrDBus(i) <= wd_fifo_dout_mirror(i); end loop; end process; process (request, req_nRW, pending_read, burst_mode, rd_fifo_full_n, rd_fifo_use_word, pending_write, wd_fifo_empty_n, wd_fifo_use_word, burst_size) begin M_request <= '0'; if (request = '1') then if (req_nRW = '0' and pending_read = '0') then -- read request if ((burst_mode = '0' or burst_size = "0000") and rd_fifo_full_n = '1') then M_request <= '1'; elsif (rd_fifo_use_word(4) = '0') then -- 16 words slots available M_request <= '1'; end if; elsif (req_nRW = '1' and pending_write = '0') then -- write request if ((burst_mode = '0' or burst_size = "0000") and wd_fifo_empty_n = '1') then M_request <= '1'; elsif (wd_fifo_use_word > burst_size) then M_request <= '1'; end if; end if; end if; end process; M_ABus(0 to C_PLB_AWIDTH - 1) <= req_address; process(req_nRW, burst_mode, burst_size, req_BE) variable i:integer; begin M_BE <= (others => '0'); if (burst_mode = '1') then if (burst_size = "0000") then M_BE <= (others => '1'); -- first single,then burst 16 else M_BE(0 to 3) <= burst_size; -- fixed length burst end if; elsif (req_nRW = '0') then M_BE <= (others => '1'); else for i in 0 to PLB_BYTE_COUNT-1 loop M_BE(i) <= req_BE(i); end loop; end if; end process; -- user req FIFO, for both read request and write request U_req_nfa_finals_buckets_if_fifo: component nfa_finals_buckets_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_WIDTH, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_push <= BUS_req_push and not req_burst_write; req_fifo_din <= BUS_req_nRW & BUS_req_BE & BUS_address & BUS_size; req_fifo_dout_req_size <= req_fifo_dout(31 downto 0) -1; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then req_burst_write <= '0'; req_burst_write_counter <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (req_fifo_push = '1' and BUS_req_nRW = '1' and BUS_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_write <= '1'; req_burst_write_counter <= BUS_size - 1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write = '1') then req_burst_write_counter <= req_burst_write_counter -1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write_counter = X"00000001") then-- last burst write data req_burst_write <= '0'; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then request <= '0'; req_size <= (others => '0'); req_nRW <= '0'; req_address(0 to C_PLB_AWIDTH - 1) <= (others => '0'); burst_mode <= '0'; burst_size <= (others => '0'); req_fifo_pop <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then req_fifo_pop <= '0'; if ((request = '0' and req_fifo_empty_n = '1') or PLB_MAddrAck = '1') then if (PLB_MAddrAck = '1' and (burst_mode = '0' or burst_size ="0000") and dataConv_last = '0') then request <= '1'; if (conv_mode_comb(1) = '1') then -- 2:1 conv req_BE(PLB_BYTE_COUNT/2-1 downto 0) <= (others => '0'); else -- 128:32 if (req_address(28 to 29) = "00") then req_BE(PLB_BYTE_COUNT/4-1 downto 0) <= (others => '0'); elsif (req_address(28 to 29) = "01") then req_BE(PLB_BYTE_COUNT/2-1 downto PLB_BYTE_COUNT/4) <= (others => '0'); elsif (req_address(28 to 29) = "10") then req_BE(PLB_BYTE_COUNT*3/4-1 downto PLB_BYTE_COUNT/2) <= (others => '0'); end if; end if; if (PLB_MSSize = "00") then -- 32 bits slave req_address <= req_address + 4; elsif (PLB_MSSize = "01") then -- 64 slave req_address <= req_address + 8; end if;-- 128 bits slave does not need conversion cycle elsif (PLB_MAddrAck = '1' and burst_mode = '1' and burst_last = '0') then request <= '1'; -- req next burst section, this will be pending until previous burst finished req_size(0 to 27) <= req_size(0 to 27) - 1; req_address(0 to C_PLB_AWIDTH - PLB_ADDR_SHIFT - 1) <= req_address(0 to C_PLB_AWIDTH -PLB_ADDR_SHIFT -1) + burst_size +1; req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); -- low bits of addr must be reset for possible data_conv modifications of 10 lines above burst_mode <= '1'; burst_size <= "1111"; -- burst 16 words else if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; end if; request <= req_fifo_empty_n; -- fetch next user_req, may be a vaild req or a null req req_size(0 to 27) <= req_fifo_dout_req_size(31 downto 4); --remaining burst transfer except current one req_nRW <= req_fifo_dout(REQ_FIFO_WIDTH-1); req_BE <= req_fifo_dout(REQ_FIFO_WIDTH-2 downto 64); req_address <= req_fifo_dout(63 downto 32); if (req_fifo_dout(REQ_FIFO_WIDTH-1) = '0') then -- read request req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); end if; -- long burst request will be split to 1stReq: 1-16 words, all next req: 16 words if (req_fifo_dout_req_size /= X"00000000") then -- more than 1 word, burst burst_mode <= req_fifo_empty_n; -- fetched req may be null req -- req of burst 17 will be single + burst 16, please check burst_size also else burst_mode <= '0'; end if; burst_size(3 downto 0) <= req_fifo_dout_req_size(3 downto 0);-- 0:single, 1-15: burst 2-16words end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_read <= '0'; pending_write <= '0'; dp_PLB_MSSize <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00") or (pending_rd_req_burst_mode = '0'))) then pending_read <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='0') then pending_read <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or pending_wr_req_burst_mode = '0')) then pending_write <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='1' and (PLB_MWrDAck = '0' or burst_size /= "0000")) then pending_write <= '1'; end if; if (PLB_MAddrAck = '1') then dp_PLB_MSSize <= PLB_MSSize; end if; end if; end process; process(req_size) begin if (req_size(0 to 27) = "000000000000000000000000000") then burst_last <= '1'; -- one request is ok else burst_last <= '0'; end if; end process; -- user write data FIFO, for data of bus write request U_wd_nfa_finals_buckets_if_fifo: component nfa_finals_buckets_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => wd_fifo_empty_n, if_read => wd_fifo_pop, if_dout => wd_fifo_dout, if_full_n => wd_fifo_full_n, if_write => wd_fifo_push, if_din => wd_fifo_din, use_word => wd_fifo_use_word ); wd_fifo_push <= BUS_req_push and BUS_req_nRW; wd_fifo_din <= BUS_WrData; -- returned bus read data fifo U_rd_nfa_finals_buckets_if_fifo: component nfa_finals_buckets_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, if_write => rd_fifo_push, if_din => rd_fifo_din, use_word => rd_fifo_use_word ); process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_rd_conv_mode <= (others =>'0'); dp_dataConv_rd_burst_counter <= (others => '0'); dp_dataConv_rd_burst_counter_reg <= (others => '0'); PLB_MRdDAck_reg <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0' and dp_dataConv_rd_conv_mode = "00") then dp_dataConv_rd_conv_mode <= conv_mode_comb; dp_dataConv_rd_burst_counter <= conv_counter_comb; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter = "00")))then dp_dataConv_rd_conv_mode <= "00"; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /= "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter /= "00")))then if (dp_dataConv_rd_burst_counter = "00") then if (dp_dataConv_rd_conv_mode = "01") then -- 128/32 dp_dataConv_rd_burst_counter <= "11"; elsif (dp_dataConv_rd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_rd_burst_counter <= "01"; end if; else dp_dataConv_rd_burst_counter <= dp_dataConv_rd_burst_counter -1; end if; end if; dp_dataConv_rd_burst_counter_reg <= dp_dataConv_rd_burst_counter; PLB_MRdDAck_reg <= PLB_MRdDAck; end if; end process; rd_fifo_push <= '1' when PLB_MRdDAck_reg = '1' and dp_dataConv_rd_burst_counter_reg = "00" else '0'; process(PLB_MRdDBus) variable i: integer; begin -- change to little endian for i in 0 to C_PLB_DWIDTH-1 loop PLB_MRdDBus_reverse(i) <= PLB_MRdDBus(i); end loop; end process; process(PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then rd_fifo_din <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1') then case dp_dataConv_rd_conv_mode is when "00" => rd_fifo_din <= PLB_MRdDBus_reverse; when "10" | "11" => if (dp_dataConv_rd_burst_counter = "00") then rd_fifo_din(PLB_DW-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); else rd_fifo_din(PLB_DW/2-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); end if; when "01" => case dp_dataConv_rd_burst_counter is when "00" => rd_fifo_din(PLB_DW-1 downto PLB_DW*3/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "01" => rd_fifo_din(PLB_DW*3/4-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "10" => rd_fifo_din(PLB_DW/2-1 downto PLB_DW/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "11" => rd_fifo_din(PLB_DW/4-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when others => null; end case; when others => null; end case; end if; end if; end process; rd_fifo_pop <= BUS_rsp_pop; pending_read_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_rd_req_burst_mode <= '0'; pending_rd_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0') then if (burst_mode = '1' and burst_size /= "0000") then pending_rd_req_burst_mode <= burst_mode; end if; pending_rd_req_burst_size <= burst_size; elsif (PLB_MRdDAck = '1' and pending_rd_req_burst_mode = '1') then if (dp_dataConv_rd_burst_counter = "00") then pending_rd_req_burst_size <= pending_rd_req_burst_size - 1; if (pending_rd_req_burst_size = "0000") then pending_rd_req_burst_mode <= '0'; end if; end if; end if; end if; end process; pending_write_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_wr_req_burst_mode <= '0'; pending_wr_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then if (burst_mode = '1' and burst_size /= "0000") then pending_wr_req_burst_mode <= '1'; end if; pending_wr_req_burst_size <= burst_size; if (PLB_MWrDAck = '1') then if (conv_counter_comb = "00") then pending_wr_req_burst_size <= burst_size -1; else pending_wr_req_burst_size <= burst_size; end if; end if; elsif (PLB_MWrDAck = '1' and pending_wr_req_burst_mode = '1') then if (dp_dataConv_wd_burst_counter = "00") then pending_wr_req_burst_size <= pending_wr_req_burst_size - 1; if (pending_wr_req_burst_size = "0000") then pending_wr_req_burst_mode <= '0'; end if; end if; end if; end if; end process; end IMP;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/impl/pcores/nfa_accept_samples_generic_hw_top_v1_01_a/simhdl/vhdl/indices_if_ap_fifo.vhd
2
2819
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC := '1'; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC := '1'; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/simulation/behavioral/work/system_nfa_accept_samples_generic_hw_top_0_wrapper/_primary.vhd
1
11883
library verilog; use verilog.vl_types.all; entity system_nfa_accept_samples_generic_hw_top_0_wrapper is port( aclk : in vl_logic; aresetn : in vl_logic; indices_MPLB_Clk: in vl_logic; indices_MPLB_Rst: in vl_logic; indices_M_request: out vl_logic; indices_M_priority: out vl_logic_vector(0 to 1); indices_M_busLock: out vl_logic; indices_M_RNW : out vl_logic; indices_M_BE : out vl_logic_vector(0 to 7); indices_M_MSize : out vl_logic_vector(0 to 1); indices_M_size : out vl_logic_vector(0 to 3); indices_M_type : out vl_logic_vector(0 to 2); indices_M_TAttribute: out vl_logic_vector(0 to 15); indices_M_lockErr: out vl_logic; indices_M_abort : out vl_logic; indices_M_UABus : out vl_logic_vector(0 to 31); indices_M_ABus : out vl_logic_vector(0 to 31); indices_M_wrDBus: out vl_logic_vector(0 to 63); indices_M_wrBurst: out vl_logic; indices_M_rdBurst: out vl_logic; indices_PLB_MAddrAck: in vl_logic; indices_PLB_MSSize: in vl_logic_vector(0 to 1); indices_PLB_MRearbitrate: in vl_logic; indices_PLB_MTimeout: in vl_logic; indices_PLB_MBusy: in vl_logic; indices_PLB_MRdErr: in vl_logic; indices_PLB_MWrErr: in vl_logic; indices_PLB_MIRQ: in vl_logic; indices_PLB_MRdDBus: in vl_logic_vector(0 to 63); indices_PLB_MRdWdAddr: in vl_logic_vector(0 to 3); indices_PLB_MRdDAck: in vl_logic; indices_PLB_MRdBTerm: in vl_logic; indices_PLB_MWrDAck: in vl_logic; indices_PLB_MWrBTerm: in vl_logic; nfa_finals_buckets_MPLB_Clk: in vl_logic; nfa_finals_buckets_MPLB_Rst: in vl_logic; nfa_finals_buckets_M_request: out vl_logic; nfa_finals_buckets_M_priority: out vl_logic_vector(0 to 1); nfa_finals_buckets_M_busLock: out vl_logic; nfa_finals_buckets_M_RNW: out vl_logic; nfa_finals_buckets_M_BE: out vl_logic_vector(0 to 7); nfa_finals_buckets_M_MSize: out vl_logic_vector(0 to 1); nfa_finals_buckets_M_size: out vl_logic_vector(0 to 3); nfa_finals_buckets_M_type: out vl_logic_vector(0 to 2); nfa_finals_buckets_M_TAttribute: out vl_logic_vector(0 to 15); nfa_finals_buckets_M_lockErr: out vl_logic; nfa_finals_buckets_M_abort: out vl_logic; nfa_finals_buckets_M_UABus: out vl_logic_vector(0 to 31); nfa_finals_buckets_M_ABus: out vl_logic_vector(0 to 31); nfa_finals_buckets_M_wrDBus: out vl_logic_vector(0 to 63); nfa_finals_buckets_M_wrBurst: out vl_logic; nfa_finals_buckets_M_rdBurst: out vl_logic; nfa_finals_buckets_PLB_MAddrAck: in vl_logic; nfa_finals_buckets_PLB_MSSize: in vl_logic_vector(0 to 1); nfa_finals_buckets_PLB_MRearbitrate: in vl_logic; nfa_finals_buckets_PLB_MTimeout: in vl_logic; nfa_finals_buckets_PLB_MBusy: in vl_logic; nfa_finals_buckets_PLB_MRdErr: in vl_logic; nfa_finals_buckets_PLB_MWrErr: in vl_logic; nfa_finals_buckets_PLB_MIRQ: in vl_logic; nfa_finals_buckets_PLB_MRdDBus: in vl_logic_vector(0 to 63); nfa_finals_buckets_PLB_MRdWdAddr: in vl_logic_vector(0 to 3); nfa_finals_buckets_PLB_MRdDAck: in vl_logic; nfa_finals_buckets_PLB_MRdBTerm: in vl_logic; nfa_finals_buckets_PLB_MWrDAck: in vl_logic; nfa_finals_buckets_PLB_MWrBTerm: in vl_logic; nfa_forward_buckets_MPLB_Clk: in vl_logic; nfa_forward_buckets_MPLB_Rst: in vl_logic; nfa_forward_buckets_M_request: out vl_logic; nfa_forward_buckets_M_priority: out vl_logic_vector(0 to 1); nfa_forward_buckets_M_busLock: out vl_logic; nfa_forward_buckets_M_RNW: out vl_logic; nfa_forward_buckets_M_BE: out vl_logic_vector(0 to 7); nfa_forward_buckets_M_MSize: out vl_logic_vector(0 to 1); nfa_forward_buckets_M_size: out vl_logic_vector(0 to 3); nfa_forward_buckets_M_type: out vl_logic_vector(0 to 2); nfa_forward_buckets_M_TAttribute: out vl_logic_vector(0 to 15); nfa_forward_buckets_M_lockErr: out vl_logic; nfa_forward_buckets_M_abort: out vl_logic; nfa_forward_buckets_M_UABus: out vl_logic_vector(0 to 31); nfa_forward_buckets_M_ABus: out vl_logic_vector(0 to 31); nfa_forward_buckets_M_wrDBus: out vl_logic_vector(0 to 63); nfa_forward_buckets_M_wrBurst: out vl_logic; nfa_forward_buckets_M_rdBurst: out vl_logic; nfa_forward_buckets_PLB_MAddrAck: in vl_logic; nfa_forward_buckets_PLB_MSSize: in vl_logic_vector(0 to 1); nfa_forward_buckets_PLB_MRearbitrate: in vl_logic; nfa_forward_buckets_PLB_MTimeout: in vl_logic; nfa_forward_buckets_PLB_MBusy: in vl_logic; nfa_forward_buckets_PLB_MRdErr: in vl_logic; nfa_forward_buckets_PLB_MWrErr: in vl_logic; nfa_forward_buckets_PLB_MIRQ: in vl_logic; nfa_forward_buckets_PLB_MRdDBus: in vl_logic_vector(0 to 63); nfa_forward_buckets_PLB_MRdWdAddr: in vl_logic_vector(0 to 3); nfa_forward_buckets_PLB_MRdDAck: in vl_logic; nfa_forward_buckets_PLB_MRdBTerm: in vl_logic; nfa_forward_buckets_PLB_MWrDAck: in vl_logic; nfa_forward_buckets_PLB_MWrBTerm: in vl_logic; nfa_initials_buckets_MPLB_Clk: in vl_logic; nfa_initials_buckets_MPLB_Rst: in vl_logic; nfa_initials_buckets_M_request: out vl_logic; nfa_initials_buckets_M_priority: out vl_logic_vector(0 to 1); nfa_initials_buckets_M_busLock: out vl_logic; nfa_initials_buckets_M_RNW: out vl_logic; nfa_initials_buckets_M_BE: out vl_logic_vector(0 to 7); nfa_initials_buckets_M_MSize: out vl_logic_vector(0 to 1); nfa_initials_buckets_M_size: out vl_logic_vector(0 to 3); nfa_initials_buckets_M_type: out vl_logic_vector(0 to 2); nfa_initials_buckets_M_TAttribute: out vl_logic_vector(0 to 15); nfa_initials_buckets_M_lockErr: out vl_logic; nfa_initials_buckets_M_abort: out vl_logic; nfa_initials_buckets_M_UABus: out vl_logic_vector(0 to 31); nfa_initials_buckets_M_ABus: out vl_logic_vector(0 to 31); nfa_initials_buckets_M_wrDBus: out vl_logic_vector(0 to 63); nfa_initials_buckets_M_wrBurst: out vl_logic; nfa_initials_buckets_M_rdBurst: out vl_logic; nfa_initials_buckets_PLB_MAddrAck: in vl_logic; nfa_initials_buckets_PLB_MSSize: in vl_logic_vector(0 to 1); nfa_initials_buckets_PLB_MRearbitrate: in vl_logic; nfa_initials_buckets_PLB_MTimeout: in vl_logic; nfa_initials_buckets_PLB_MBusy: in vl_logic; nfa_initials_buckets_PLB_MRdErr: in vl_logic; nfa_initials_buckets_PLB_MWrErr: in vl_logic; nfa_initials_buckets_PLB_MIRQ: in vl_logic; nfa_initials_buckets_PLB_MRdDBus: in vl_logic_vector(0 to 63); nfa_initials_buckets_PLB_MRdWdAddr: in vl_logic_vector(0 to 3); nfa_initials_buckets_PLB_MRdDAck: in vl_logic; nfa_initials_buckets_PLB_MRdBTerm: in vl_logic; nfa_initials_buckets_PLB_MWrDAck: in vl_logic; nfa_initials_buckets_PLB_MWrBTerm: in vl_logic; sample_buffer_MPLB_Clk: in vl_logic; sample_buffer_MPLB_Rst: in vl_logic; sample_buffer_M_request: out vl_logic; sample_buffer_M_priority: out vl_logic_vector(0 to 1); sample_buffer_M_busLock: out vl_logic; sample_buffer_M_RNW: out vl_logic; sample_buffer_M_BE: out vl_logic_vector(0 to 7); sample_buffer_M_MSize: out vl_logic_vector(0 to 1); sample_buffer_M_size: out vl_logic_vector(0 to 3); sample_buffer_M_type: out vl_logic_vector(0 to 2); sample_buffer_M_TAttribute: out vl_logic_vector(0 to 15); sample_buffer_M_lockErr: out vl_logic; sample_buffer_M_abort: out vl_logic; sample_buffer_M_UABus: out vl_logic_vector(0 to 31); sample_buffer_M_ABus: out vl_logic_vector(0 to 31); sample_buffer_M_wrDBus: out vl_logic_vector(0 to 63); sample_buffer_M_wrBurst: out vl_logic; sample_buffer_M_rdBurst: out vl_logic; sample_buffer_PLB_MAddrAck: in vl_logic; sample_buffer_PLB_MSSize: in vl_logic_vector(0 to 1); sample_buffer_PLB_MRearbitrate: in vl_logic; sample_buffer_PLB_MTimeout: in vl_logic; sample_buffer_PLB_MBusy: in vl_logic; sample_buffer_PLB_MRdErr: in vl_logic; sample_buffer_PLB_MWrErr: in vl_logic; sample_buffer_PLB_MIRQ: in vl_logic; sample_buffer_PLB_MRdDBus: in vl_logic_vector(0 to 63); sample_buffer_PLB_MRdWdAddr: in vl_logic_vector(0 to 3); sample_buffer_PLB_MRdDAck: in vl_logic; sample_buffer_PLB_MRdBTerm: in vl_logic; sample_buffer_PLB_MWrDAck: in vl_logic; sample_buffer_PLB_MWrBTerm: in vl_logic; splb_slv0_SPLB_Clk: in vl_logic; splb_slv0_SPLB_Rst: in vl_logic; splb_slv0_PLB_ABus: in vl_logic_vector(0 to 31); splb_slv0_PLB_UABus: in vl_logic_vector(0 to 31); splb_slv0_PLB_PAValid: in vl_logic; splb_slv0_PLB_SAValid: in vl_logic; splb_slv0_PLB_rdPrim: in vl_logic; splb_slv0_PLB_wrPrim: in vl_logic; splb_slv0_PLB_masterID: in vl_logic_vector(0 to 2); splb_slv0_PLB_abort: in vl_logic; splb_slv0_PLB_busLock: in vl_logic; splb_slv0_PLB_RNW: in vl_logic; splb_slv0_PLB_BE: in vl_logic_vector(0 to 7); splb_slv0_PLB_MSize: in vl_logic_vector(0 to 1); splb_slv0_PLB_size: in vl_logic_vector(0 to 3); splb_slv0_PLB_type: in vl_logic_vector(0 to 2); splb_slv0_PLB_lockErr: in vl_logic; splb_slv0_PLB_wrDBus: in vl_logic_vector(0 to 63); splb_slv0_PLB_wrBurst: in vl_logic; splb_slv0_PLB_rdBurst: in vl_logic; splb_slv0_PLB_wrPendReq: in vl_logic; splb_slv0_PLB_rdPendReq: in vl_logic; splb_slv0_PLB_wrPendPri: in vl_logic_vector(0 to 1); splb_slv0_PLB_rdPendPri: in vl_logic_vector(0 to 1); splb_slv0_PLB_reqPri: in vl_logic_vector(0 to 1); splb_slv0_PLB_TAttribute: in vl_logic_vector(0 to 15); splb_slv0_Sl_addrAck: out vl_logic; splb_slv0_Sl_SSize: out vl_logic_vector(0 to 1); splb_slv0_Sl_wait: out vl_logic; splb_slv0_Sl_rearbitrate: out vl_logic; splb_slv0_Sl_wrDAck: out vl_logic; splb_slv0_Sl_wrComp: out vl_logic; splb_slv0_Sl_wrBTerm: out vl_logic; splb_slv0_Sl_rdDBus: out vl_logic_vector(0 to 63); splb_slv0_Sl_rdWdAddr: out vl_logic_vector(0 to 3); splb_slv0_Sl_rdDAck: out vl_logic; splb_slv0_Sl_rdComp: out vl_logic; splb_slv0_Sl_rdBTerm: out vl_logic; splb_slv0_Sl_MBusy: out vl_logic_vector(0 to 6); splb_slv0_Sl_MWrErr: out vl_logic_vector(0 to 6); splb_slv0_Sl_MRdErr: out vl_logic_vector(0 to 6); splb_slv0_Sl_MIRQ: out vl_logic_vector(0 to 6) ); end system_nfa_accept_samples_generic_hw_top_0_wrapper;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/impl/vhdl/nfa_accept_samples_generic_hw_add_6ns_6ns_6_2.vhd
3
7081
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(5 downto 0); b: in std_logic_vector(5 downto 0); s: out std_logic_vector(5 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(5 downto 0); signal b_reg : std_logic_vector(5 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(2 downto 0); signal b0_cb : std_logic_vector(2 downto 0); signal a1_cb : std_logic_vector(5 downto 3); signal b1_cb : std_logic_vector(5 downto 3); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; -- wires for each full adder sum signal fas : std_logic_vector(5 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal s0_ca_rego0 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(5 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(2 downto 0); b0_cb <= b_reg(2 downto 0); a1_cb <= a_reg(5 downto 3); b1_cb <= b_reg(5 downto 3); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(2 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(5 downto 3), facout => faccout1_co1); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(2 downto 0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(2 downto 0) <= s0_ca_rego0(0); s_tmp(5 downto 3) <= fas(5 downto 3); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/simulation/behavioral/nfa_accept_samples_generic_hw_top_v1_01_a/nfa_get_finals/_primary.vhd
1
2755
library verilog; use verilog.vl_types.all; entity nfa_get_finals is generic( ap_const_logic_1: vl_logic := Hi1; ap_const_logic_0: vl_logic := Hi0; ap_ST_pp0_stg0_fsm_0: vl_logic_vector(0 to 1) := (Hi1, Hi0); ap_ST_pp0_stg1_fsm_1: vl_logic_vector(0 to 1) := (Hi0, Hi0); ap_ST_pp0_stg2_fsm_2: vl_logic_vector(0 to 1) := (Hi0, Hi1); ap_ST_pp0_stg3_fsm_3: vl_logic_vector(0 to 1) := (Hi1, Hi1); ap_const_lv64_1 : vl_logic_vector(0 to 63) := (Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi1); ap_const_lv32_0 : integer := 0; ap_const_lv32_1 : integer := 1; ap_true : vl_logic := Hi1 ); port( ap_clk : in vl_logic; ap_rst : in vl_logic; ap_start : in vl_logic; ap_done : out vl_logic; ap_idle : out vl_logic; ap_ready : out vl_logic; ap_ce : in vl_logic; nfa_finals_buckets_req_din: out vl_logic; nfa_finals_buckets_req_full_n: in vl_logic; nfa_finals_buckets_req_write: out vl_logic; nfa_finals_buckets_rsp_empty_n: in vl_logic; nfa_finals_buckets_rsp_read: out vl_logic; nfa_finals_buckets_address: out vl_logic_vector(31 downto 0); nfa_finals_buckets_datain: in vl_logic_vector(31 downto 0); nfa_finals_buckets_dataout: out vl_logic_vector(31 downto 0); nfa_finals_buckets_size: out vl_logic_vector(31 downto 0); ap_return_0 : out vl_logic_vector(31 downto 0); ap_return_1 : out vl_logic_vector(31 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of ap_const_logic_1 : constant is 1; attribute mti_svvh_generic_type of ap_const_logic_0 : constant is 1; attribute mti_svvh_generic_type of ap_ST_pp0_stg0_fsm_0 : constant is 1; attribute mti_svvh_generic_type of ap_ST_pp0_stg1_fsm_1 : constant is 1; attribute mti_svvh_generic_type of ap_ST_pp0_stg2_fsm_2 : constant is 1; attribute mti_svvh_generic_type of ap_ST_pp0_stg3_fsm_3 : constant is 1; attribute mti_svvh_generic_type of ap_const_lv64_1 : constant is 1; attribute mti_svvh_generic_type of ap_const_lv32_0 : constant is 1; attribute mti_svvh_generic_type of ap_const_lv32_1 : constant is 1; attribute mti_svvh_generic_type of ap_true : constant is 1; end nfa_get_finals;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_pcie/simulation/behavioral/system_xps_intc_0_wrapper.vhd
1
6997
------------------------------------------------------------------------------- -- system_xps_intc_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library xps_intc_v2_01_a; use xps_intc_v2_01_a.all; entity system_xps_intc_0_wrapper is port ( SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_masterID : in std_logic_vector(0 to 2); PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to 7); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_wrDBus : in std_logic_vector(0 to 63); PLB_UABus : in std_logic_vector(0 to 31); PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_MSize : in std_logic_vector(0 to 1); PLB_lockErr : in std_logic; PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_rdDBus : out std_logic_vector(0 to 63); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_MBusy : out std_logic_vector(0 to 5); Sl_MWrErr : out std_logic_vector(0 to 5); Sl_MRdErr : out std_logic_vector(0 to 5); Sl_wrBTerm : out std_logic; Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdBTerm : out std_logic; Sl_MIRQ : out std_logic_vector(0 to 5); Intr : in std_logic_vector(1 downto 0); Irq : out std_logic ); end system_xps_intc_0_wrapper; architecture STRUCTURE of system_xps_intc_0_wrapper is component xps_intc is generic ( C_FAMILY : STRING; C_BASEADDR : std_logic_vector(0 to 31); C_HIGHADDR : std_logic_vector(0 to 31); C_SPLB_AWIDTH : INTEGER; C_SPLB_DWIDTH : INTEGER; C_SPLB_P2P : INTEGER; C_SPLB_NUM_MASTERS : INTEGER; C_SPLB_MID_WIDTH : INTEGER; C_SPLB_NATIVE_DWIDTH : INTEGER; C_SPLB_SUPPORT_BURSTS : INTEGER; C_NUM_INTR_INPUTS : INTEGER; C_KIND_OF_INTR : std_logic_vector(31 downto 0); C_KIND_OF_EDGE : std_logic_vector(31 downto 0); C_KIND_OF_LVL : std_logic_vector(31 downto 0); C_HAS_IPR : INTEGER; C_HAS_SIE : INTEGER; C_HAS_CIE : INTEGER; C_HAS_IVR : INTEGER; C_IRQ_IS_LEVEL : INTEGER; C_IRQ_ACTIVE : std_logic ); port ( SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_masterID : in std_logic_vector(0 to (C_SPLB_MID_WIDTH-1)); PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1)); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1)); PLB_UABus : in std_logic_vector(0 to 31); PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_MSize : in std_logic_vector(0 to 1); PLB_lockErr : in std_logic; PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1)); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_wrBTerm : out std_logic; Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdBTerm : out std_logic; Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Intr : in std_logic_vector((C_NUM_INTR_INPUTS-1) downto 0); Irq : out std_logic ); end component; begin xps_intc_0 : xps_intc generic map ( C_FAMILY => "virtex5", C_BASEADDR => X"81800000", C_HIGHADDR => X"8180ffff", C_SPLB_AWIDTH => 32, C_SPLB_DWIDTH => 64, C_SPLB_P2P => 0, C_SPLB_NUM_MASTERS => 6, C_SPLB_MID_WIDTH => 3, C_SPLB_NATIVE_DWIDTH => 32, C_SPLB_SUPPORT_BURSTS => 0, C_NUM_INTR_INPUTS => 2, C_KIND_OF_INTR => B"11111111111111111111111111111100", C_KIND_OF_EDGE => B"11111111111111111111111111111111", C_KIND_OF_LVL => B"11111111111111111111111111111111", C_HAS_IPR => 1, C_HAS_SIE => 1, C_HAS_CIE => 1, C_HAS_IVR => 1, C_IRQ_IS_LEVEL => 1, C_IRQ_ACTIVE => '1' ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_PAValid => PLB_PAValid, PLB_masterID => PLB_masterID, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_size => PLB_size, PLB_type => PLB_type, PLB_wrDBus => PLB_wrDBus, PLB_UABus => PLB_UABus, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_MSize => PLB_MSize, PLB_lockErr => PLB_lockErr, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_rdDBus => Sl_rdDBus, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_wrBTerm => Sl_wrBTerm, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdBTerm => Sl_rdBTerm, Sl_MIRQ => Sl_MIRQ, Intr => Intr, Irq => Irq ); end architecture STRUCTURE;
lgpl-3.0
lerwys/GitTest
hdl/modules/wb_un_cross/cross_uncross_core/un_cross_top.vhd
1
7329
------------------------------------------------------------------------------ -- Title : Cross and Uncross Top Entity ------------------------------------------------------------------------------ -- Author : José Alvim Berkenbrock -- Company : CNPEM LNLS-DAC-DIG -- Platform : FPGA-generic ------------------------------------------------------------------------------- -- Description: This design is the top which put together all cores involved -- in cross and uncross operation in channel pairs. ------------------------------------------------------------------------------- -- Copyright (c) 2013 CNPEM -- Licensed under GNU Lesser General Public License (LGPL) v3.0 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2013-04-09 1.0 jose.berkenbrock Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity un_cross_top is generic( g_delay_vec_width : natural range 0 to 16 := 16; g_swap_div_freq_vec_width : natural range 0 to 16 := 16 ); port( -- Commom signals clk_i : in std_logic; rst_n_i : in std_logic; -- inv_chs_top core signal const_aa_i : in std_logic_vector(15 downto 0); const_bb_i : in std_logic_vector(15 downto 0); const_cc_i : in std_logic_vector(15 downto 0); const_dd_i : in std_logic_vector(15 downto 0); const_ac_i : in std_logic_vector(15 downto 0); const_bd_i : in std_logic_vector(15 downto 0); const_ca_i : in std_logic_vector(15 downto 0); const_db_i : in std_logic_vector(15 downto 0); delay1_i : in std_logic_vector(g_delay_vec_width-1 downto 0); delay2_i : in std_logic_vector(g_delay_vec_width-1 downto 0); flag1_o : out std_logic; flag2_o : out std_logic; -- Input from ADC FMC board cha_i : in std_logic_vector(15 downto 0); chb_i : in std_logic_vector(15 downto 0); chc_i : in std_logic_vector(15 downto 0); chd_i : in std_logic_vector(15 downto 0); -- Output to data processing level cha_o : out std_logic_vector(15 downto 0); chb_o : out std_logic_vector(15 downto 0); chc_o : out std_logic_vector(15 downto 0); chd_o : out std_logic_vector(15 downto 0); -- Swap clock for RFFE clk_swap_o : out std_logic; clk_swap_en_i : in std_logic; -- swap_cnt_top signal mode1_i : in std_logic_vector(1 downto 0); mode2_i : in std_logic_vector(1 downto 0); swap_div_f_i : in std_logic_vector(g_swap_div_freq_vec_width-1 downto 0); ext_clk_i : in std_logic; ext_clk_en_i : in std_logic; -- Output to RFFE board ctrl1_o : out std_logic_vector(7 downto 0); ctrl2_o : out std_logic_vector(7 downto 0) ); end un_cross_top; architecture rtl of un_cross_top is signal status1 : std_logic; signal status2 : std_logic; ------------------------------------------------------- -- components declaration ------------------------------------------------------- component swap_cnt_top generic( g_swap_div_freq_vec_width : natural range 0 to 16 := g_swap_div_freq_vec_width ); port( clk_i : in std_logic; rst_n_i : in std_logic; mode1_i : in std_logic_vector(1 downto 0); mode2_i : in std_logic_vector(1 downto 0); swap_div_f_i : in std_logic_vector(g_swap_div_freq_vec_width-1 downto 0); ext_clk_i : in std_logic; ext_clk_en_i : in std_logic; clk_swap_o : out std_logic; clk_swap_en_i : in std_logic; status1_o : out std_logic; status2_o : out std_logic; ctrl1_o : out std_logic_vector(7 downto 0); ctrl2_o : out std_logic_vector(7 downto 0) ); end component; component inv_chs_top generic( g_delay_vec_width : natural range 0 to 16 := g_delay_vec_width ); port( clk_i : in std_logic; rst_n_i : in std_logic; const_aa_i : in std_logic_vector(15 downto 0); const_bb_i : in std_logic_vector(15 downto 0); const_cc_i : in std_logic_vector(15 downto 0); const_dd_i : in std_logic_vector(15 downto 0); const_ac_i : in std_logic_vector(15 downto 0); const_bd_i : in std_logic_vector(15 downto 0); const_ca_i : in std_logic_vector(15 downto 0); const_db_i : in std_logic_vector(15 downto 0); delay1_i : in std_logic_vector(g_delay_vec_width-1 downto 0); delay2_i : in std_logic_vector(g_delay_vec_width-1 downto 0); status1_i : in std_logic; status2_i : in std_logic; status_en_i : in std_logic; flag1_o : out std_logic; flag2_o : out std_logic; cha_i : in std_logic_vector(15 downto 0); chb_i : in std_logic_vector(15 downto 0); chc_i : in std_logic_vector(15 downto 0); chd_i : in std_logic_vector(15 downto 0); cha_o : out std_logic_vector(15 downto 0); chb_o : out std_logic_vector(15 downto 0); chc_o : out std_logic_vector(15 downto 0); chd_o : out std_logic_vector(15 downto 0)); end component; begin ------------------------------------------------------- -- components instantiation ------------------------------------------------------- cross_component: swap_cnt_top generic map ( g_swap_div_freq_vec_width => g_swap_div_freq_vec_width ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, mode1_i => mode1_i, mode2_i => mode2_i, swap_div_f_i => swap_div_f_i, ext_clk_i => ext_clk_i, ext_clk_en_i => ext_clk_en_i, clk_swap_o => clk_swap_o, clk_swap_en_i => clk_swap_en_i, status1_o => status1, status2_o => status2, ctrl1_o => ctrl1_o, ctrl2_o => ctrl2_o ); uncross_component: inv_chs_top generic map ( g_delay_vec_width => g_delay_vec_width ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, const_aa_i => const_aa_i, const_bb_i => const_bb_i, const_cc_i => const_cc_i, const_dd_i => const_dd_i, const_ac_i => const_ac_i, const_bd_i => const_bd_i, const_ca_i => const_ca_i, const_db_i => const_db_i, delay1_i => delay1_i, delay2_i => delay2_i, status1_i => status1, status2_i => status2, status_en_i => clk_swap_en_i, --output for debugging flag1_o => flag1_o, flag2_o => flag2_o, cha_i => cha_i, chb_i => chb_i, chc_i => chc_i, chd_i => chd_i, cha_o => cha_o, chb_o => chb_o, chc_o => chc_o, chd_o => chd_o ); end;
lgpl-3.0
lerwys/GitTest
hdl/modules/position_calc/generated/virtex6/fr_cmplr_v6_3_eb3f5e21c238e176.vhd
1
6993
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file fr_cmplr_v6_3_eb3f5e21c238e176.vhd when simulating -- the core, fr_cmplr_v6_3_eb3f5e21c238e176. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY fr_cmplr_v6_3_eb3f5e21c238e176 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); event_s_data_chanid_incorrect : OUT STD_LOGIC ); END fr_cmplr_v6_3_eb3f5e21c238e176; ARCHITECTURE fr_cmplr_v6_3_eb3f5e21c238e176_a OF fr_cmplr_v6_3_eb3f5e21c238e176 IS -- synthesis translate_off COMPONENT wrapped_fr_cmplr_v6_3_eb3f5e21c238e176 PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); event_s_data_chanid_incorrect : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_fr_cmplr_v6_3_eb3f5e21c238e176 USE ENTITY XilinxCoreLib.fir_compiler_v6_3(behavioral) GENERIC MAP ( c_accum_op_path_widths => "45,45", c_accum_path_widths => "45,45", c_channel_pattern => "fixed", c_coef_file => "fr_cmplr_v6_3_eb3f5e21c238e176.mif", c_coef_file_lines => 140, c_coef_mem_packing => 0, c_coef_memtype => 2, c_coef_path_sign => "0,0", c_coef_path_src => "0,0", c_coef_path_widths => "16,16", c_coef_reload => 0, c_coef_width => 16, c_col_config => "4", c_col_mode => 1, c_col_pipe_len => 4, c_component_name => "fr_cmplr_v6_3_eb3f5e21c238e176", c_config_packet_size => 0, c_config_sync_mode => 0, c_config_tdata_width => 1, c_data_has_tlast => 0, c_data_mem_packing => 1, c_data_memtype => 1, c_data_path_sign => "0,0", c_data_path_src => "0,1", c_data_path_widths => "24,24", c_data_width => 24, c_datapath_memtype => 1, c_decim_rate => 35, c_ext_mult_cnfg => "none", c_filter_type => 1, c_filts_packed => 0, c_has_aclken => 1, c_has_aresetn => 0, c_has_config_channel => 0, c_input_rate => 1, c_interp_rate => 1, c_ipbuff_memtype => 0, c_latency => 12, c_m_data_has_tready => 0, c_m_data_has_tuser => 1, c_m_data_tdata_width => 64, c_m_data_tuser_width => 1, c_mem_arrangement => 1, c_num_channels => 2, c_num_filts => 1, c_num_madds => 4, c_num_reload_slots => 1, c_num_taps => 248, c_opbuff_memtype => 0, c_opt_madds => "none", c_optimization => 0, c_output_path_widths => "25,25", c_output_rate => 35, c_output_width => 25, c_oversampling_rate => 1, c_reload_tdata_width => 1, c_round_mode => 4, c_s_data_has_fifo => 0, c_s_data_has_tuser => 1, c_s_data_tdata_width => 48, c_s_data_tuser_width => 1, c_symmetry => 1, c_xdevicefamily => "virtex6", c_zero_packing_factor => 1 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fr_cmplr_v6_3_eb3f5e21c238e176 PORT MAP ( aclk => aclk, aclken => aclken, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tuser => s_axis_data_tuser, s_axis_data_tdata => s_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tdata => m_axis_data_tdata, event_s_data_chanid_incorrect => event_s_data_chanid_incorrect ); -- synthesis translate_on END fr_cmplr_v6_3_eb3f5e21c238e176_a;
lgpl-3.0
lerwys/GitTest
hdl/modules/wb_un_cross/cross_uncross_core/dyn_mult_2chs.vhd
1
4712
------------------------------------------------------------------------------ -- Title : Dynamic Multiplication in One Channel Pair ------------------------------------------------------------------------------ -- Author : Jose Alvim Berkenbrock -- Company : CNPEM LNLS-DAC-DIG -- Platform : FPGA-generic ------------------------------------------------------------------------------- -- Description: This design does what we call, dynamic multiplication. It -- means that we have a specific multiplicator for each -- different RF channel took by signal. ------------------------------------------------------------------------------- -- Copyright (c) 2013 CNPEM -- Licensed under GNU Lesser General Public License (LGPL) v3.0 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2013-03-12 1.0 jose.berkenbrock Created -- 2013-03-17 1.1 jose.berkenbrock Output Changed -- 2013-07-01 1.2 lucas.russo Changed to synchronous resets ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity dyn_mult_2chs is port( clk_i : in std_logic; rst_n_i : in std_logic; en_i : in std_logic; const_11_i : in std_logic_vector(15 downto 0); const_22_i : in std_logic_vector(15 downto 0); const_12_i : in std_logic_vector(15 downto 0); const_21_i : in std_logic_vector(15 downto 0); ch1_i : in std_logic_vector(15 downto 0); ch2_i : in std_logic_vector(15 downto 0); ch1_o : out std_logic_vector(15 downto 0); ch2_o : out std_logic_vector(15 downto 0) ); end dyn_mult_2chs; architecture rtl of dyn_mult_2chs is signal en, en_old : std_logic; signal flag : std_logic; signal ch11_mult : std_logic_vector(31 downto 0); signal ch22_mult : std_logic_vector(31 downto 0); signal ch12_mult : std_logic_vector(31 downto 0); signal ch21_mult : std_logic_vector(31 downto 0); ---------------------------------------------------------------- -- Component Declaration ---------------------------------------------------------------- component multiplier_u16x16_DSP port ( clk : in std_logic; a : in std_logic_vector(15 downto 0); b : in std_logic_vector(15 downto 0); p : out std_logic_vector(31 downto 0) ); end component; begin ---------------------------------------------------------------- -- Component instantiation ---------------------------------------------------------------- mult11 : multiplier_u16x16_DSP -- Signal 1 by channel 1 port map ( clk => clk_i, a => ch1_i, b => const_11_i, -- UFIX_16_15 p => ch11_mult ); mult22 : multiplier_u16x16_DSP -- Signal 2 by channel 2 port map ( clk => clk_i, a => ch2_i, b => const_22_i,-- UFIX_16_15 p => ch22_mult ); mult12 : multiplier_u16x16_DSP -- Signal 1 by channel 2 port map ( clk => clk_i, a => ch1_i, b => const_12_i,-- UFIX_16_15 p => ch12_mult ); mult21 : multiplier_u16x16_DSP -- Signal 2 by channel 1 port map ( clk => clk_i, a => ch2_i, b => const_21_i,-- UFIX_16_15 p => ch21_mult ); reg_en_proc: process(clk_i) begin if (rising_edge(clk_i)) then if (rst_n_i = '0') then en <= '0'; en_old <= '0'; else en <= en_i; en_old <= en; end if; end if; end process reg_en_proc; inv_proc: process(clk_i) begin if (rising_edge(clk_i)) then if (rst_n_i = '0') then flag <= '0'; else if ((en = '1') and (en_old = '0')) then flag <= not flag; end if; end if; end if; end process inv_proc; output_proc: process (clk_i) begin if (rising_edge(clk_i)) then if (rst_n_i = '0') then ch1_o <= ch11_mult(31 downto 16); ch2_o <= ch22_mult(31 downto 16); else if (flag = '1') then -- inverted ch1_o <= ch12_mult(31 downto 16); ch2_o <= ch21_mult(31 downto 16); else ch1_o <= ch11_mult(31 downto 16); ch2_o <= ch22_mult(31 downto 16); end if; end if; end if; end process output_proc; end rtl;
lgpl-3.0
fpga-logi/logi-hard
hdl/communication/i2c_master.vhd
2
8283
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:28:10 07/01/2014 -- Design Name: -- Module Name: i2c_master - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values -- -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity i2c_master is generic(i2c_freq_hz : positive := 100_000; clk_freq_hz : positive := 100_000_000); port( clk : in std_logic; reset : in std_logic; slave_addr : in std_logic_vector(6 downto 0 ); data_in : in std_logic_vector(7 downto 0 ); i2c_read : in std_logic; i2c_write : in std_logic; scl : inout std_logic; sda : inout std_logic; data_out : out std_logic_vector(7 downto 0 ); new_data : out std_logic ; ack, nack, busy : out std_logic ); end i2c_master; architecture Behavioral of i2c_master is constant clk_div : positive := ((clk_freq_hz/i2c_freq_hz)/4)-1 ; TYPE master_state IS (IDLE, I2C_START, TX_ADDR, ACK_ADDR, TX_BYTE, RX_BYTE, ACK_BYTE, HOLDING, I2C_STOP) ; signal cur_state, next_state : master_state ; signal modulo_counter : std_logic_vector(15 downto 0); signal end_modulo : std_logic ; signal cycle_counter : std_logic_vector(1 downto 0); signal quarter, half, full : std_logic ; signal transmit_buffer, receive_buffer, addr_buffer : std_logic_vector(7 downto 0); signal bit_counter : std_logic_vector(2 downto 0); signal write_mode : std_logic ; signal sda_unbuf, sda_latched : std_logic ; signal sda_shift_reg : std_logic_vector(5 downto 0); signal is_acked : std_logic ; begin process(clk, reset) begin if reset = '1' then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif clk'event and clk = '1' then if cur_state = IDLE then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif modulo_counter = 0 then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= cycle_counter + 1; else modulo_counter <= modulo_counter - 1 ; end if ; end if ; end process ; end_modulo <= '1' when modulo_counter = 0 else '0' ; quarter <= '1' when cycle_counter = 1 else '1' when cycle_counter = 3 else '0' ; half <= '1' when cycle_counter = 2 else '0' ; full <= '1' when cycle_counter = 3 and end_modulo = '1' else '0' ; process(clk, reset) begin if reset = '1' then cur_state <= IDLE ; elsif clk'event and clk = '1' then cur_state <= next_state ; end if ; end process ; process(cur_state, bit_counter, write_mode, cycle_counter, end_modulo, quarter, half, full, i2c_write, i2c_read, sda) begin next_state <= cur_state ; case (cur_state) is when IDLE => if i2c_write = '1' then next_state <= I2C_START ; elsif i2c_read = '1' then next_state <= I2C_START ; end if ; when I2C_START => if full = '1' then next_state <= TX_ADDR ; end if ; when TX_ADDR => if full = '1' and bit_counter = 7 then next_state <= ACK_ADDR ; end if ; when ACK_ADDR => if full = '1' and is_acked = '1' and write_mode = '1' then next_state <= TX_BYTE ; elsif full = '1' and is_acked = '1' and write_mode = '0' then next_state <= RX_BYTE ; elsif full = '1' and is_acked = '0' then next_state <= I2C_STOP ; end if ; when TX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when RX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when ACK_BYTE => if full = '1' and i2c_write = '1' and is_acked = '1' then -- next_state <= TX_BYTE ; elsif full = '1' and i2c_read = '1' then next_state <= RX_BYTE ; elsif full = '1' then next_state <= I2C_STOP ; end if ; when I2C_STOP => if full = '1' then next_state <= IDLE ; end if ; when others => end case ; end process ; scl <= 'Z' when cur_state = I2C_START and cycle_counter < 2 else '0' when cur_state = I2C_START and cycle_counter >= 2 else 'Z' when cur_state = IDLE else '0' when cycle_counter < 2 else 'Z' ; sda_unbuf <= '0' when cur_state = I2C_START else '0' when cur_state = I2C_STOP and cycle_counter <= 2 else '1' when cur_state = I2C_STOP and cycle_counter > 2 else -- need to make sure its enough ... '1' when cur_state = IDLE else '1' when cur_state = TX_ADDR and addr_buffer(7) = '1' else '0' when cur_state = TX_ADDR and addr_buffer(7) = '0' else '1' when cur_state = TX_BYTE and transmit_buffer(7) = '1' else '0' when cur_state = TX_BYTE and transmit_buffer(7) = '0' else '0' when cur_state = ACK_BYTE and write_mode = '0' and i2c_read = '1' else '1' ; process(clk, reset) begin if reset = '1' then sda_shift_reg <= (others => '1'); elsif clk'event and clk = '1' then sda_shift_reg(0) <= sda_unbuf ; sda_shift_reg(sda_shift_reg'high downto 1) <= sda_shift_reg(sda_shift_reg'high-1 downto 0); end if ; end process ; sda <= 'Z' when cur_state = ACK_BYTE and write_mode = '1' else '0' when sda_shift_reg(sda_shift_reg'high) = '0' else 'Z' ; process(clk, reset) begin if reset = '1' then is_acked <= '0' ; elsif clk'event and clk = '1' then if (cur_state = ACK_BYTE or cur_state = ACK_ADDR ) and sda = '0' then is_acked <= '1' ; elsif cur_state /= ACK_BYTE then is_acked <= '0' ; end if ; end if ; end process ; ack <= '1' when cur_state = ACK_BYTE and is_acked = '1' and full = '1' else '1' when cur_state = ACK_BYTE and next_state = RX_BYTE else '1' when cur_state = ACK_ADDR and is_acked = '1' and full = '1' else '0' ; nack <= '1' when cur_state = ACK_BYTE and write_mode = '1' and full='1' and is_acked = '0' else '1' when cur_state = ACK_ADDR and full='1' and is_acked = '0' else '0' ; busy <= '0' when cur_state = IDLE else '1' ; new_data <= '1' when cur_state = ACK_BYTE and write_mode = '0' else '0' ; process(clk, reset) begin if reset = '1' then transmit_buffer <= (others => '0') ; receive_buffer <= (others => '0') ; addr_buffer <= (others => '0') ; bit_counter <= (others => '0') ; elsif clk'event and clk = '1' then if (cur_state = IDLE and i2c_write = '1') or i2c_read = '1' then addr_buffer <= slave_addr & i2c_read ; elsif cur_state = TX_ADDR and full = '1' then addr_buffer(7 downto 1) <= addr_buffer(6 downto 0); end if; if cur_state = IDLE and i2c_write = '1' then transmit_buffer <= data_in ; elsif cur_state = TX_BYTE and full = '1' then transmit_buffer(7 downto 1) <= transmit_buffer(6 downto 0); transmit_buffer(0) <= '0' ; elsif cur_state = ACK_BYTE and i2c_write = '1' and is_acked = '1' then transmit_buffer <= data_in; end if; if cur_state = IDLE then receive_buffer <= (others => '0') ; elsif cur_state = RX_BYTE and half = '1' then receive_buffer(7 downto 1) <= receive_buffer(6 downto 0); receive_buffer(0) <= sda ; end if; if cur_state = IDLE or cur_state = ACK_BYTE or cur_state = ACK_ADDR then bit_counter <= (others => '0') ; elsif (cur_state = TX_ADDR or cur_state = TX_BYTE) and full = '1' then bit_counter <= bit_counter + 1 ; end if; end if ; end process ; process(clk, reset) begin if reset = '1' then write_mode <= '0' ; elsif clk'event and clk = '1' then if cur_state = IDLE and i2c_write = '1' then write_mode <= '1' ; elsif cur_state = I2C_STOP then write_mode <= '0' ; end if; end if ; end process ; end Behavioral;
lgpl-3.0
fpga-logi/logi-hard
test_bench/spi_wishbone_wrapper_tb.vhd
1
4713
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:10:51 11/21/2014 -- Design Name: -- Module Name: /home/jpiat/development/FPGA/logi-family/logi-hard/test_bench/spi_wishbone_wrapper_tb.vhd -- Project Name: logipi_wishbone -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: spi_wishbone_wrapper -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY spi_wishbone_wrapper_tb IS END spi_wishbone_wrapper_tb; ARCHITECTURE behavior OF spi_wishbone_wrapper_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT spi_wishbone_wrapper PORT( mosi : IN std_logic; ss : IN std_logic; sck : IN std_logic; miso : OUT std_logic; gls_reset : IN std_logic; gls_clk : IN std_logic; wbm_address : OUT std_logic_vector(15 downto 0); wbm_readdata : IN std_logic_vector(15 downto 0); wbm_writedata : OUT std_logic_vector(15 downto 0); wbm_strobe : OUT std_logic; wbm_write : OUT std_logic; wbm_ack : IN std_logic; wbm_cycle : OUT std_logic ); END COMPONENT; --Inputs signal mosi : std_logic := '0'; signal ss : std_logic := '0'; signal sck : std_logic := '0'; signal gls_reset : std_logic := '0'; signal gls_clk : std_logic := '0'; signal wbm_readdata : std_logic_vector(15 downto 0) := (others => '0'); signal wbm_ack : std_logic := '0'; --Outputs signal miso : std_logic; signal wbm_address : std_logic_vector(15 downto 0); signal wbm_writedata : std_logic_vector(15 downto 0); signal wbm_strobe : std_logic; signal wbm_write : std_logic; signal wbm_cycle : std_logic; -- Clock period definitions constant gls_clk_period : time := 10 ns; constant sck_period : time := 100 ns; constant wr_conf : std_logic_vector(15 downto 0) := X"AA50"; constant rd_conf : std_logic_vector(15 downto 0) := X"AA53"; constant data_wr : std_logic_vector(15 downto 0) := X"BB57"; BEGIN -- Instantiate the Unit Under Test (UUT) uut: spi_wishbone_wrapper PORT MAP ( mosi => mosi, ss => ss, sck => sck, miso => miso, gls_reset => gls_reset, gls_clk => gls_clk, wbm_address => wbm_address, wbm_readdata => wbm_readdata, wbm_writedata => wbm_writedata, wbm_strobe => wbm_strobe, wbm_write => wbm_write, wbm_ack => wbm_ack, wbm_cycle => wbm_cycle ); -- Clock process definitions gls_clk_process :process begin gls_clk <= '0'; wait for gls_clk_period/2; gls_clk <= '1'; wait for gls_clk_period/2; end process; process(gls_reset, gls_clk) begin if gls_reset = '1' then wbm_readdata <= (others => '0'); wbm_ack <= '0' ; elsif gls_clk'event and gls_clk = '1' then if wbm_strobe = '1' and wbm_cycle = '1' then wbm_readdata <= wbm_address ; wbm_ack <= '1' ; else wbm_ack <= '0' ; end if ; end if ; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. gls_reset <= '1' ; ss <= '1' ; sck <= '0' ; wait for 100 ns; gls_reset <= '0' ; wait for gls_clk_period*10; ss <= '0' ; wait for sck_period; loop_read_addr: FOR a IN 0 TO 15 LOOP -- la variable de boucle est a de 1 à 10 sck <= '0' ; mosi <= rd_conf(15 - a) ; WAIT FOR sck_period/2; -- attend la valeur de pulse_time sck <= '1' ; -- complémente clk1 WAIT FOR sck_period/2; END LOOP loop_read_addr; loop_read_data: FOR a IN 0 TO 64 LOOP -- la variable de boucle est a de 1 à 10 sck <= '0' ; mosi <= '1' ; WAIT FOR sck_period/2; -- attend la valeur de pulse_time sck <= '1' ; -- complémente clk1 WAIT FOR sck_period/2; END LOOP loop_read_data; sck <= '0' ; wait for sck_period; ss <= '1' ; wait; end process; END;
lgpl-3.0
fpga-logi/logi-hard
hdl/utils/small_fifo.vhd
2
2306
---------------------------------------------------------------------------------- -- Company:LAAS-CNRS -- Author:Jonathan Piat <[email protected]> -- -- Create Date: 15:31:55 03/22/2013 -- Design Name: -- Module Name: smal_stack - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity small_fifo is generic( WIDTH : positive := 8 ; DEPTH : positive := 8; THRESHOLD : positive := 4); port(clk, resetn : in std_logic ; push, pop : in std_logic ; full, empty, limit : out std_logic ; data_in : in std_logic_vector( WIDTH-1 downto 0); data_out : out std_logic_vector(WIDTH-1 downto 0) ); end small_fifo; architecture Behavioral of small_fifo is type mem_array is array(0 to DEPTH-1) of std_logic_vector(WIDTH-1 downto 0); signal fifo : mem_array ; signal rd_ptr, wr_ptr : integer range 0 to DEPTH-1 ; signal full_t, empty_t : std_logic ; signal nb_available : integer range 0 to DEPTH-1 ; begin process(clk, resetn) begin if resetn = '0' then rd_ptr <= 0 ; wr_ptr <= 0 ; nb_available <= 0 ; elsif clk'event and clk = '1' then if push = '1' and full_t = '0' then wr_ptr <= (wr_ptr + 1) ; fifo(wr_ptr) <= data_in ; if pop = '0' then nb_available <= nb_available + 1 ; end if ; end if ; if pop = '1' and empty_t = '0' then rd_ptr <= rd_ptr + 1 ; if push = '0' then nb_available <= nb_available - 1 ; end if ; end if ; end if ; end process ; full_t <= '1' when nb_available = DEPTH-1 else '0' ; empty_t <= '1' when nb_available = 0 else '0' ; data_out <= fifo(rd_ptr) when empty_t = '0' else (others => '0'); limit <= '1' when nb_available >= THRESHOLD else '0' ; empty <= empty_t ; full <= full_t ; end Behavioral;
lgpl-3.0
fpga-logi/logi-hard
hdl/wishbone/wishbone_intercon.vhd
2
3410
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_wishbone_pack.all ; entity wishbone_intercon is generic(memory_map : array_of_addr ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone slave signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector(15 downto 0); wbs_readdata : out std_logic_vector(15 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- Wishbone master signals wbm_address : out array_of_slv16((memory_map'length-1) downto 0) ; wbm_writedata : out array_of_slv16((memory_map'length-1) downto 0); wbm_readdata : in array_of_slv16((memory_map'length-1) downto 0); wbm_strobe : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_cycle : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_write : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_ack : in std_logic_vector((memory_map'length-1) downto 0) ); end wishbone_intercon; architecture Behavioral of wishbone_intercon is signal cs_vector : std_logic_vector(0 to (memory_map'length-1)); signal ack_vector : std_logic_vector(0 to (memory_map'length-1)); begin gen_cs : for i in 0 to (memory_map'length-1) generate cs_vector(i) <= '1' when wbs_address(wbs_address'length-1 downto find_X(memory_map(i))) = memory_map(i)(wbs_address'length-1 downto find_X(memory_map(i))) else '0' ; ack_vector(i) <= wbm_ack(i) and cs_vector(i) ; wbm_address(i)(wbs_address'length-1 downto find_X(memory_map(i))) <= (others => '0') ; wbm_address(i)(find_X(memory_map(i))-1 downto 0) <= wbs_address(find_X(memory_map(i))-1 downto 0) ; wbm_writedata(i) <= wbs_writedata ; wbm_write(i) <= wbs_write and cs_vector(i) ; wbm_strobe(i) <= wbs_strobe and cs_vector(i) ; wbm_cycle(i) <= wbs_cycle and cs_vector(i) ; wbs_readdata <= wbm_readdata(i) when cs_vector(i) = '1' else (others => 'Z') ; end generate ; wbs_ack <= '1' when ack_vector /= 0 else '0' ; wbs_readdata <= wbs_address when cs_vector = 0 else (others => 'Z') ; end Behavioral;
lgpl-3.0
fpga-logi/logi-hard
hdl/wishbone/peripherals/wishbone_7seg4x.vhd
2
5211
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:25:53 12/17/2013 -- Design Name: -- Module Name: -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: 4 sseg slave module. Recieve 4x sseg values that will be used on LOGi-EDU board -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- --------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; library work ; use work.logi_utils_pack.all ; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity wishbone_7seg4x is generic( wb_size : natural := 16; -- Data port size for wishbone clock_freq_hz : natural := 100_000_000; refresh_rate_hz : natural := 100 ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- SSEG to EDU from Host sseg_cathode_out : out std_logic_vector(4 downto 0); -- common cathode sseg_anode_out : out std_logic_vector(7 downto 0) -- sseg anode ); end wishbone_7seg4x; architecture Behavioral of wishbone_7seg4x is constant clk_divider : positive := clock_freq_hz/(refresh_rate_hz*5); --sseg register data signal sseg_edu_regs: slv16_array(0 to 2); signal read_ack : std_logic ; signal write_ack : std_logic ; signal divider_counter : std_logic_vector(nbit(clk_divider)-1 downto 0); signal divider_end : std_logic ; signal cathode_buffer : std_logic_vector(4 downto 0); begin wbs_ack <= read_ack or write_ack; --WBM-WRITE write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then write_ack <= '0'; sseg_edu_regs <= (others => (others => '0')) ; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then sseg_edu_regs(conv_integer(wbs_address(1 downto 0))) <= wbs_writedata; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; --WBM-READ read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then wbs_readdata <= sseg_edu_regs(conv_integer(wbs_address)) ; if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; -- sseg logic process(gls_clk, gls_reset) begin if gls_reset = '1' then divider_counter <= std_logic_vector(to_unsigned(clk_divider, nbit(clk_divider))); elsif gls_clk'event and gls_clk = '1' then if divider_counter = 0 then divider_counter <= std_logic_vector(to_unsigned(clk_divider, nbit(clk_divider))); else divider_counter <= divider_counter - 1 ; end if ; end if ; end process ; divider_end <= '1' when divider_counter = 0 else '0' ; process(gls_clk, gls_reset) begin if gls_reset = '1' then cathode_buffer(0) <= '1' ; cathode_buffer(4 downto 1) <= (others => '0'); elsif gls_clk'event and gls_clk = '1' then if divider_end = '1' then cathode_buffer(4 downto 1) <= cathode_buffer(3 downto 0); cathode_buffer(0) <= cathode_buffer(4); end if ; end if ; end process ; with cathode_buffer select sseg_anode_out <= sseg_edu_regs(0)(7 downto 0) when "00001", sseg_edu_regs(0)(15 downto 8) when "00010", sseg_edu_regs(1)(7 downto 0) when "00100", sseg_edu_regs(1)(15 downto 8) when "01000", sseg_edu_regs(2)(7 downto 0) when "10000", (others => '0') when others ; sseg_cathode_out <= cathode_buffer ; end Behavioral;
lgpl-3.0