content
stringlengths 1
1.04M
⌀ |
---|
library verilog;
use verilog.vl_types.all;
entity cyclic_reg_with_clock is
port(
clk : in vl_logic;
reset : in vl_logic;
hex0 : out vl_logic_vector(7 downto 0);
hex1 : out vl_logic_vector(7 downto 0);
hex2 : out vl_logic_vector(7 downto 0);
hex3 : out vl_logic_vector(7 downto 0);
hex4 : out vl_logic_vector(7 downto 0);
hex5 : out vl_logic_vector(7 downto 0);
hex6 : out vl_logic_vector(7 downto 0);
hex7 : out vl_logic_vector(7 downto 0)
);
end cyclic_reg_with_clock;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1806.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p07n01i01806ent IS
END c07s01b00x00p07n01i01806ent;
ARCHITECTURE c07s01b00x00p07n01i01806arch OF c07s01b00x00p07n01i01806ent IS
signal POS : integer;
signal P1 : integer := 2;
signal P2 : integer := - 1;
BEGIN
TESTING: PROCESS
BEGIN
POS <= P1 and (abs ) after 20 ns; -- Failure_here
assert FALSE
report "***FAILED TEST: c07s01b00x00p07n01i01806 - Missing Primary."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p07n01i01806arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1806.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p07n01i01806ent IS
END c07s01b00x00p07n01i01806ent;
ARCHITECTURE c07s01b00x00p07n01i01806arch OF c07s01b00x00p07n01i01806ent IS
signal POS : integer;
signal P1 : integer := 2;
signal P2 : integer := - 1;
BEGIN
TESTING: PROCESS
BEGIN
POS <= P1 and (abs ) after 20 ns; -- Failure_here
assert FALSE
report "***FAILED TEST: c07s01b00x00p07n01i01806 - Missing Primary."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p07n01i01806arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1806.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p07n01i01806ent IS
END c07s01b00x00p07n01i01806ent;
ARCHITECTURE c07s01b00x00p07n01i01806arch OF c07s01b00x00p07n01i01806ent IS
signal POS : integer;
signal P1 : integer := 2;
signal P2 : integer := - 1;
BEGIN
TESTING: PROCESS
BEGIN
POS <= P1 and (abs ) after 20 ns; -- Failure_here
assert FALSE
report "***FAILED TEST: c07s01b00x00p07n01i01806 - Missing Primary."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p07n01i01806arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2635.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02635ent IS
END c13s03b01x00p02n01i02635ent;
ARCHITECTURE c13s03b01x00p02n01i02635arch OF c13s03b01x00p02n01i02635ent IS
BEGIN
TESTING: PROCESS
variable k<k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02635 - Identifier can not contain '<'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02635arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2635.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02635ent IS
END c13s03b01x00p02n01i02635ent;
ARCHITECTURE c13s03b01x00p02n01i02635arch OF c13s03b01x00p02n01i02635ent IS
BEGIN
TESTING: PROCESS
variable k<k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02635 - Identifier can not contain '<'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02635arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2635.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02635ent IS
END c13s03b01x00p02n01i02635ent;
ARCHITECTURE c13s03b01x00p02n01i02635arch OF c13s03b01x00p02n01i02635ent IS
BEGIN
TESTING: PROCESS
variable k<k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02635 - Identifier can not contain '<'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02635arch;
|
-------------------------------------------------------------------------------
--
-- Title : task32
-- Design : lab2
-- Author : Dark MeFoDy
-- Company : BSUIR
--
-------------------------------------------------------------------------------
--
-- File : task32.vhd
-- Generated : Fri Oct 3 18:49:32 2014
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- Description :
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {task32} architecture {structural}}
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity task32 is
port(
X : in STD_LOGIC;
Y : in STD_LOGIC;
Z : in STD_LOGIC;
F : out STD_LOGIC
);
end task32;
--}} End of automatically maintained section
architecture structural of task32 is
component and2
Port(
A,B:in std_logic;
Z:out std_logic
);
end component;
component and3
Port(
A,B,C:in std_logic;
Z:out std_logic
);
end component;
component or3
Port(
A,B,C:in std_logic;
Z:out std_logic
);
end component;
component or2
Port(
A,B:in std_logic;
Z:out std_logic
);
end component;
component inv
Port(
A:in std_logic;
Z:out std_logic
);
end component;
signal x1,x2,x3,x4,x5,x6:std_logic;
begin
u1: inv port map (x, x1);
u2: inv port map (y, x2);
u3: inv port map (z, x3);
u4: and2 port map (x, z, x4);
u5: and2 port map (x2, z, x5);
u6: and3 port map (x1, y, x3, x6);
u7: or3 port map (x4, x5, x6, f);
end structural;
architecture behavioral of task32 is
begin
f <= (x and z) or (not y and z) or (not x and y and not z);
end behavioral;
|
-------------------------------------------------------------------------------
--
-- Title : task32
-- Design : lab2
-- Author : Dark MeFoDy
-- Company : BSUIR
--
-------------------------------------------------------------------------------
--
-- File : task32.vhd
-- Generated : Fri Oct 3 18:49:32 2014
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- Description :
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {task32} architecture {structural}}
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity task32 is
port(
X : in STD_LOGIC;
Y : in STD_LOGIC;
Z : in STD_LOGIC;
F : out STD_LOGIC
);
end task32;
--}} End of automatically maintained section
architecture structural of task32 is
component and2
Port(
A,B:in std_logic;
Z:out std_logic
);
end component;
component and3
Port(
A,B,C:in std_logic;
Z:out std_logic
);
end component;
component or3
Port(
A,B,C:in std_logic;
Z:out std_logic
);
end component;
component or2
Port(
A,B:in std_logic;
Z:out std_logic
);
end component;
component inv
Port(
A:in std_logic;
Z:out std_logic
);
end component;
signal x1,x2,x3,x4,x5,x6:std_logic;
begin
u1: inv port map (x, x1);
u2: inv port map (y, x2);
u3: inv port map (z, x3);
u4: and2 port map (x, z, x4);
u5: and2 port map (x2, z, x5);
u6: and3 port map (x1, y, x3, x6);
u7: or3 port map (x4, x5, x6, f);
end structural;
architecture behavioral of task32 is
begin
f <= (x and z) or (not y and z) or (not x and y and not z);
end behavioral;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity nfa_get_finals_1 is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
nfa_finals_buckets_req_din : OUT STD_LOGIC;
nfa_finals_buckets_req_full_n : IN STD_LOGIC;
nfa_finals_buckets_req_write : OUT STD_LOGIC;
nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC;
nfa_finals_buckets_rsp_read : OUT STD_LOGIC;
nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0);
nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (63 downto 0);
nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (63 downto 0);
nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0);
ap_ce : IN STD_LOGIC;
finals_buckets_address0 : OUT STD_LOGIC_VECTOR (3 downto 0);
finals_buckets_ce0 : OUT STD_LOGIC;
finals_buckets_we0 : OUT STD_LOGIC;
finals_buckets_d0 : OUT STD_LOGIC_VECTOR (63 downto 0);
tmp_28 : IN STD_LOGIC_VECTOR (4 downto 0) );
end;
architecture behav of nfa_get_finals_1 is
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0";
signal ap_reg_ppiten_pp0_it0 : STD_LOGIC;
signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0';
signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0';
signal tmp_28_read_reg_67 : STD_LOGIC_VECTOR (4 downto 0);
signal ap_reg_ppstg_tmp_28_read_reg_67_pp0_it1 : STD_LOGIC_VECTOR (4 downto 0);
signal ap_reg_ppstg_tmp_28_read_reg_67_pp0_it2 : STD_LOGIC_VECTOR (4 downto 0);
signal nfa_finals_buckets_read_reg_72 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_28_cast_fu_63_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_pprstidle_pp0 : STD_LOGIC;
begin
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it1 assign process. --
ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it1 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it2 assign process. --
ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it2 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1;
end if;
end if;
end if;
end process;
-- ap_reg_ppiten_pp0_it3 assign process. --
ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_reg_ppiten_pp0_it3 <= ap_const_logic_0;
else
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then
ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2;
end if;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
ap_reg_ppstg_tmp_28_read_reg_67_pp0_it1 <= tmp_28_read_reg_67;
ap_reg_ppstg_tmp_28_read_reg_67_pp0_it2 <= ap_reg_ppstg_tmp_28_read_reg_67_pp0_it1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
nfa_finals_buckets_read_reg_72 <= nfa_finals_buckets_datain;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
tmp_28_read_reg_67 <= tmp_28;
end if;
end if;
end process;
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it2 , nfa_finals_buckets_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0)
begin
case ap_CS_fsm is
when ap_ST_pp0_stg0_fsm_0 =>
ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0;
when others =>
ap_NS_fsm <= "X";
end case;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce)
begin
if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_reg_ppiten_pp0_it0 <= ap_start;
-- ap_sig_pprstidle_pp0 assign process. --
ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2)
begin
if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_start))) then
ap_sig_pprstidle_pp0 <= ap_const_logic_1;
else
ap_sig_pprstidle_pp0 <= ap_const_logic_0;
end if;
end process;
finals_buckets_address0 <= tmp_28_cast_fu_63_p1(4 - 1 downto 0);
-- finals_buckets_ce0 assign process. --
finals_buckets_ce0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
finals_buckets_ce0 <= ap_const_logic_1;
else
finals_buckets_ce0 <= ap_const_logic_0;
end if;
end process;
finals_buckets_d0 <= nfa_finals_buckets_read_reg_72;
-- finals_buckets_we0 assign process. --
finals_buckets_we0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce)
begin
if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then
finals_buckets_we0 <= ap_const_logic_1;
else
finals_buckets_we0 <= ap_const_logic_0;
end if;
end process;
nfa_finals_buckets_address <= ap_const_lv32_0;
nfa_finals_buckets_dataout <= ap_const_lv64_0;
nfa_finals_buckets_req_din <= ap_const_logic_0;
-- nfa_finals_buckets_req_write assign process. --
nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
nfa_finals_buckets_req_write <= ap_const_logic_1;
else
nfa_finals_buckets_req_write <= ap_const_logic_0;
end if;
end process;
-- nfa_finals_buckets_rsp_read assign process. --
nfa_finals_buckets_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce)
begin
if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then
nfa_finals_buckets_rsp_read <= ap_const_logic_1;
else
nfa_finals_buckets_rsp_read <= ap_const_logic_0;
end if;
end process;
nfa_finals_buckets_size <= ap_const_lv32_1;
tmp_28_cast_fu_63_p1 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_tmp_28_read_reg_67_pp0_it2),64));
end behav;
|
library IEEE, STD;
use IEEE.std_logic_1164.all;
use STD.textio.all;
--------------------------------------------------------------------------------
package body junit is
----------------------------------------------------------------------------
-- Procedure: JUnit XML Declaration
----------------------------------------------------------------------------
procedure junit_xml_declaration (
variable JUNIT_FILE : in text
) is
variable L : line;
begin
write(L, string'("<?xml version=""1.0"" encoding=""UTF-8"" ?>"));
writeline(JUNIT_FILE, L);
end procedure junit_xml_declaration;
----------------------------------------------------------------------------
-- Procedure: JUnit Start Testsuites
----------------------------------------------------------------------------
procedure junit_start_testsuites (
variable JUNIT_FILE : in text;
ID : in string;
NAME : in string;
TESTS : in natural;
FAILURES : in natural;
RUNTIME : in time
) is
variable L : line;
begin
write(L, string'("<testsuites id="""));
write(L, ID);
write(L, string'(""" name="""));
write(L, NAME);
write(L, string'(""" tests="""));
write(L, TESTS);
write(L, string'(""" failures="""));
write(L, FAILURES);
write(L, string'(""" time="""));
write(L, junit_time(RUNTIME), right, 0, 9);
write(L, string'(""">"));
writeline(JUNIT_FILE, L);
end procedure junit_start_testsuites;
----------------------------------------------------------------------------
-- Procedure: JUnit End Testsuites
----------------------------------------------------------------------------
procedure junit_end_testsuites (variable JUNIT_FILE : in text) is
variable L : line;
begin
write(L, string'("</testsuites>"));
writeline(JUNIT_FILE, L);
end procedure junit_end_testsuites;
----------------------------------------------------------------------------
-- Procedure: JUnit Start Testsuite
----------------------------------------------------------------------------
procedure junit_start_testsuite (
variable JUNIT_FILE : in text;
ID : in string;
NAME : in string;
TESTS : in natural;
FAILURES : in natural;
RUNTIME : in time
) is
variable L : line;
begin
write(L, string'("<testsuite id="""));
write(L, ID);
write(L, string'(""" name="""));
write(L, NAME);
write(L, string'(""" tests="""));
write(L, TESTS);
write(L, string'(""" failures="""));
write(L, FAILURES);
write(L, string'(""" time="""));
write(L, junit_time(RUNTIME), right, 0, 9);
write(L, string'(""">"));
writeline(JUNIT_FILE, L);
end procedure junit_start_testsuite;
----------------------------------------------------------------------------
-- Procedure: JUnit End Testsuite
----------------------------------------------------------------------------
procedure junit_end_testsuite (variable JUNIT_FILE : in text) is
variable L : line;
begin
write(L, string'("</testsuite>"));
writeline(JUNIT_FILE, L);
end procedure junit_end_testsuite;
----------------------------------------------------------------------------
-- Procedure: JUnit Start Testcase
----------------------------------------------------------------------------
procedure junit_start_testcase (
variable JUNIT_FILE : in text;
ID : in string;
NAME : in string;
RUNTIME : in time
) is
variable L : line;
begin
write(L, string'("<testcase id="""));
write(L, ID);
write(L, string'(""" name="""));
write(L, NAME);
write(L, string'(""" time="""));
write(L, junit_time(RUNTIME), right, 0, 9);
write(L, string'(""">"));
writeline(JUNIT_FILE, L);
end procedure junit_start_testcase;
----------------------------------------------------------------------------
-- Procedure: JUnit Testcase
----------------------------------------------------------------------------
procedure junit_testcase (
variable JUNIT_FILE : in text;
ID : in string;
NAME : in string;
RUNTIME : in time
) is
variable L : line;
begin
junit_start_testcase(JUNIT_FILE, ID, NAME, RUNTIME);
junit_end_testcase(JUNIT_FILE);
end procedure junit_testcase;
----------------------------------------------------------------------------
-- Procedure: JUnit End Testcase
----------------------------------------------------------------------------
procedure junit_end_testcase (variable JUNIT_FILE : in text) is
variable L : line;
begin
write(L, string'("</testcase>"));
writeline(JUNIT_FILE, L);
end procedure junit_end_testcase;
----------------------------------------------------------------------------
-- Procedure: JUnit Failure
----------------------------------------------------------------------------
procedure junit_failure (
variable JUNIT_FILE : in text;
MESSAGE : in string;
DETAIL : in string
) is
variable L : line;
begin
write(L, string'("<failure message="""));
write(L, MESSAGE);
write(L, string'(""">"));
write(L, DETAIL);
write(L, string'("</failure>"));
writeline(JUNIT_FILE, L);
end procedure junit_failure;
----------------------------------------------------------------------------
-- Procedure: JUnit Error
----------------------------------------------------------------------------
procedure junit_error (
variable JUNIT_FILE : in text;
MESSAGE : in string;
DETAIL : in string
) is
variable L : line;
begin
write(L, string'("<error message="""));
write(L, MESSAGE);
write(L, string'(""">"));
write(L, DETAIL);
write(L, string'("</failure>"));
writeline(JUNIT_FILE, L);
end procedure junit_error;
----------------------------------------------------------------------------
-- Procedure: JUnit Skipped
----------------------------------------------------------------------------
procedure junit_skipped (
variable JUNIT_FILE : in text
) is
variable L : line;
begin
write(L, string'("<skipped />"));
writeline(JUNIT_FILE, L);
end procedure junit_skipped;
----------------------------------------------------------------------------
-- Function: JUnit Time
----------------------------------------------------------------------------
function junit_time (
RUNTIME : in time
) return real is
begin
return real(RUNTIME/(1 fs)) / 1.0e15;
end function;
end junit; |
-- Btrace 448
-- Input Interface
--
-- Bradley Boccuzzi
-- 2016
library ieee;
use ieee.std_logic_1164.all;
entity inputInterface is
port(clk, rst: in std_logic;
BTNS, BTNU, BTND, BTNL, BTNR: in std_logic; -- Raw inputs from dev. board
BTNSd, BTNUd, BTNDd, BTNLd, BTNRd: out std_logic); -- Debounced/buffered buttons
end inputInterface;
architecture arch of inputInterface is
signal d: std_logic_vector(4 downto 0); -- Debouncer outputs
signal bi, bo: std_logic_vector(0 to 4); -- Vectors mapping to inputs and outputs, used in generation of rising edge detectors and debouncers.
begin
bi <= BTNS & BTNU & BTND & BTNL & BTNR;
BTNSd <= bo(0);
BTNUd <= bo(1);
BTNDd <= bo(2);
BTNLd <= bo(3);
BTNRd <= bo(4);
genInputInterface:
for i in 0 to 4 generate
debx: entity work.debouncer generic map(30, 11) port map (bi(i), clk, rst, d(i));
redx: entity work.red port map(d(i), rst, clk, bo(i));
end generate genInputInterface;
end arch;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_15_regmpr.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.dlx_types.all;
entity reg_multiple_plus_one_out_reset is
generic ( num_outputs : positive;
Tpd : delay_length );
port ( d : in dlx_word;
q0 : out dlx_word;
q : out dlx_word_array(1 to num_outputs);
latch_en : in std_logic;
out_en : in std_logic_vector(1 to num_outputs);
reset : in std_logic );
end entity reg_multiple_plus_one_out_reset;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_15_regmpr.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.dlx_types.all;
entity reg_multiple_plus_one_out_reset is
generic ( num_outputs : positive;
Tpd : delay_length );
port ( d : in dlx_word;
q0 : out dlx_word;
q : out dlx_word_array(1 to num_outputs);
latch_en : in std_logic;
out_en : in std_logic_vector(1 to num_outputs);
reset : in std_logic );
end entity reg_multiple_plus_one_out_reset;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_15_regmpr.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.dlx_types.all;
entity reg_multiple_plus_one_out_reset is
generic ( num_outputs : positive;
Tpd : delay_length );
port ( d : in dlx_word;
q0 : out dlx_word;
q : out dlx_word_array(1 to num_outputs);
latch_en : in std_logic;
out_en : in std_logic_vector(1 to num_outputs);
reset : in std_logic );
end entity reg_multiple_plus_one_out_reset;
|
architecture RTL of FIFO is begin end architecture RTL;
-- This should fail
architecture RTL of FIFO is
signal a : std_logic;
begin end architecture RTL;
-- This should fail
architecture RTL of FIFO is -- Comment
signal a : std_logic;
begin end architecture RTL;
-- This should fail
architecture RTL of FIFO is-- Comment
signal a : std_logic;
begin end architecture RTL;
-- This should not fail
architecture RTL of FIFO is
signal a : std_logic;
begin end architecture RTL;
|
--
-- File Name: VendorCovApiPkg.vhd
-- Design Unit Name: VendorCovApiPkg
-- Revision: STANDARD VERSION
--
-- Maintainer: Jim Lewis email: [email protected]
--
-- Based on work done in package VendorCovApiPkg_Aldec.vhd by:
-- ...
--
--
-- Package Defines
-- A set of foreign procedures that link OSVVM's CoveragePkg
-- coverage model creation and coverage capture with the
-- built-in capability of a simulator.
--
--
-- Revision History: For more details, see CoveragePkg_release_notes.pdf
-- Date Version Description
-- 11/2016 2016.11 Initial revision
-- 01/2020 2020.01 Updated Licenses to Apache
--
--
-- This file is part of OSVVM.
--
-- Copyright (c) 2016 - 2020 by SynthWorks Design Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- https://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
package VendorCovApiPkg is
subtype VendorCovHandleType is integer;
-- Types for how coverage bins are represented. Matches OSVVM types.
type VendorCovRangeType is record
min: integer;
max: integer;
end record;
type VendorCovRangeArrayType is array ( integer range <> ) of VendorCovRangeType;
-- Create Initial Data Structure for Point/Item Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovPointCreate( name: string ) return VendorCovHandleType;
-- Create Initial Data Structure for Cross Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovCrossCreate( name: string ) return VendorCovHandleType;
-- Sets/Updates the name of the Coverage Model.
-- Should not be called until the data structure is created by VendorCovPointCreate or VendorCovCrossCreate.
-- Replaces name that was set by VendorCovPointCreate or VendorCovCrossCreate.
procedure VendorCovSetName( obj: VendorCovHandleType; name: string );
-- Add a bin or set of bins to either a Point/Item or Cross Functional Coverage Model
-- Checking for sizing that is different from original sizing already done in OSVVM CoveragePkg
-- It is important to maintain an index that corresponds to the order the bins were entered as
-- that is used when coverage is recorded.
procedure VendorCovBinAdd( obj: VendorCovHandleType; bins: VendorCovRangeArrayType; Action: integer; atleast: integer; name: string );
-- Increment the coverage of bin identified by index number.
-- Index ranges from 1 to Number of Bins.
-- Index corresponds to the order the bins were entered (starting from 1)
procedure VendorCovBinInc( obj: VendorCovHandleType; index: integer );
end package;
package body VendorCovApiPkg is
-- Create Initial Data Structure for Point/Item Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovPointCreate( name: string ) return VendorCovHandleType is
begin
return 0 ;
end function VendorCovPointCreate ;
-- Create Initial Data Structure for Cross Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovCrossCreate( name: string ) return VendorCovHandleType is
begin
return 0 ;
end function VendorCovCrossCreate ;
-- Sets/Updates the name of the Coverage Model.
-- Should not be called until the data structure is created by VendorCovPointCreate or VendorCovCrossCreate.
-- Replaces name that was set by VendorCovPointCreate or VendorCovCrossCreate.
procedure VendorCovSetName( obj: VendorCovHandleType; name: string ) is
begin
end procedure VendorCovSetName ;
-- Add a bin or set of bins to either a Point/Item or Cross Functional Coverage Model
-- Checking for sizing that is different from original sizing already done in OSVVM CoveragePkg
-- It is important to maintain an index that corresponds to the order the bins were entered as
-- that is used when coverage is recorded.
procedure VendorCovBinAdd( obj: VendorCovHandleType; bins: VendorCovRangeArrayType; Action: integer; atleast: integer; name: string )is
begin
end procedure VendorCovBinAdd ;
-- Increment the coverage of bin identified by index number.
-- Index ranges from 1 to Number of Bins.
-- Index corresponds to the order the bins were entered (starting from 1)
procedure VendorCovBinInc( obj: VendorCovHandleType; index: integer )is
begin
end procedure VendorCovBinInc ;
end package body VendorCovApiPkg ;
|
--
-- File Name: VendorCovApiPkg.vhd
-- Design Unit Name: VendorCovApiPkg
-- Revision: STANDARD VERSION
--
-- Maintainer: Jim Lewis email: [email protected]
--
-- Based on work done in package VendorCovApiPkg_Aldec.vhd by:
-- ...
--
--
-- Package Defines
-- A set of foreign procedures that link OSVVM's CoveragePkg
-- coverage model creation and coverage capture with the
-- built-in capability of a simulator.
--
--
-- Revision History: For more details, see CoveragePkg_release_notes.pdf
-- Date Version Description
-- 11/2016 2016.11 Initial revision
-- 01/2020 2020.01 Updated Licenses to Apache
--
--
-- This file is part of OSVVM.
--
-- Copyright (c) 2016 - 2020 by SynthWorks Design Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- https://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
package VendorCovApiPkg is
subtype VendorCovHandleType is integer;
-- Types for how coverage bins are represented. Matches OSVVM types.
type VendorCovRangeType is record
min: integer;
max: integer;
end record;
type VendorCovRangeArrayType is array ( integer range <> ) of VendorCovRangeType;
-- Create Initial Data Structure for Point/Item Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovPointCreate( name: string ) return VendorCovHandleType;
-- Create Initial Data Structure for Cross Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovCrossCreate( name: string ) return VendorCovHandleType;
-- Sets/Updates the name of the Coverage Model.
-- Should not be called until the data structure is created by VendorCovPointCreate or VendorCovCrossCreate.
-- Replaces name that was set by VendorCovPointCreate or VendorCovCrossCreate.
procedure VendorCovSetName( obj: VendorCovHandleType; name: string );
-- Add a bin or set of bins to either a Point/Item or Cross Functional Coverage Model
-- Checking for sizing that is different from original sizing already done in OSVVM CoveragePkg
-- It is important to maintain an index that corresponds to the order the bins were entered as
-- that is used when coverage is recorded.
procedure VendorCovBinAdd( obj: VendorCovHandleType; bins: VendorCovRangeArrayType; Action: integer; atleast: integer; name: string );
-- Increment the coverage of bin identified by index number.
-- Index ranges from 1 to Number of Bins.
-- Index corresponds to the order the bins were entered (starting from 1)
procedure VendorCovBinInc( obj: VendorCovHandleType; index: integer );
end package;
package body VendorCovApiPkg is
-- Create Initial Data Structure for Point/Item Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovPointCreate( name: string ) return VendorCovHandleType is
begin
return 0 ;
end function VendorCovPointCreate ;
-- Create Initial Data Structure for Cross Functional Coverage Model
-- Sets initial name of the coverage model if available
impure function VendorCovCrossCreate( name: string ) return VendorCovHandleType is
begin
return 0 ;
end function VendorCovCrossCreate ;
-- Sets/Updates the name of the Coverage Model.
-- Should not be called until the data structure is created by VendorCovPointCreate or VendorCovCrossCreate.
-- Replaces name that was set by VendorCovPointCreate or VendorCovCrossCreate.
procedure VendorCovSetName( obj: VendorCovHandleType; name: string ) is
begin
end procedure VendorCovSetName ;
-- Add a bin or set of bins to either a Point/Item or Cross Functional Coverage Model
-- Checking for sizing that is different from original sizing already done in OSVVM CoveragePkg
-- It is important to maintain an index that corresponds to the order the bins were entered as
-- that is used when coverage is recorded.
procedure VendorCovBinAdd( obj: VendorCovHandleType; bins: VendorCovRangeArrayType; Action: integer; atleast: integer; name: string )is
begin
end procedure VendorCovBinAdd ;
-- Increment the coverage of bin identified by index number.
-- Index ranges from 1 to Number of Bins.
-- Index corresponds to the order the bins were entered (starting from 1)
procedure VendorCovBinInc( obj: VendorCovHandleType; index: integer )is
begin
end procedure VendorCovBinInc ;
end package body VendorCovApiPkg ;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_19_join.vhd,v 1.2 2001-10-24 22:18:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library qsim;
use qsim.qsim_types.all;
entity join is
generic ( name : string;
time_unit : delay_length := ns;
info_file_name : string := "info_file.dat" );
port ( in_arc : in arc_vector;
out_arc : out arc_type;
info_detail : in info_detail_type );
end join;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_19_join.vhd,v 1.2 2001-10-24 22:18:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library qsim;
use qsim.qsim_types.all;
entity join is
generic ( name : string;
time_unit : delay_length := ns;
info_file_name : string := "info_file.dat" );
port ( in_arc : in arc_vector;
out_arc : out arc_type;
info_detail : in info_detail_type );
end join;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_19_join.vhd,v 1.2 2001-10-24 22:18:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library qsim;
use qsim.qsim_types.all;
entity join is
generic ( name : string;
time_unit : delay_length := ns;
info_file_name : string := "info_file.dat" );
port ( in_arc : in arc_vector;
out_arc : out arc_type;
info_detail : in info_detail_type );
end join;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1718.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s02b00x00p14n01i01718ent IS
-- Local procedure.
procedure proccall;
procedure proccall is
begin
assert( TRUE ) severity NOTE;
end;
begin
-- Try a concurrent assertion statement.
assert( TRUE ) severity NOTE;
-- Try a passive concurrent procedure call.
proccall;
-- Try a passive process statement.
process
begin
assert( TRUE ) severity NOTE;
wait;
end process;
END c09s02b00x00p14n01i01718ent;
ARCHITECTURE c09s02b00x00p14n01i01718arch OF c09s02b00x00p14n01i01718ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c09s02b00x00p14n01i01718"
severity NOTE;
wait;
END PROCESS TESTING;
END c09s02b00x00p14n01i01718arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1718.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s02b00x00p14n01i01718ent IS
-- Local procedure.
procedure proccall;
procedure proccall is
begin
assert( TRUE ) severity NOTE;
end;
begin
-- Try a concurrent assertion statement.
assert( TRUE ) severity NOTE;
-- Try a passive concurrent procedure call.
proccall;
-- Try a passive process statement.
process
begin
assert( TRUE ) severity NOTE;
wait;
end process;
END c09s02b00x00p14n01i01718ent;
ARCHITECTURE c09s02b00x00p14n01i01718arch OF c09s02b00x00p14n01i01718ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c09s02b00x00p14n01i01718"
severity NOTE;
wait;
END PROCESS TESTING;
END c09s02b00x00p14n01i01718arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1718.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s02b00x00p14n01i01718ent IS
-- Local procedure.
procedure proccall;
procedure proccall is
begin
assert( TRUE ) severity NOTE;
end;
begin
-- Try a concurrent assertion statement.
assert( TRUE ) severity NOTE;
-- Try a passive concurrent procedure call.
proccall;
-- Try a passive process statement.
process
begin
assert( TRUE ) severity NOTE;
wait;
end process;
END c09s02b00x00p14n01i01718ent;
ARCHITECTURE c09s02b00x00p14n01i01718arch OF c09s02b00x00p14n01i01718ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c09s02b00x00p14n01i01718"
severity NOTE;
wait;
END PROCESS TESTING;
END c09s02b00x00p14n01i01718arch;
|
-- niosii_system_sdram_0_s1_translator_avalon_universal_slave_0_agent.vhd
-- Generated using ACDS version 13.0sp1 232 at 2016.04.06.21:13:30
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity niosii_system_sdram_0_s1_translator_avalon_universal_slave_0_agent is
generic (
PKT_DATA_H : integer := 15;
PKT_DATA_L : integer := 0;
PKT_BEGIN_BURST : integer := 62;
PKT_SYMBOL_W : integer := 8;
PKT_BYTEEN_H : integer := 17;
PKT_BYTEEN_L : integer := 16;
PKT_ADDR_H : integer := 42;
PKT_ADDR_L : integer := 18;
PKT_TRANS_COMPRESSED_READ : integer := 43;
PKT_TRANS_POSTED : integer := 44;
PKT_TRANS_WRITE : integer := 45;
PKT_TRANS_READ : integer := 46;
PKT_TRANS_LOCK : integer := 47;
PKT_SRC_ID_H : integer := 67;
PKT_SRC_ID_L : integer := 64;
PKT_DEST_ID_H : integer := 71;
PKT_DEST_ID_L : integer := 68;
PKT_BURSTWRAP_H : integer := 54;
PKT_BURSTWRAP_L : integer := 52;
PKT_BYTE_CNT_H : integer := 51;
PKT_BYTE_CNT_L : integer := 49;
PKT_PROTECTION_H : integer := 75;
PKT_PROTECTION_L : integer := 73;
PKT_RESPONSE_STATUS_H : integer := 81;
PKT_RESPONSE_STATUS_L : integer := 80;
PKT_BURST_SIZE_H : integer := 57;
PKT_BURST_SIZE_L : integer := 55;
ST_CHANNEL_W : integer := 13;
ST_DATA_W : integer := 82;
AVS_BURSTCOUNT_W : integer := 2;
SUPPRESS_0_BYTEEN_CMD : integer := 1;
PREVENT_FIFO_OVERFLOW : integer := 1;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- clk_reset.reset
m0_address : out std_logic_vector(24 downto 0); -- m0.address
m0_burstcount : out std_logic_vector(1 downto 0); -- .burstcount
m0_byteenable : out std_logic_vector(1 downto 0); -- .byteenable
m0_debugaccess : out std_logic; -- .debugaccess
m0_lock : out std_logic; -- .lock
m0_readdata : in std_logic_vector(15 downto 0) := (others => '0'); -- .readdata
m0_readdatavalid : in std_logic := '0'; -- .readdatavalid
m0_read : out std_logic; -- .read
m0_waitrequest : in std_logic := '0'; -- .waitrequest
m0_writedata : out std_logic_vector(15 downto 0); -- .writedata
m0_write : out std_logic; -- .write
rp_endofpacket : out std_logic; -- rp.endofpacket
rp_ready : in std_logic := '0'; -- .ready
rp_valid : out std_logic; -- .valid
rp_data : out std_logic_vector(81 downto 0); -- .data
rp_startofpacket : out std_logic; -- .startofpacket
cp_ready : out std_logic; -- cp.ready
cp_valid : in std_logic := '0'; -- .valid
cp_data : in std_logic_vector(81 downto 0) := (others => '0'); -- .data
cp_startofpacket : in std_logic := '0'; -- .startofpacket
cp_endofpacket : in std_logic := '0'; -- .endofpacket
cp_channel : in std_logic_vector(12 downto 0) := (others => '0'); -- .channel
rf_sink_ready : out std_logic; -- rf_sink.ready
rf_sink_valid : in std_logic := '0'; -- .valid
rf_sink_startofpacket : in std_logic := '0'; -- .startofpacket
rf_sink_endofpacket : in std_logic := '0'; -- .endofpacket
rf_sink_data : in std_logic_vector(82 downto 0) := (others => '0'); -- .data
rf_source_ready : in std_logic := '0'; -- rf_source.ready
rf_source_valid : out std_logic; -- .valid
rf_source_startofpacket : out std_logic; -- .startofpacket
rf_source_endofpacket : out std_logic; -- .endofpacket
rf_source_data : out std_logic_vector(82 downto 0); -- .data
rdata_fifo_sink_ready : out std_logic; -- rdata_fifo_sink.ready
rdata_fifo_sink_valid : in std_logic := '0'; -- .valid
rdata_fifo_sink_data : in std_logic_vector(17 downto 0) := (others => '0'); -- .data
rdata_fifo_src_ready : in std_logic := '0'; -- rdata_fifo_src.ready
rdata_fifo_src_valid : out std_logic; -- .valid
rdata_fifo_src_data : out std_logic_vector(17 downto 0); -- .data
m0_response : in std_logic_vector(1 downto 0) := (others => '0');
m0_writeresponserequest : out std_logic;
m0_writeresponsevalid : in std_logic := '0'
);
end entity niosii_system_sdram_0_s1_translator_avalon_universal_slave_0_agent;
architecture rtl of niosii_system_sdram_0_s1_translator_avalon_universal_slave_0_agent is
component altera_merlin_slave_agent is
generic (
PKT_DATA_H : integer := 31;
PKT_DATA_L : integer := 0;
PKT_BEGIN_BURST : integer := 81;
PKT_SYMBOL_W : integer := 8;
PKT_BYTEEN_H : integer := 71;
PKT_BYTEEN_L : integer := 68;
PKT_ADDR_H : integer := 63;
PKT_ADDR_L : integer := 32;
PKT_TRANS_COMPRESSED_READ : integer := 67;
PKT_TRANS_POSTED : integer := 66;
PKT_TRANS_WRITE : integer := 65;
PKT_TRANS_READ : integer := 64;
PKT_TRANS_LOCK : integer := 87;
PKT_SRC_ID_H : integer := 74;
PKT_SRC_ID_L : integer := 72;
PKT_DEST_ID_H : integer := 77;
PKT_DEST_ID_L : integer := 75;
PKT_BURSTWRAP_H : integer := 85;
PKT_BURSTWRAP_L : integer := 82;
PKT_BYTE_CNT_H : integer := 81;
PKT_BYTE_CNT_L : integer := 78;
PKT_PROTECTION_H : integer := 86;
PKT_PROTECTION_L : integer := 86;
PKT_RESPONSE_STATUS_H : integer := 89;
PKT_RESPONSE_STATUS_L : integer := 88;
PKT_BURST_SIZE_H : integer := 92;
PKT_BURST_SIZE_L : integer := 90;
ST_CHANNEL_W : integer := 8;
ST_DATA_W : integer := 93;
AVS_BURSTCOUNT_W : integer := 4;
SUPPRESS_0_BYTEEN_CMD : integer := 1;
PREVENT_FIFO_OVERFLOW : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
m0_address : out std_logic_vector(24 downto 0); -- address
m0_burstcount : out std_logic_vector(1 downto 0); -- burstcount
m0_byteenable : out std_logic_vector(1 downto 0); -- byteenable
m0_debugaccess : out std_logic; -- debugaccess
m0_lock : out std_logic; -- lock
m0_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
m0_readdatavalid : in std_logic := 'X'; -- readdatavalid
m0_read : out std_logic; -- read
m0_waitrequest : in std_logic := 'X'; -- waitrequest
m0_writedata : out std_logic_vector(15 downto 0); -- writedata
m0_write : out std_logic; -- write
rp_endofpacket : out std_logic; -- endofpacket
rp_ready : in std_logic := 'X'; -- ready
rp_valid : out std_logic; -- valid
rp_data : out std_logic_vector(81 downto 0); -- data
rp_startofpacket : out std_logic; -- startofpacket
cp_ready : out std_logic; -- ready
cp_valid : in std_logic := 'X'; -- valid
cp_data : in std_logic_vector(81 downto 0) := (others => 'X'); -- data
cp_startofpacket : in std_logic := 'X'; -- startofpacket
cp_endofpacket : in std_logic := 'X'; -- endofpacket
cp_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel
rf_sink_ready : out std_logic; -- ready
rf_sink_valid : in std_logic := 'X'; -- valid
rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket
rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket
rf_sink_data : in std_logic_vector(82 downto 0) := (others => 'X'); -- data
rf_source_ready : in std_logic := 'X'; -- ready
rf_source_valid : out std_logic; -- valid
rf_source_startofpacket : out std_logic; -- startofpacket
rf_source_endofpacket : out std_logic; -- endofpacket
rf_source_data : out std_logic_vector(82 downto 0); -- data
rdata_fifo_sink_ready : out std_logic; -- ready
rdata_fifo_sink_valid : in std_logic := 'X'; -- valid
rdata_fifo_sink_data : in std_logic_vector(17 downto 0) := (others => 'X'); -- data
rdata_fifo_src_ready : in std_logic := 'X'; -- ready
rdata_fifo_src_valid : out std_logic; -- valid
rdata_fifo_src_data : out std_logic_vector(17 downto 0); -- data
m0_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response
m0_writeresponserequest : out std_logic; -- writeresponserequest
m0_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid
);
end component altera_merlin_slave_agent;
begin
sdram_0_s1_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent
generic map (
PKT_DATA_H => PKT_DATA_H,
PKT_DATA_L => PKT_DATA_L,
PKT_BEGIN_BURST => PKT_BEGIN_BURST,
PKT_SYMBOL_W => PKT_SYMBOL_W,
PKT_BYTEEN_H => PKT_BYTEEN_H,
PKT_BYTEEN_L => PKT_BYTEEN_L,
PKT_ADDR_H => PKT_ADDR_H,
PKT_ADDR_L => PKT_ADDR_L,
PKT_TRANS_COMPRESSED_READ => PKT_TRANS_COMPRESSED_READ,
PKT_TRANS_POSTED => PKT_TRANS_POSTED,
PKT_TRANS_WRITE => PKT_TRANS_WRITE,
PKT_TRANS_READ => PKT_TRANS_READ,
PKT_TRANS_LOCK => PKT_TRANS_LOCK,
PKT_SRC_ID_H => PKT_SRC_ID_H,
PKT_SRC_ID_L => PKT_SRC_ID_L,
PKT_DEST_ID_H => PKT_DEST_ID_H,
PKT_DEST_ID_L => PKT_DEST_ID_L,
PKT_BURSTWRAP_H => PKT_BURSTWRAP_H,
PKT_BURSTWRAP_L => PKT_BURSTWRAP_L,
PKT_BYTE_CNT_H => PKT_BYTE_CNT_H,
PKT_BYTE_CNT_L => PKT_BYTE_CNT_L,
PKT_PROTECTION_H => PKT_PROTECTION_H,
PKT_PROTECTION_L => PKT_PROTECTION_L,
PKT_RESPONSE_STATUS_H => PKT_RESPONSE_STATUS_H,
PKT_RESPONSE_STATUS_L => PKT_RESPONSE_STATUS_L,
PKT_BURST_SIZE_H => PKT_BURST_SIZE_H,
PKT_BURST_SIZE_L => PKT_BURST_SIZE_L,
ST_CHANNEL_W => ST_CHANNEL_W,
ST_DATA_W => ST_DATA_W,
AVS_BURSTCOUNT_W => AVS_BURSTCOUNT_W,
SUPPRESS_0_BYTEEN_CMD => SUPPRESS_0_BYTEEN_CMD,
PREVENT_FIFO_OVERFLOW => PREVENT_FIFO_OVERFLOW,
USE_READRESPONSE => USE_READRESPONSE,
USE_WRITERESPONSE => USE_WRITERESPONSE
)
port map (
clk => clk, -- clk.clk
reset => reset, -- clk_reset.reset
m0_address => m0_address, -- m0.address
m0_burstcount => m0_burstcount, -- .burstcount
m0_byteenable => m0_byteenable, -- .byteenable
m0_debugaccess => m0_debugaccess, -- .debugaccess
m0_lock => m0_lock, -- .lock
m0_readdata => m0_readdata, -- .readdata
m0_readdatavalid => m0_readdatavalid, -- .readdatavalid
m0_read => m0_read, -- .read
m0_waitrequest => m0_waitrequest, -- .waitrequest
m0_writedata => m0_writedata, -- .writedata
m0_write => m0_write, -- .write
rp_endofpacket => rp_endofpacket, -- rp.endofpacket
rp_ready => rp_ready, -- .ready
rp_valid => rp_valid, -- .valid
rp_data => rp_data, -- .data
rp_startofpacket => rp_startofpacket, -- .startofpacket
cp_ready => cp_ready, -- cp.ready
cp_valid => cp_valid, -- .valid
cp_data => cp_data, -- .data
cp_startofpacket => cp_startofpacket, -- .startofpacket
cp_endofpacket => cp_endofpacket, -- .endofpacket
cp_channel => cp_channel, -- .channel
rf_sink_ready => rf_sink_ready, -- rf_sink.ready
rf_sink_valid => rf_sink_valid, -- .valid
rf_sink_startofpacket => rf_sink_startofpacket, -- .startofpacket
rf_sink_endofpacket => rf_sink_endofpacket, -- .endofpacket
rf_sink_data => rf_sink_data, -- .data
rf_source_ready => rf_source_ready, -- rf_source.ready
rf_source_valid => rf_source_valid, -- .valid
rf_source_startofpacket => rf_source_startofpacket, -- .startofpacket
rf_source_endofpacket => rf_source_endofpacket, -- .endofpacket
rf_source_data => rf_source_data, -- .data
rdata_fifo_sink_ready => rdata_fifo_sink_ready, -- rdata_fifo_sink.ready
rdata_fifo_sink_valid => rdata_fifo_sink_valid, -- .valid
rdata_fifo_sink_data => rdata_fifo_sink_data, -- .data
rdata_fifo_src_ready => rdata_fifo_src_ready, -- rdata_fifo_src.ready
rdata_fifo_src_valid => rdata_fifo_src_valid, -- .valid
rdata_fifo_src_data => rdata_fifo_src_data, -- .data
m0_response => "00", -- (terminated)
m0_writeresponserequest => open, -- (terminated)
m0_writeresponsevalid => '0' -- (terminated)
);
end architecture rtl; -- of niosii_system_sdram_0_s1_translator_avalon_universal_slave_0_agent
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1741.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p02n01i01741ent IS
port (clk : inout bit);
END c09s05b00x00p02n01i01741ent;
ARCHITECTURE c09s05b00x00p02n01i01741arch OF c09s05b00x00p02n01i01741ent IS
constant period : Time := 50 ns;
BEGIN
osc clk <= not clk after period/2; -- Failure_here
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s05b00x00p02n01i01741 - Colon is missing between the label and concurrent signal assignment statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s05b00x00p02n01i01741arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1741.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p02n01i01741ent IS
port (clk : inout bit);
END c09s05b00x00p02n01i01741ent;
ARCHITECTURE c09s05b00x00p02n01i01741arch OF c09s05b00x00p02n01i01741ent IS
constant period : Time := 50 ns;
BEGIN
osc clk <= not clk after period/2; -- Failure_here
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s05b00x00p02n01i01741 - Colon is missing between the label and concurrent signal assignment statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s05b00x00p02n01i01741arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1741.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p02n01i01741ent IS
port (clk : inout bit);
END c09s05b00x00p02n01i01741ent;
ARCHITECTURE c09s05b00x00p02n01i01741arch OF c09s05b00x00p02n01i01741ent IS
constant period : Time := 50 ns;
BEGIN
osc clk <= not clk after period/2; -- Failure_here
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s05b00x00p02n01i01741 - Colon is missing between the label and concurrent signal assignment statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s05b00x00p02n01i01741arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc247.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b02x00p04n01i00247ent IS
END c03s01b02x00p04n01i00247ent;
ARCHITECTURE c03s01b02x00p04n01i00247arch OF c03s01b02x00p04n01i00247ent IS
type I3 is range "0" to "9"; -- Failure_here
-- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
-- MUST BE OF INTEGER TYPE
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s01b02x00p04n01i00247 - Range constraint must be an integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b02x00p04n01i00247arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc247.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b02x00p04n01i00247ent IS
END c03s01b02x00p04n01i00247ent;
ARCHITECTURE c03s01b02x00p04n01i00247arch OF c03s01b02x00p04n01i00247ent IS
type I3 is range "0" to "9"; -- Failure_here
-- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
-- MUST BE OF INTEGER TYPE
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s01b02x00p04n01i00247 - Range constraint must be an integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b02x00p04n01i00247arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc247.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b02x00p04n01i00247ent IS
END c03s01b02x00p04n01i00247ent;
ARCHITECTURE c03s01b02x00p04n01i00247arch OF c03s01b02x00p04n01i00247ent IS
type I3 is range "0" to "9"; -- Failure_here
-- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
-- MUST BE OF INTEGER TYPE
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s01b02x00p04n01i00247 - Range constraint must be an integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b02x00p04n01i00247arch;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:49:54 04/15/2016
-- Design Name:
-- Module Name: /home/tj/Desktop/UMD_RISC-16G5/ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/Shadow_IMM_Add_tb.vhd
-- Project Name: Shadow_EX_NoVGA
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Shadow_IMM_Add
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Shadow_IMM_Add_tb IS
END Shadow_IMM_Add_tb;
ARCHITECTURE behavior OF Shadow_IMM_Add_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Shadow_IMM_Add
PORT(
SHADOW : IN std_logic_vector(15 downto 0);
IMM : IN std_logic_vector(3 downto 0);
EX_ADDR : OUT std_logic_vector(13 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal SHADOW : std_logic_vector(15 downto 0) := (others => '0');
signal IMM : std_logic_vector(3 downto 0) := (others => '0');
--Outputs
signal EX_ADDR : std_logic_vector(13 downto 0);
-- No clocks detected in port list. Replace CLK below with
-- appropriate port name
constant CLK_period : time := 1 ms;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Shadow_IMM_Add PORT MAP (
SHADOW => SHADOW,
IMM => IMM,
EX_ADDR => EX_ADDR
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for CLK_period*10;
SHADOW <= x"0001";
--EX_ADDR = 1
wait for CLK_period*2;
IMM <= X"1";
--EX_ADDR = 2
wait for CLK_period*2;
SHADOW <= X"0002";
--EX_ADDR = 3
wait for CLK_period*2;
IMM <= X"2";
--EX_ADDR = 4
wait for CLK_period*2;
SHADOW <= X"0003";
--EX_ADDR = 5
wait for CLK_period*2;
IMM <= X"3";
--EX_ADDR = 6
-- insert stimulus here
wait;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
ENTITY NoC_264_SoC IS
PORT(
---------fpga connections-------------
clock_50: in std_logic;
sw:in std_logic_vector(9 downto 0);
ledr: out std_logic_vector(9 downto 0);
---------hps connections---------------
hps_conv_usb_n:inout std_logic;
hps_ddr3_addr:out std_logic_vector(14 downto 0);
hps_ddr3_ba: out std_logic_vector(2 downto 0);
hps_ddr3_cas_n: out std_logic;
hps_ddr3_cke:out std_logic;
hps_ddr3_ck_n: out std_logic;
hps_ddr3_ck_p: out std_logic;
hps_ddr3_cs_n: out std_logic;
hps_ddr3_dm: out std_logic_vector(3 downto 0);
hps_ddr3_dq: inout std_logic_vector(31 downto 0);
hps_ddr3_dqs_n: inout std_logic_vector(3 downto 0);
hps_ddr3_dqs_p: inout std_logic_vector(3 downto 0);
hps_ddr3_odt: out std_logic;
hps_ddr3_ras_n: out std_logic;
hps_ddr3_reset_n: out std_logic;
hps_ddr3_rzq: in std_logic;
hps_ddr3_we_n: out std_logic;
hps_enet_gtx_clk: out std_logic;
hps_enet_int_n:inout std_logic;
hps_enet_mdc:out std_logic;
hps_enet_mdio:inout std_logic;
hps_enet_rx_clk: in std_logic;
hps_enet_rx_data: in std_logic_vector(3 downto 0);
hps_enet_rx_dv: in std_logic;
hps_enet_tx_data: out std_logic_vector(3 downto 0);
hps_enet_tx_en: out std_logic;
hps_key: inout std_logic;
hps_sd_clk: out std_logic;
hps_sd_cmd: inout std_logic;
hps_sd_data: inout std_logic_vector(3 downto 0);
hps_uart_rx: in std_logic;
hps_uart_tx: out std_logic;
hps_usb_clkout: in std_logic;
hps_usb_data:inout std_logic_vector(7 downto 0);
hps_usb_dir: in std_logic;
hps_usb_nxt: in std_logic;
hps_usb_stp: out std_logic;
VGA_CLK : out std_logic;
VGA_HS : out std_logic;
VGA_VS : out std_logic;
VGA_BLANK_N : out std_logic;
VGA_SYNC_N : out std_logic;
VGA_R : out std_logic_vector(7 downto 0);
VGA_G : out std_logic_vector(7 downto 0);
VGA_B : out std_logic_vector(7 downto 0)
);
END NoC_264_SoC;
architecture main of NoC_264_SoC is
-- constants
constant data_width : integer := 64;
constant addr_width : integer := 4;
constant vc_sel_width : integer := 1;
constant num_vc : integer := 2;
constant flit_buff_depth : integer := 8;
component hps_fpga is
port (
clk_clk : in std_logic := '0'; -- clk.clk
cpu_0_rx_0_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_0_external_connection.export
cpu_0_rx_1_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_1_external_connection.export
cpu_0_rx_2_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_2_external_connection.export
cpu_0_rx_3_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_3_external_connection.export
cpu_0_rx_4_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_4_external_connection.export
cpu_0_rx_5_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_5_external_connection.export
cpu_0_rx_6_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_6_external_connection.export
cpu_0_rx_7_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_0_rx_7_external_connection.export
cpu_0_tx_0_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_0_external_connection.export
cpu_0_tx_1_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_1_external_connection.export
cpu_0_tx_2_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_2_external_connection.export
cpu_0_tx_3_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_3_external_connection.export
cpu_0_tx_4_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_4_external_connection.export
cpu_0_tx_5_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_5_external_connection.export
cpu_0_tx_6_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_6_external_connection.export
cpu_0_tx_7_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_0_tx_7_external_connection.export
cpu_1_rx_0_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_0_external_connection.export
cpu_1_rx_1_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_1_external_connection.export
cpu_1_rx_2_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_2_external_connection.export
cpu_1_rx_3_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_3_external_connection.export
cpu_1_rx_4_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_4_external_connection.export
cpu_1_rx_5_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_5_external_connection.export
cpu_1_rx_6_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_6_external_connection.export
cpu_1_rx_7_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- cpu_1_rx_7_external_connection.export
cpu_1_tx_0_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_0_external_connection.export
cpu_1_tx_1_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_1_external_connection.export
cpu_1_tx_2_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_2_external_connection.export
cpu_1_tx_3_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_3_external_connection.export
cpu_1_tx_4_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_4_external_connection.export
cpu_1_tx_5_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_5_external_connection.export
cpu_1_tx_6_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_6_external_connection.export
cpu_1_tx_7_external_connection_export : out std_logic_vector(31 downto 0); -- cpu_1_tx_7_external_connection.export
hps_0_h2f_reset_reset_n : out std_logic; -- hps_0_h2f_reset.reset_n
hps_io_hps_io_emac1_inst_TX_CLK : out std_logic; -- hps_io.hps_io_emac1_inst_TX_CLK
hps_io_hps_io_emac1_inst_TXD0 : out std_logic; -- .hps_io_emac1_inst_TXD0
hps_io_hps_io_emac1_inst_TXD1 : out std_logic; -- .hps_io_emac1_inst_TXD1
hps_io_hps_io_emac1_inst_TXD2 : out std_logic; -- .hps_io_emac1_inst_TXD2
hps_io_hps_io_emac1_inst_TXD3 : out std_logic; -- .hps_io_emac1_inst_TXD3
hps_io_hps_io_emac1_inst_RXD0 : in std_logic := '0'; -- .hps_io_emac1_inst_RXD0
hps_io_hps_io_emac1_inst_MDIO : inout std_logic := '0'; -- .hps_io_emac1_inst_MDIO
hps_io_hps_io_emac1_inst_MDC : out std_logic; -- .hps_io_emac1_inst_MDC
hps_io_hps_io_emac1_inst_RX_CTL : in std_logic := '0'; -- .hps_io_emac1_inst_RX_CTL
hps_io_hps_io_emac1_inst_TX_CTL : out std_logic; -- .hps_io_emac1_inst_TX_CTL
hps_io_hps_io_emac1_inst_RX_CLK : in std_logic := '0'; -- .hps_io_emac1_inst_RX_CLK
hps_io_hps_io_emac1_inst_RXD1 : in std_logic := '0'; -- .hps_io_emac1_inst_RXD1
hps_io_hps_io_emac1_inst_RXD2 : in std_logic := '0'; -- .hps_io_emac1_inst_RXD2
hps_io_hps_io_emac1_inst_RXD3 : in std_logic := '0'; -- .hps_io_emac1_inst_RXD3
hps_io_hps_io_sdio_inst_CMD : inout std_logic := '0'; -- .hps_io_sdio_inst_CMD
hps_io_hps_io_sdio_inst_D0 : inout std_logic := '0'; -- .hps_io_sdio_inst_D0
hps_io_hps_io_sdio_inst_D1 : inout std_logic := '0'; -- .hps_io_sdio_inst_D1
hps_io_hps_io_sdio_inst_CLK : out std_logic; -- .hps_io_sdio_inst_CLK
hps_io_hps_io_sdio_inst_D2 : inout std_logic := '0'; -- .hps_io_sdio_inst_D2
hps_io_hps_io_sdio_inst_D3 : inout std_logic := '0'; -- .hps_io_sdio_inst_D3
hps_io_hps_io_usb1_inst_D0 : inout std_logic := '0'; -- .hps_io_usb1_inst_D0
hps_io_hps_io_usb1_inst_D1 : inout std_logic := '0'; -- .hps_io_usb1_inst_D1
hps_io_hps_io_usb1_inst_D2 : inout std_logic := '0'; -- .hps_io_usb1_inst_D2
hps_io_hps_io_usb1_inst_D3 : inout std_logic := '0'; -- .hps_io_usb1_inst_D3
hps_io_hps_io_usb1_inst_D4 : inout std_logic := '0'; -- .hps_io_usb1_inst_D4
hps_io_hps_io_usb1_inst_D5 : inout std_logic := '0'; -- .hps_io_usb1_inst_D5
hps_io_hps_io_usb1_inst_D6 : inout std_logic := '0'; -- .hps_io_usb1_inst_D6
hps_io_hps_io_usb1_inst_D7 : inout std_logic := '0'; -- .hps_io_usb1_inst_D7
hps_io_hps_io_usb1_inst_CLK : in std_logic := '0'; -- .hps_io_usb1_inst_CLK
hps_io_hps_io_usb1_inst_STP : out std_logic; -- .hps_io_usb1_inst_STP
hps_io_hps_io_usb1_inst_DIR : in std_logic := '0'; -- .hps_io_usb1_inst_DIR
hps_io_hps_io_usb1_inst_NXT : in std_logic := '0'; -- .hps_io_usb1_inst_NXT
hps_io_hps_io_uart0_inst_RX : in std_logic := '0'; -- .hps_io_uart0_inst_RX
hps_io_hps_io_uart0_inst_TX : out std_logic; -- .hps_io_uart0_inst_TX
led_external_connection_export : out std_logic_vector(9 downto 0); -- led_external_connection.export
memory_mem_a : out std_logic_vector(14 downto 0); -- memory.mem_a
memory_mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba
memory_mem_ck : out std_logic; -- .mem_ck
memory_mem_ck_n : out std_logic; -- .mem_ck_n
memory_mem_cke : out std_logic; -- .mem_cke
memory_mem_cs_n : out std_logic; -- .mem_cs_n
memory_mem_ras_n : out std_logic; -- .mem_ras_n
memory_mem_cas_n : out std_logic; -- .mem_cas_n
memory_mem_we_n : out std_logic; -- .mem_we_n
memory_mem_reset_n : out std_logic; -- .mem_reset_n
memory_mem_dq : inout std_logic_vector(31 downto 0) := (others => '0'); -- .mem_dq
memory_mem_dqs : inout std_logic_vector(3 downto 0) := (others => '0'); -- .mem_dqs
memory_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => '0'); -- .mem_dqs_n
memory_mem_odt : out std_logic; -- .mem_odt
memory_mem_dm : out std_logic_vector(3 downto 0); -- .mem_dm
memory_oct_rzqin : in std_logic := '0'; -- .oct_rzqin
noc_ctrl_0_external_connection_export : out std_logic_vector(31 downto 0); -- noc_ctrl_0_external_connection.export
noc_ctrl_1_external_connection_export : out std_logic_vector(31 downto 0); -- noc_ctrl_1_external_connection.export
noc_status_0_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- noc_status_0_external_connection.export
noc_status_1_external_connection_export : in std_logic_vector(31 downto 0) := (others => '0'); -- noc_status_1_external_connection.export
reset_reset_n : in std_logic := '0'; -- reset.reset_n
sw_external_connection_export : in std_logic_vector(9 downto 0) := (others => '0'); -- sw_external_connection.export
pll_0_outclk0_clk : out std_logic;
noc_clock_clk : out std_logic --
);
end component hps_fpga;
component intra_prediction_node is
generic (
data_width : integer := 128;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic;
--debugging
s_intra_idle : out std_logic;
s_intra_data_rxd : out std_logic;
s_intra_write_sample : out std_logic;
s_intra_start_pred : out std_logic;
s_intra_start_tx_loop : out std_logic;
s_intra_start_tx_loop_hold : out std_logic;
s_intra_tx : out std_logic;
s_intra_tx_hold : out std_logic;
s_intra_tx_gen_next : out std_logic;
s_intra_dequeue_rx : out std_logic
);
end component intra_prediction_node;
component network_interface is
generic(
data_width : integer := 64;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
--clk, reset
clk : in std_logic;
rst : in std_logic;
--user sending interface
send_data : in std_logic_vector(data_width-1 downto 0);
dest_addr : in std_logic_vector(addr_width-1 downto 0);
set_tail_flit : in std_logic;
send_flit : in std_logic;
ready_to_send : out std_logic;
--user receiving interface
recv_data : out std_logic_vector(data_width-1 downto 0);
src_addr : out std_logic_vector(addr_width-1 downto 0);
is_tail_flit : out std_logic;
data_in_buffer : out std_logic_vector(num_vc-1 downto 0);
dequeue : in std_logic_vector(num_vc-1 downto 0);
select_vc_read : in std_logic_vector(vc_sel_width-1 downto 0);
--interface to network
send_putFlit_flit_in : out std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0);
EN_send_putFlit : out std_logic;
EN_send_getNonFullVCs : out std_logic;
send_getNonFullVCs : in std_logic_vector(num_vc-1 downto 0);
EN_recv_getFlit : out std_logic;
recv_getFlit : in std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0);
recv_putNonFullVCs_nonFullVCs : out std_logic_vector(num_vc-1 downto 0);
EN_recv_putNonFullVCs : out std_logic;
recv_info_getRecvPortID : in std_logic_vector(addr_width-1 downto 0)
);
end component network_interface;
component mkNetworkSimple is
port(
CLK : in std_logic;
RST_N : in std_logic;
send_ports_0_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_0_putFlit : in std_logic;
EN_send_ports_0_getNonFullVCs : in std_logic;
send_ports_0_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_1_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_1_putFlit : in std_logic;
EN_send_ports_1_getNonFullVCs : in std_logic;
send_ports_1_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_2_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_2_putFlit : in std_logic;
EN_send_ports_2_getNonFullVCs : in std_logic;
send_ports_2_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_3_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_3_putFlit : in std_logic;
EN_send_ports_3_getNonFullVCs : in std_logic;
send_ports_3_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_4_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_4_putFlit : in std_logic;
EN_send_ports_4_getNonFullVCs : in std_logic;
send_ports_4_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_5_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_5_putFlit : in std_logic;
EN_send_ports_5_getNonFullVCs : in std_logic;
send_ports_5_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_6_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_6_putFlit : in std_logic;
EN_send_ports_6_getNonFullVCs : in std_logic;
send_ports_6_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_7_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_7_putFlit : in std_logic;
EN_send_ports_7_getNonFullVCs : in std_logic;
send_ports_7_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_8_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_8_putFlit : in std_logic;
EN_send_ports_8_getNonFullVCs : in std_logic;
send_ports_8_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_9_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_9_putFlit : in std_logic;
EN_send_ports_9_getNonFullVCs : in std_logic;
send_ports_9_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_10_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_10_putFlit : in std_logic;
EN_send_ports_10_getNonFullVCs : in std_logic;
send_ports_10_getNonFullVCs : out std_logic_vector(1 downto 0);
send_ports_11_putFlit_flit_in : in std_logic_vector(70 downto 0);
EN_send_ports_11_putFlit : in std_logic;
EN_send_ports_11_getNonFullVCs : in std_logic;
send_ports_11_getNonFullVCs : out std_logic_vector(1 downto 0);
EN_recv_ports_0_getFlit : in std_logic;
recv_ports_0_getFlit : out std_logic_vector(70 downto 0);
recv_ports_0_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_0_putNonFullVCs : in std_logic;
EN_recv_ports_1_getFlit : in std_logic;
recv_ports_1_getFlit : out std_logic_vector(70 downto 0);
recv_ports_1_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_1_putNonFullVCs : in std_logic;
EN_recv_ports_2_getFlit : in std_logic;
recv_ports_2_getFlit : out std_logic_vector(70 downto 0);
recv_ports_2_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_2_putNonFullVCs : in std_logic;
EN_recv_ports_3_getFlit : in std_logic;
recv_ports_3_getFlit : out std_logic_vector(70 downto 0);
recv_ports_3_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_3_putNonFullVCs : in std_logic;
EN_recv_ports_4_getFlit : in std_logic;
recv_ports_4_getFlit : out std_logic_vector(70 downto 0);
recv_ports_4_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_4_putNonFullVCs : in std_logic;
EN_recv_ports_5_getFlit : in std_logic;
recv_ports_5_getFlit : out std_logic_vector(70 downto 0);
recv_ports_5_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_5_putNonFullVCs : in std_logic;
EN_recv_ports_6_getFlit : in std_logic;
recv_ports_6_getFlit : out std_logic_vector(70 downto 0);
recv_ports_6_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_6_putNonFullVCs : in std_logic;
EN_recv_ports_7_getFlit : in std_logic;
recv_ports_7_getFlit : out std_logic_vector(70 downto 0);
recv_ports_7_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_7_putNonFullVCs : in std_logic;
EN_recv_ports_8_getFlit : in std_logic;
recv_ports_8_getFlit : out std_logic_vector(70 downto 0);
recv_ports_8_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_8_putNonFullVCs : in std_logic;
EN_recv_ports_9_getFlit : in std_logic;
recv_ports_9_getFlit : out std_logic_vector(70 downto 0);
recv_ports_9_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_9_putNonFullVCs : in std_logic;
EN_recv_ports_10_getFlit : in std_logic;
recv_ports_10_getFlit : out std_logic_vector(70 downto 0);
recv_ports_10_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_10_putNonFullVCs : in std_logic;
EN_recv_ports_11_getFlit : in std_logic;
recv_ports_11_getFlit : out std_logic_vector(70 downto 0);
recv_ports_11_putNonFullVCs_nonFullVCs : in std_logic_vector(1 downto 0);
EN_recv_ports_11_putNonFullVCs : in std_logic;
recv_ports_info_0_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_1_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_2_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_3_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_4_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_5_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_6_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_7_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_8_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_9_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_10_getRecvPortID : out std_logic_vector(3 downto 0);
recv_ports_info_11_getRecvPortID : out std_logic_vector(3 downto 0)
);
end component mkNetworkSimple;
component deblocking_filter_node is
generic (
data_width : integer := 64;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic;
has_rxd : out std_logic;
is_idle : out std_logic;
is_filtering : out std_logic;
is_tx_ing : out std_logic;
is_cleanup_ing : out std_logic;
rx_non_zero : out std_logic;
tx_non_zero : out std_logic
);
end component deblocking_filter_node;
component noc_control_plus is
generic(
data_width : integer := 128;
addr_width : integer := 2;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic;
--send interface to CPU
set_tail_cpu : in std_logic;
addr_cpu : in std_logic_vector(addr_width-1 downto 0);
tx_0 : in std_logic_vector(31 downto 0);
tx_1 : in std_logic_vector(31 downto 0);
tx_2 : in std_logic_vector(31 downto 0);
tx_3 : in std_logic_vector(31 downto 0);
tx_4 : in std_logic_vector(31 downto 0);
tx_5 : in std_logic_vector(31 downto 0);
tx_6 : in std_logic_vector(31 downto 0);
tx_7 : in std_logic_vector(31 downto 0);
format_select : in std_logic_vector(7 downto 0);
send_cmd_cpu : in std_logic;
send_ack : out std_logic;
--receive interface to cpu
rx_0 : out std_logic_vector(31 downto 0);
rx_1 : out std_logic_vector(31 downto 0);
rx_2 : out std_logic_vector(31 downto 0);
rx_3 : out std_logic_vector(31 downto 0);
rx_4 : out std_logic_vector(31 downto 0);
rx_5 : out std_logic_vector(31 downto 0);
rx_6 : out std_logic_vector(31 downto 0);
rx_7 : out std_logic_vector(31 downto 0);
parse_select : in std_logic_vector(7 downto 0);
cpu_rx_ctrl : in std_logic;
rx_state_out : out std_logic_vector(7 downto 0)
);
end component noc_control_plus;
component inter_node is
generic(
size_x : integer := 12; --20 ; --12; --20 --20
size_y : integer := 12; --20 ; --12; --20 --20
interp_x : integer := 8; --4 ; --8; --2 --4
interp_y : integer := 2; --4 ; --1; --2 --4
sample_size : integer := 8; --8 ; --8;
samples_per_wr : integer := 16; --16 ; --8; --4 --16
data_width : integer := 128;--128 ; --64; --32 --128
addr_width : integer := 1; --1 ; --1;
vc_sel_width : integer := 1; --1 ; --1;
num_vc : integer := 2; --2 ; --2;
flit_buff_depth : integer := 8 --8 --8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic
);
end component inter_node;
component chroma_motion is
generic(
data_width : integer := 64;
addr_width : integer := 4;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic
--debug
--state_out : out std_logic_vector(7 downto 0)
);
end component chroma_motion;
component iqit_node is
generic(
sample_width : integer := 8;
qp_width : integer := 8;
wo_dc_width : integer := 8;
data_width : integer := 64;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic
);
end component iqit_node;
component noc_interface is
generic(
data_width : integer := 64;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8;
use_vc : integer := 0
);
port(
--clk, reset
clk : in std_logic;
rst : in std_logic;
--user sending interface
send_data : in std_logic_vector(data_width-1 downto 0);
dest_addr : in std_logic_vector(addr_width-1 downto 0);
set_tail_flit : in std_logic;
send_flit : in std_logic;
ready_to_send : out std_logic;
--user receiving interface
recv_data : out std_logic_vector(data_width-1 downto 0);
src_addr : out std_logic_vector(addr_width-1 downto 0);
is_tail_flit : out std_logic;
data_in_buffer : out std_logic_vector(num_vc-1 downto 0);
dequeue : in std_logic_vector(num_vc-1 downto 0);
select_vc_read : in std_logic_vector(vc_sel_width-1 downto 0);
--interface to network
send_putFlit_flit_in : out std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0);
EN_send_putFlit : out std_logic;
EN_send_getNonFullVCs : out std_logic;
send_getNonFullVCs : in std_logic_vector(num_vc-1 downto 0);
EN_recv_getFlit : out std_logic;
recv_getFlit : in std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0);
recv_putNonFullVCs_nonFullVCs : out std_logic_vector(num_vc-1 downto 0);
EN_recv_putNonFullVCs : out std_logic;
recv_info_getRecvPortID : in std_logic_vector(addr_width-1 downto 0)
);
end component noc_interface;
component vga_node is
generic(
data_width : integer := 64;
addr_width : integer := 4;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
-- recv interface to network
recv_data : in std_logic_vector(data_width-1 downto 0);
src_addr : in std_logic_vector(addr_width-1 downto 0);
is_tail_flit : in std_logic;
data_in_buffer : in std_logic_vector(num_vc-1 downto 0);
dequeue : out std_logic_vector(num_vc-1 downto 0);
select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0);
-- send interface to network
send_data : out std_logic_vector(data_width-1 downto 0);
dest_addr : out std_logic_vector(addr_width-1 downto 0);
set_tail_flit : out std_logic;
send_flit : out std_logic;
ready_to_send : in std_logic;
-- vga connections
clk27 : in std_logic;
rst27 : in std_logic;
vga_red : out std_logic_vector(5 downto 0);
vga_blue : out std_logic_vector(5 downto 0);
vga_green : out std_logic_vector(5 downto 0);
vga_v_sync : out std_logic;
vga_h_sync : out std_logic
);
end component vga_node;
signal hps_h2f_rst : std_logic;
signal flit_word_0_export : std_logic_vector(31 downto 0);
signal flit_rx_0_export : std_logic_vector(31 downto 0);
signal flit_word_2_export : std_logic_vector(31 downto 0);
signal flit_rx_2_export : std_logic_vector(31 downto 0);
signal flit_word_1_export : std_logic_vector(31 downto 0);
signal flit_rx_1_export : std_logic_vector(31 downto 0);
signal flit_word_3_export : std_logic_vector(31 downto 0);
signal flit_rx_3_export : std_logic_vector(31 downto 0);
signal send_ports_0_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_0_putFlit : std_logic;
signal EN_send_ports_0_getNonFullVCs : std_logic;
signal send_ports_0_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_1_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_1_putFlit : std_logic;
signal EN_send_ports_1_getNonFullVCs : std_logic;
signal send_ports_1_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_2_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_2_putFlit : std_logic;
signal EN_send_ports_2_getNonFullVCs : std_logic;
signal send_ports_2_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_3_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_3_putFlit : std_logic;
signal EN_send_ports_3_getNonFullVCs : std_logic;
signal send_ports_3_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_4_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_4_putFlit : std_logic;
signal EN_send_ports_4_getNonFullVCs : std_logic;
signal send_ports_4_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_5_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_5_putFlit : std_logic;
signal EN_send_ports_5_getNonFullVCs : std_logic;
signal send_ports_5_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_6_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_6_putFlit : std_logic;
signal EN_send_ports_6_getNonFullVCs : std_logic;
signal send_ports_6_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_7_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_7_putFlit : std_logic;
signal EN_send_ports_7_getNonFullVCs : std_logic;
signal send_ports_7_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_8_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_8_putFlit : std_logic;
signal EN_send_ports_8_getNonFullVCs : std_logic;
signal send_ports_8_getNonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_0_getFlit : std_logic;
signal recv_ports_0_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_0_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_0_putNonFullVCs : std_logic;
signal EN_recv_ports_1_getFlit : std_logic;
signal recv_ports_1_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_1_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_1_putNonFullVCs : std_logic;
signal EN_recv_ports_2_getFlit : std_logic;
signal recv_ports_2_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_2_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_2_putNonFullVCs : std_logic;
signal EN_recv_ports_3_getFlit : std_logic;
signal recv_ports_3_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_3_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_3_putNonFullVCs : std_logic;
signal EN_recv_ports_4_getFlit : std_logic;
signal recv_ports_4_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_4_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_4_putNonFullVCs : std_logic;
signal EN_recv_ports_5_getFlit : std_logic;
signal recv_ports_5_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_5_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_5_putNonFullVCs : std_logic;
signal EN_recv_ports_6_getFlit : std_logic;
signal recv_ports_6_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_6_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_6_putNonFullVCs : std_logic;
signal EN_recv_ports_7_getFlit : std_logic;
signal recv_ports_7_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_7_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_7_putNonFullVCs : std_logic;
signal EN_recv_ports_8_getFlit : std_logic;
signal recv_ports_8_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_8_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_8_putNonFullVCs : std_logic;
signal recv_ports_info_0_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_1_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_2_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_3_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_4_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_5_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_6_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_7_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_8_getRecvPortID : std_logic_vector(3 downto 0);
signal send_ports_9_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_9_putFlit : std_logic;
signal EN_send_ports_9_getNonFullVCs : std_logic;
signal send_ports_9_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_10_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_10_putFlit : std_logic;
signal EN_send_ports_10_getNonFullVCs : std_logic;
signal send_ports_10_getNonFullVCs : std_logic_vector(1 downto 0);
signal send_ports_11_putFlit_flit_in : std_logic_vector(70 downto 0);
signal EN_send_ports_11_putFlit : std_logic;
signal EN_send_ports_11_getNonFullVCs : std_logic;
signal send_ports_11_getNonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_9_getFlit : std_logic;
signal recv_ports_9_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_9_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_9_putNonFullVCs : std_logic;
signal EN_recv_ports_10_getFlit : std_logic;
signal recv_ports_10_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_10_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_10_putNonFullVCs : std_logic;
signal EN_recv_ports_11_getFlit : std_logic;
signal recv_ports_11_getFlit : std_logic_vector(70 downto 0);
signal recv_ports_11_putNonFullVCs_nonFullVCs : std_logic_vector(1 downto 0);
signal EN_recv_ports_11_putNonFullVCs : std_logic;
signal recv_ports_info_9_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_10_getRecvPortID : std_logic_vector(3 downto 0);
signal recv_ports_info_11_getRecvPortID : std_logic_vector(3 downto 0);
signal send_data_pe0 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe0 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe0 : std_logic;
signal send_flit_pe0 : std_logic;
signal ready_to_send_pe0 : std_logic;
signal recv_data_pe0 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe0 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe0 : std_logic;
signal data_in_buffer_pe0 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe0 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe0 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe1 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe1 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe1 : std_logic;
signal send_flit_pe1 : std_logic;
signal ready_to_send_pe1 : std_logic;
signal recv_data_pe1 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe1 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe1 : std_logic;
signal data_in_buffer_pe1 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe1 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe1 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe2 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe2 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe2 : std_logic;
signal send_flit_pe2 : std_logic;
signal ready_to_send_pe2 : std_logic;
signal recv_data_pe2 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe2 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe2 : std_logic;
signal data_in_buffer_pe2 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe2 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe2 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe3 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe3 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe3 : std_logic;
signal send_flit_pe3 : std_logic;
signal ready_to_send_pe3 : std_logic;
signal recv_data_pe3 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe3 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe3 : std_logic;
signal data_in_buffer_pe3 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe3 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe3 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe4 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe4 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe4 : std_logic;
signal send_flit_pe4 : std_logic;
signal ready_to_send_pe4 : std_logic;
signal recv_data_pe4 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe4 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe4 : std_logic;
signal data_in_buffer_pe4 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe4 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe4 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe5 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe5 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe5 : std_logic;
signal send_flit_pe5 : std_logic;
signal ready_to_send_pe5 : std_logic;
signal recv_data_pe5 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe5 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe5 : std_logic;
signal data_in_buffer_pe5 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe5 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe5 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe6 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe6 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe6 : std_logic;
signal send_flit_pe6 : std_logic;
signal ready_to_send_pe6 : std_logic;
signal recv_data_pe6 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe6 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe6 : std_logic;
signal data_in_buffer_pe6 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe6 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe6 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe7 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe7 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe7 : std_logic;
signal send_flit_pe7 : std_logic;
signal ready_to_send_pe7 : std_logic;
signal recv_data_pe7 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe7 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe7 : std_logic;
signal data_in_buffer_pe7 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe7 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe7 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe8 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe8 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe8 : std_logic;
signal send_flit_pe8 : std_logic;
signal ready_to_send_pe8 : std_logic;
signal recv_data_pe8 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe8 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe8 : std_logic;
signal data_in_buffer_pe8 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe8 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe8 : std_logic_vector(vc_sel_width-1 downto 0);
signal send_data_pe9 : std_logic_vector(data_width-1 downto 0);
signal dest_addr_pe9 : std_logic_vector(addr_width-1 downto 0);
signal set_tail_flit_pe9 : std_logic;
signal send_flit_pe9 : std_logic;
signal ready_to_send_pe9 : std_logic;
signal recv_data_pe9 : std_logic_vector(data_width-1 downto 0);
signal src_addr_pe9 : std_logic_vector(addr_width-1 downto 0);
signal is_tail_flit_pe9 : std_logic;
signal data_in_buffer_pe9 : std_logic_vector(num_vc-1 downto 0);
signal dequeue_pe9 : std_logic_vector(num_vc-1 downto 0);
signal select_vc_read_pe9 : std_logic_vector(vc_sel_width-1 downto 0);
signal noc_rst : std_logic;
signal noc_ctrl_export : std_logic_vector(31 downto 0);
signal noc_status_export : std_logic_vector(31 downto 0);
signal data_out : std_logic_vector(data_width-1 downto 0);
signal LEDR_NOPE : std_logic_vector(9 downto 0);
signal is_idle : std_logic_vector(2 downto 0);
signal is_filtering : std_logic_vector(2 downto 0);
signal is_tx_ing : std_logic_vector(2 downto 0);
signal is_cleanup_ing : std_logic_vector(2 downto 0);
signal cpu_0_rx_0_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_1_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_2_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_3_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_4_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_5_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_6_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_rx_7_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_0_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_1_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_2_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_3_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_4_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_5_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_6_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_0_tx_7_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_0_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_1_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_2_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_3_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_4_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_5_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_6_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_rx_7_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_0_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_1_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_2_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_3_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_4_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_5_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_6_external_connection_export : std_logic_vector(31 downto 0);
signal cpu_1_tx_7_external_connection_export : std_logic_vector(31 downto 0);
signal noc_ctrl_0_external_connection_export : std_logic_vector(31 downto 0);
signal noc_ctrl_1_external_connection_export : std_logic_vector(31 downto 0);
signal noc_status_0_external_connection_export : std_logic_vector(31 downto 0);
signal noc_status_1_external_connection_export : std_logic_vector(31 downto 0);
signal noc_ctrl_cpu_0 : std_logic_vector(31 downto 0);
signal noc_ctrl_cpu_1 : std_logic_vector(31 downto 0);
signal noc_sts_cpu_0 : std_logic_vector(31 downto 0);
signal noc_sts_cpu_1 : std_logic_vector(31 downto 0);
signal vga_clk_sig : std_logic;
signal noc_clock_clk : std_logic;
BEGIN
u0 : component hps_fpga
port map (
clk_clk => CLOCK_50, -- clk.clk
reset_reset_n => '1', -- reset.reset_n
memory_mem_a => HPS_DDR3_ADDR, -- memory.mem_a
memory_mem_ba => HPS_DDR3_BA, -- .mem_ba
memory_mem_ck => HPS_DDR3_CK_P, -- .mem_ck
memory_mem_ck_n => HPS_DDR3_CK_N, -- .mem_ck_n
memory_mem_cke => HPS_DDR3_CKE, -- .mem_cke
memory_mem_cs_n => HPS_DDR3_CS_N, -- .mem_cs_n
memory_mem_ras_n => HPS_DDR3_RAS_N, -- .mem_ras_n
memory_mem_cas_n => HPS_DDR3_CAS_N, -- .mem_cas_n
memory_mem_we_n => HPS_DDR3_WE_N, -- .mem_we_n
memory_mem_reset_n => HPS_DDR3_RESET_N, -- .mem_reset_n
memory_mem_dq => HPS_DDR3_DQ, -- .mem_dq
memory_mem_dqs => HPS_DDR3_DQS_P, -- .mem_dqs
memory_mem_dqs_n => HPS_DDR3_DQS_N, -- .mem_dqs_n
memory_mem_odt => HPS_DDR3_ODT, -- .mem_odt
memory_mem_dm => HPS_DDR3_DM, -- .mem_dm
memory_oct_rzqin => HPS_DDR3_RZQ, -- .oct_rzqin
hps_0_h2f_reset_reset_n => HPS_H2F_RST, -- hps_0_h2f_reset.reset_n
led_external_connection_export => LEDR_NOPE, -- led_external_connection.export
sw_external_connection_export => SW, -- sw_external_connection.export
hps_io_hps_io_emac1_inst_TX_CLK => HPS_ENET_GTX_CLK, -- hps_io.hps_io_emac1_inst_TX_CLK
hps_io_hps_io_emac1_inst_TXD0 => HPS_ENET_TX_DATA(0), -- .hps_io_emac1_inst_TXD0
hps_io_hps_io_emac1_inst_TXD1 => HPS_ENET_TX_DATA(1), -- .hps_io_emac1_inst_TXD1
hps_io_hps_io_emac1_inst_TXD2 => HPS_ENET_TX_DATA(2), -- .hps_io_emac1_inst_TXD2
hps_io_hps_io_emac1_inst_TXD3 => HPS_ENET_TX_DATA(3), -- .hps_io_emac1_inst_TXD3
hps_io_hps_io_emac1_inst_RXD0 => HPS_ENET_RX_DATA(0), -- .hps_io_emac1_inst_RXD0
hps_io_hps_io_emac1_inst_MDIO => HPS_ENET_MDIO, -- .hps_io_emac1_inst_MDIO
hps_io_hps_io_emac1_inst_MDC => HPS_ENET_MDC, -- .hps_io_emac1_inst_MDC
hps_io_hps_io_emac1_inst_RX_CTL => HPS_ENET_RX_DV, -- .hps_io_emac1_inst_RX_CTL
hps_io_hps_io_emac1_inst_TX_CTL => HPS_ENET_TX_EN, -- .hps_io_emac1_inst_TX_CTL
hps_io_hps_io_emac1_inst_RX_CLK => HPS_ENET_RX_CLK, -- .hps_io_emac1_inst_RX_CLK
hps_io_hps_io_emac1_inst_RXD1 => HPS_ENET_RX_DATA(1), -- .hps_io_emac1_inst_RXD1
hps_io_hps_io_emac1_inst_RXD2 => HPS_ENET_RX_DATA(2), -- .hps_io_emac1_inst_RXD2
hps_io_hps_io_emac1_inst_RXD3 => HPS_ENET_RX_DATA(3), -- .hps_io_emac1_inst_RXD3
hps_io_hps_io_sdio_inst_CMD => HPS_SD_CMD, -- .hps_io_sdio_inst_CMD
hps_io_hps_io_sdio_inst_D0 => HPS_SD_DATA(0), -- .hps_io_sdio_inst_D0
hps_io_hps_io_sdio_inst_D1 => HPS_SD_DATA(1), -- .hps_io_sdio_inst_D1
hps_io_hps_io_sdio_inst_CLK => HPS_SD_CLK, -- .hps_io_sdio_inst_CLK
hps_io_hps_io_sdio_inst_D2 => HPS_SD_DATA(2), -- .hps_io_sdio_inst_D2
hps_io_hps_io_sdio_inst_D3 => HPS_SD_DATA(3), -- .hps_io_sdio_inst_D3
hps_io_hps_io_usb1_inst_D0 => HPS_USB_DATA(0), -- .hps_io_usb1_inst_D0
hps_io_hps_io_usb1_inst_D1 => HPS_USB_DATA(1), -- .hps_io_usb1_inst_D1
hps_io_hps_io_usb1_inst_D2 => HPS_USB_DATA(2), -- .hps_io_usb1_inst_D2
hps_io_hps_io_usb1_inst_D3 => HPS_USB_DATA(3), -- .hps_io_usb1_inst_D3
hps_io_hps_io_usb1_inst_D4 => HPS_USB_DATA(4), -- .hps_io_usb1_inst_D4
hps_io_hps_io_usb1_inst_D5 => HPS_USB_DATA(5), -- .hps_io_usb1_inst_D5
hps_io_hps_io_usb1_inst_D6 => HPS_USB_DATA(6), -- .hps_io_usb1_inst_D6
hps_io_hps_io_usb1_inst_D7 => HPS_USB_DATA(7), -- .hps_io_usb1_inst_D7
hps_io_hps_io_usb1_inst_CLK => HPS_USB_CLKOUT, -- .hps_io_usb1_inst_CLK
hps_io_hps_io_usb1_inst_STP => HPS_USB_STP, -- .hps_io_usb1_inst_STP
hps_io_hps_io_usb1_inst_DIR => HPS_USB_DIR, -- .hps_io_usb1_inst_DIR
hps_io_hps_io_usb1_inst_NXT => HPS_USB_NXT, -- .hps_io_usb1_inst_NXT
hps_io_hps_io_uart0_inst_RX => HPS_UART_RX, -- .hps_io_uart0_inst_RX
hps_io_hps_io_uart0_inst_TX => HPS_UART_TX, -- .hps_io_uart0_inst_TX
cpu_0_rx_0_external_connection_export => cpu_0_rx_0_external_connection_export ,
cpu_0_rx_1_external_connection_export => cpu_0_rx_1_external_connection_export ,
cpu_0_rx_2_external_connection_export => cpu_0_rx_2_external_connection_export ,
cpu_0_rx_3_external_connection_export => cpu_0_rx_3_external_connection_export ,
cpu_0_rx_4_external_connection_export => cpu_0_rx_4_external_connection_export ,
cpu_0_rx_5_external_connection_export => cpu_0_rx_5_external_connection_export ,
cpu_0_rx_6_external_connection_export => cpu_0_rx_6_external_connection_export ,
cpu_0_rx_7_external_connection_export => cpu_0_rx_7_external_connection_export ,
cpu_0_tx_0_external_connection_export => cpu_0_tx_0_external_connection_export ,
cpu_0_tx_1_external_connection_export => cpu_0_tx_1_external_connection_export ,
cpu_0_tx_2_external_connection_export => cpu_0_tx_2_external_connection_export ,
cpu_0_tx_3_external_connection_export => cpu_0_tx_3_external_connection_export ,
cpu_0_tx_4_external_connection_export => cpu_0_tx_4_external_connection_export ,
cpu_0_tx_5_external_connection_export => cpu_0_tx_5_external_connection_export ,
cpu_0_tx_6_external_connection_export => cpu_0_tx_6_external_connection_export ,
cpu_0_tx_7_external_connection_export => cpu_0_tx_7_external_connection_export ,
cpu_1_rx_0_external_connection_export => cpu_1_rx_0_external_connection_export ,
cpu_1_rx_1_external_connection_export => cpu_1_rx_1_external_connection_export ,
cpu_1_rx_2_external_connection_export => cpu_1_rx_2_external_connection_export ,
cpu_1_rx_3_external_connection_export => cpu_1_rx_3_external_connection_export ,
cpu_1_rx_4_external_connection_export => cpu_1_rx_4_external_connection_export ,
cpu_1_rx_5_external_connection_export => cpu_1_rx_5_external_connection_export ,
cpu_1_rx_6_external_connection_export => cpu_1_rx_6_external_connection_export ,
cpu_1_rx_7_external_connection_export => cpu_1_rx_7_external_connection_export ,
cpu_1_tx_0_external_connection_export => cpu_1_tx_0_external_connection_export ,
cpu_1_tx_1_external_connection_export => cpu_1_tx_1_external_connection_export ,
cpu_1_tx_2_external_connection_export => cpu_1_tx_2_external_connection_export ,
cpu_1_tx_3_external_connection_export => cpu_1_tx_3_external_connection_export ,
cpu_1_tx_4_external_connection_export => cpu_1_tx_4_external_connection_export ,
cpu_1_tx_5_external_connection_export => cpu_1_tx_5_external_connection_export ,
cpu_1_tx_6_external_connection_export => cpu_1_tx_6_external_connection_export ,
cpu_1_tx_7_external_connection_export => cpu_1_tx_7_external_connection_export ,
noc_ctrl_0_external_connection_export => noc_ctrl_0_external_connection_export ,
noc_ctrl_1_external_connection_export => noc_ctrl_1_external_connection_export ,
noc_status_0_external_connection_export => noc_status_0_external_connection_export,
noc_status_1_external_connection_export => noc_status_1_external_connection_export,
pll_0_outclk0_clk => VGA_CLK_SIG,
noc_clock_clk => noc_clock_clk
);
u1 : component mkNetworkSimple
port map(
CLK => CLOCK_50 ,
RST_N => not noc_rst ,
send_ports_0_putFlit_flit_in => send_ports_0_putFlit_flit_in ,
EN_send_ports_0_putFlit => EN_send_ports_0_putFlit ,
EN_send_ports_0_getNonFullVCs => EN_send_ports_0_getNonFullVCs ,
send_ports_0_getNonFullVCs => send_ports_0_getNonFullVCs ,
send_ports_1_putFlit_flit_in => send_ports_1_putFlit_flit_in ,
EN_send_ports_1_putFlit => EN_send_ports_1_putFlit ,
EN_send_ports_1_getNonFullVCs => EN_send_ports_1_getNonFullVCs ,
send_ports_1_getNonFullVCs => send_ports_1_getNonFullVCs ,
send_ports_2_putFlit_flit_in => send_ports_2_putFlit_flit_in ,
EN_send_ports_2_putFlit => EN_send_ports_2_putFlit ,
EN_send_ports_2_getNonFullVCs => EN_send_ports_2_getNonFullVCs ,
send_ports_2_getNonFullVCs => send_ports_2_getNonFullVCs ,
send_ports_3_putFlit_flit_in => send_ports_3_putFlit_flit_in ,
EN_send_ports_3_putFlit => EN_send_ports_3_putFlit ,
EN_send_ports_3_getNonFullVCs => EN_send_ports_3_getNonFullVCs ,
send_ports_3_getNonFullVCs => send_ports_3_getNonFullVCs ,
send_ports_4_putFlit_flit_in => send_ports_4_putFlit_flit_in ,
EN_send_ports_4_putFlit => EN_send_ports_4_putFlit ,
EN_send_ports_4_getNonFullVCs => EN_send_ports_4_getNonFullVCs ,
send_ports_4_getNonFullVCs => send_ports_4_getNonFullVCs ,
send_ports_5_putFlit_flit_in => send_ports_5_putFlit_flit_in ,
EN_send_ports_5_putFlit => EN_send_ports_5_putFlit ,
EN_send_ports_5_getNonFullVCs => EN_send_ports_5_getNonFullVCs ,
send_ports_5_getNonFullVCs => send_ports_5_getNonFullVCs ,
send_ports_6_putFlit_flit_in => send_ports_6_putFlit_flit_in ,
EN_send_ports_6_putFlit => EN_send_ports_6_putFlit ,
EN_send_ports_6_getNonFullVCs => EN_send_ports_6_getNonFullVCs ,
send_ports_6_getNonFullVCs => send_ports_6_getNonFullVCs ,
send_ports_7_putFlit_flit_in => send_ports_7_putFlit_flit_in ,
EN_send_ports_7_putFlit => EN_send_ports_7_putFlit ,
EN_send_ports_7_getNonFullVCs => EN_send_ports_7_getNonFullVCs ,
send_ports_7_getNonFullVCs => send_ports_7_getNonFullVCs ,
send_ports_8_putFlit_flit_in => send_ports_8_putFlit_flit_in ,
EN_send_ports_8_putFlit => EN_send_ports_8_putFlit ,
EN_send_ports_8_getNonFullVCs => EN_send_ports_8_getNonFullVCs ,
send_ports_8_getNonFullVCs => send_ports_8_getNonFullVCs ,
EN_recv_ports_0_getFlit => EN_recv_ports_0_getFlit ,
recv_ports_0_getFlit => recv_ports_0_getFlit ,
recv_ports_0_putNonFullVCs_nonFullVCs => recv_ports_0_putNonFullVCs_nonFullVCs ,
EN_recv_ports_0_putNonFullVCs => EN_recv_ports_0_putNonFullVCs ,
EN_recv_ports_1_getFlit => EN_recv_ports_1_getFlit ,
recv_ports_1_getFlit => recv_ports_1_getFlit ,
recv_ports_1_putNonFullVCs_nonFullVCs => recv_ports_1_putNonFullVCs_nonFullVCs ,
EN_recv_ports_1_putNonFullVCs => EN_recv_ports_1_putNonFullVCs ,
EN_recv_ports_2_getFlit => EN_recv_ports_2_getFlit ,
recv_ports_2_getFlit => recv_ports_2_getFlit ,
recv_ports_2_putNonFullVCs_nonFullVCs => recv_ports_2_putNonFullVCs_nonFullVCs ,
EN_recv_ports_2_putNonFullVCs => EN_recv_ports_2_putNonFullVCs ,
EN_recv_ports_3_getFlit => EN_recv_ports_3_getFlit ,
recv_ports_3_getFlit => recv_ports_3_getFlit ,
recv_ports_3_putNonFullVCs_nonFullVCs => recv_ports_3_putNonFullVCs_nonFullVCs ,
EN_recv_ports_3_putNonFullVCs => EN_recv_ports_3_putNonFullVCs ,
EN_recv_ports_4_getFlit => EN_recv_ports_4_getFlit ,
recv_ports_4_getFlit => recv_ports_4_getFlit ,
recv_ports_4_putNonFullVCs_nonFullVCs => recv_ports_4_putNonFullVCs_nonFullVCs ,
EN_recv_ports_4_putNonFullVCs => EN_recv_ports_4_putNonFullVCs ,
EN_recv_ports_5_getFlit => EN_recv_ports_5_getFlit ,
recv_ports_5_getFlit => recv_ports_5_getFlit ,
recv_ports_5_putNonFullVCs_nonFullVCs => recv_ports_5_putNonFullVCs_nonFullVCs ,
EN_recv_ports_5_putNonFullVCs => EN_recv_ports_5_putNonFullVCs ,
EN_recv_ports_6_getFlit => EN_recv_ports_6_getFlit ,
recv_ports_6_getFlit => recv_ports_6_getFlit ,
recv_ports_6_putNonFullVCs_nonFullVCs => recv_ports_6_putNonFullVCs_nonFullVCs ,
EN_recv_ports_6_putNonFullVCs => EN_recv_ports_6_putNonFullVCs ,
EN_recv_ports_7_getFlit => EN_recv_ports_7_getFlit ,
recv_ports_7_getFlit => recv_ports_7_getFlit ,
recv_ports_7_putNonFullVCs_nonFullVCs => recv_ports_7_putNonFullVCs_nonFullVCs ,
EN_recv_ports_7_putNonFullVCs => EN_recv_ports_7_putNonFullVCs ,
EN_recv_ports_8_getFlit => EN_recv_ports_8_getFlit ,
recv_ports_8_getFlit => recv_ports_8_getFlit ,
recv_ports_8_putNonFullVCs_nonFullVCs => recv_ports_8_putNonFullVCs_nonFullVCs ,
EN_recv_ports_8_putNonFullVCs => EN_recv_ports_8_putNonFullVCs ,
recv_ports_info_0_getRecvPortID => recv_ports_info_0_getRecvPortID ,
recv_ports_info_1_getRecvPortID => recv_ports_info_1_getRecvPortID ,
recv_ports_info_2_getRecvPortID => recv_ports_info_2_getRecvPortID ,
recv_ports_info_3_getRecvPortID => recv_ports_info_3_getRecvPortID ,
recv_ports_info_4_getRecvPortID => recv_ports_info_4_getRecvPortID ,
recv_ports_info_5_getRecvPortID => recv_ports_info_5_getRecvPortID ,
recv_ports_info_6_getRecvPortID => recv_ports_info_6_getRecvPortID ,
recv_ports_info_7_getRecvPortID => recv_ports_info_7_getRecvPortID ,
recv_ports_info_8_getRecvPortID => recv_ports_info_8_getRecvPortID ,
send_ports_9_putFlit_flit_in => send_ports_9_putFlit_flit_in ,
EN_send_ports_9_putFlit => EN_send_ports_9_putFlit ,
EN_send_ports_9_getNonFullVCs => EN_send_ports_9_getNonFullVCs ,
send_ports_9_getNonFullVCs => send_ports_9_getNonFullVCs ,
send_ports_10_putFlit_flit_in => send_ports_10_putFlit_flit_in ,
EN_send_ports_10_putFlit => EN_send_ports_10_putFlit ,
EN_send_ports_10_getNonFullVCs => EN_send_ports_10_getNonFullVCs ,
send_ports_10_getNonFullVCs => send_ports_10_getNonFullVCs ,
send_ports_11_putFlit_flit_in => send_ports_11_putFlit_flit_in ,
EN_send_ports_11_putFlit => EN_send_ports_11_putFlit ,
EN_send_ports_11_getNonFullVCs => EN_send_ports_11_getNonFullVCs ,
send_ports_11_getNonFullVCs => send_ports_11_getNonFullVCs ,
EN_recv_ports_9_getFlit => EN_recv_ports_9_getFlit ,
recv_ports_9_getFlit => recv_ports_9_getFlit ,
recv_ports_9_putNonFullVCs_nonFullVCs => recv_ports_9_putNonFullVCs_nonFullVCs ,
EN_recv_ports_9_putNonFullVCs => EN_recv_ports_9_putNonFullVCs ,
EN_recv_ports_10_getFlit => EN_recv_ports_10_getFlit ,
recv_ports_10_getFlit => recv_ports_10_getFlit ,
recv_ports_10_putNonFullVCs_nonFullVCs => recv_ports_10_putNonFullVCs_nonFullVCs ,
EN_recv_ports_10_putNonFullVCs => EN_recv_ports_10_putNonFullVCs ,
EN_recv_ports_11_getFlit => EN_recv_ports_11_getFlit ,
recv_ports_11_getFlit => recv_ports_11_getFlit ,
recv_ports_11_putNonFullVCs_nonFullVCs => recv_ports_11_putNonFullVCs_nonFullVCs ,
EN_recv_ports_11_putNonFullVCs => EN_recv_ports_11_putNonFullVCs ,
recv_ports_info_9_getRecvPortID => recv_ports_info_9_getRecvPortID ,
recv_ports_info_10_getRecvPortID => recv_ports_info_10_getRecvPortID ,
recv_ports_info_11_getRecvPortID => recv_ports_info_11_getRecvPortID
);
i0: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth,
use_vc => 1)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe0,
dest_addr => dest_addr_pe0,
set_tail_flit => set_tail_flit_pe0,
send_flit => send_flit_pe0,
ready_to_send => ready_to_send_pe0,
recv_data => recv_data_pe0,
src_addr => src_addr_pe0,
is_tail_flit => is_tail_flit_pe0,
data_in_buffer => data_in_buffer_pe0,
dequeue => dequeue_pe0,
select_vc_read => select_vc_read_pe0,
send_putFlit_flit_in => send_ports_0_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_0_putFlit,
EN_send_getNonFullVCs => EN_send_ports_0_getNonFullVCs,
send_getNonFullVCs => send_ports_0_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_0_getFlit,
recv_getFlit => recv_ports_0_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_0_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_0_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_0_getRecvPortID);
i1: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe1,
dest_addr => dest_addr_pe1,
set_tail_flit => set_tail_flit_pe1,
send_flit => send_flit_pe1,
ready_to_send => ready_to_send_pe1,
recv_data => recv_data_pe1,
src_addr => src_addr_pe1,
is_tail_flit => is_tail_flit_pe1,
data_in_buffer => data_in_buffer_pe1,
dequeue => dequeue_pe1,
select_vc_read => select_vc_read_pe1,
send_putFlit_flit_in => send_ports_1_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_1_putFlit,
EN_send_getNonFullVCs => EN_send_ports_1_getNonFullVCs,
send_getNonFullVCs => send_ports_1_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_1_getFlit,
recv_getFlit => recv_ports_1_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_1_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_1_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_1_getRecvPortID);
i2: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe2,
dest_addr => dest_addr_pe2,
set_tail_flit => set_tail_flit_pe2,
send_flit => send_flit_pe2,
ready_to_send => ready_to_send_pe2,
recv_data => recv_data_pe2,
src_addr => src_addr_pe2,
is_tail_flit => is_tail_flit_pe2,
data_in_buffer => data_in_buffer_pe2,
dequeue => dequeue_pe2,
select_vc_read => select_vc_read_pe2,
send_putFlit_flit_in => send_ports_2_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_2_putFlit,
EN_send_getNonFullVCs => EN_send_ports_2_getNonFullVCs,
send_getNonFullVCs => send_ports_2_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_2_getFlit,
recv_getFlit => recv_ports_2_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_2_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_2_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_2_getRecvPortID);
i4: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe4,
dest_addr => dest_addr_pe4,
set_tail_flit => set_tail_flit_pe4,
send_flit => send_flit_pe4,
ready_to_send => ready_to_send_pe4,
recv_data => recv_data_pe4,
src_addr => src_addr_pe4,
is_tail_flit => is_tail_flit_pe4,
data_in_buffer => data_in_buffer_pe4,
dequeue => dequeue_pe4,
select_vc_read => select_vc_read_pe4,
send_putFlit_flit_in => send_ports_4_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_4_putFlit,
EN_send_getNonFullVCs => EN_send_ports_4_getNonFullVCs,
send_getNonFullVCs => send_ports_4_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_4_getFlit,
recv_getFlit => recv_ports_4_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_4_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_4_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_4_getRecvPortID);
i5: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe5,
dest_addr => dest_addr_pe5,
set_tail_flit => set_tail_flit_pe5,
send_flit => send_flit_pe5,
ready_to_send => ready_to_send_pe5,
recv_data => recv_data_pe5,
src_addr => src_addr_pe5,
is_tail_flit => is_tail_flit_pe5,
data_in_buffer => data_in_buffer_pe5,
dequeue => dequeue_pe5,
select_vc_read => select_vc_read_pe5,
send_putFlit_flit_in => send_ports_5_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_5_putFlit,
EN_send_getNonFullVCs => EN_send_ports_5_getNonFullVCs,
send_getNonFullVCs => send_ports_5_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_5_getFlit,
recv_getFlit => recv_ports_5_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_5_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_5_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_5_getRecvPortID);
i6: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe6,
dest_addr => dest_addr_pe6,
set_tail_flit => set_tail_flit_pe6,
send_flit => send_flit_pe6,
ready_to_send => ready_to_send_pe6,
recv_data => recv_data_pe6,
src_addr => src_addr_pe6,
is_tail_flit => is_tail_flit_pe6,
data_in_buffer => data_in_buffer_pe6,
dequeue => dequeue_pe6,
select_vc_read => select_vc_read_pe6,
send_putFlit_flit_in => send_ports_6_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_6_putFlit,
EN_send_getNonFullVCs => EN_send_ports_6_getNonFullVCs,
send_getNonFullVCs => send_ports_6_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_6_getFlit,
recv_getFlit => recv_ports_6_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_6_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_6_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_6_getRecvPortID);
i7: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth,
use_vc => 0)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe7,
dest_addr => dest_addr_pe7,
set_tail_flit => set_tail_flit_pe7,
send_flit => send_flit_pe7,
ready_to_send => ready_to_send_pe7,
recv_data => recv_data_pe7,
src_addr => src_addr_pe7,
is_tail_flit => is_tail_flit_pe7,
data_in_buffer => data_in_buffer_pe7,
dequeue => dequeue_pe7,
select_vc_read => select_vc_read_pe7,
send_putFlit_flit_in => send_ports_7_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_7_putFlit,
EN_send_getNonFullVCs => EN_send_ports_7_getNonFullVCs,
send_getNonFullVCs => send_ports_7_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_7_getFlit,
recv_getFlit => recv_ports_7_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_7_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_7_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_7_getRecvPortID);
i8: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth,
use_vc => 0)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe8,
dest_addr => dest_addr_pe8,
set_tail_flit => set_tail_flit_pe8,
send_flit => send_flit_pe8,
ready_to_send => ready_to_send_pe8,
recv_data => recv_data_pe8,
src_addr => src_addr_pe8,
is_tail_flit => is_tail_flit_pe8,
data_in_buffer => data_in_buffer_pe8,
dequeue => dequeue_pe8,
select_vc_read => select_vc_read_pe8,
send_putFlit_flit_in => send_ports_8_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_8_putFlit,
EN_send_getNonFullVCs => EN_send_ports_8_getNonFullVCs,
send_getNonFullVCs => send_ports_8_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_8_getFlit,
recv_getFlit => recv_ports_8_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_8_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_8_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_8_getRecvPortID);
i9: noc_interface
generic map(
data_width => data_width,
addr_width => addr_width,
vc_sel_width => vc_sel_width,
num_vc => num_vc,
flit_buff_depth => flit_buff_depth,
use_vc => 0)
port map(
clk => clock_50,
rst => noc_rst,
send_data => send_data_pe9,
dest_addr => dest_addr_pe9,
set_tail_flit => set_tail_flit_pe9,
send_flit => send_flit_pe9,
ready_to_send => ready_to_send_pe9,
recv_data => recv_data_pe9,
src_addr => src_addr_pe9,
is_tail_flit => is_tail_flit_pe9,
data_in_buffer => data_in_buffer_pe9,
dequeue => dequeue_pe9,
select_vc_read => select_vc_read_pe9,
send_putFlit_flit_in => send_ports_9_putFlit_flit_in,
EN_send_putFlit => EN_send_ports_9_putFlit,
EN_send_getNonFullVCs => EN_send_ports_9_getNonFullVCs,
send_getNonFullVCs => send_ports_9_getNonFullVCs,
EN_recv_getFlit => EN_recv_ports_9_getFlit,
recv_getFlit => recv_ports_9_getFlit,
recv_putNonFullVCs_nonFullVCs => recv_ports_9_putNonFullVCs_nonFullVCs,
EN_recv_putNonFullVCs => EN_recv_ports_9_putNonFullVCs,
recv_info_getRecvPortID => recv_ports_info_9_getRecvPortID);
n0: component deblocking_filter_node
generic map(
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe5,
src_addr => src_addr_pe5,
is_tail_flit => is_tail_flit_pe5,
data_in_buffer => data_in_buffer_pe5,
dequeue => dequeue_pe5,
select_vc_read => select_vc_read_pe5,
send_data => send_data_pe5,
dest_addr => dest_addr_pe5,
set_tail_flit => set_tail_flit_pe5,
send_flit => send_flit_pe5,
ready_to_send => ready_to_send_pe5
);
n1: component inter_node
generic map(
size_x => 16,
size_y => 9,
interp_x => 4 ,
interp_y => 2 ,
sample_size => 8 ,
samples_per_wr => 8 ,
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe2,
src_addr => src_addr_pe2,
is_tail_flit => is_tail_flit_pe2,
data_in_buffer => data_in_buffer_pe2,
dequeue => dequeue_pe2,
select_vc_read => select_vc_read_pe2,
send_data => send_data_pe2,
dest_addr => dest_addr_pe2,
set_tail_flit => set_tail_flit_pe2,
send_flit => send_flit_pe2,
ready_to_send => ready_to_send_pe2
);
n3: component noc_control_plus
generic map(
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe0,
src_addr => src_addr_pe0,
is_tail_flit => is_tail_flit_pe0,
data_in_buffer => data_in_buffer_pe0,
dequeue => dequeue_pe0,
select_vc_read => select_vc_read_pe0,
send_data => send_data_pe0,
dest_addr => dest_addr_pe0,
set_tail_flit => set_tail_flit_pe0,
send_flit => send_flit_pe0,
ready_to_send => ready_to_send_pe0,
set_tail_cpu => noc_ctrl_cpu_0(31),
addr_cpu => std_logic_vector(resize(unsigned(noc_ctrl_cpu_0(23 downto 16)),addr_width)),
format_select => noc_ctrl_cpu_0(7 downto 0),
send_cmd_cpu => noc_ctrl_cpu_0(30),
send_ack => noc_sts_cpu_0(31),
parse_select => noc_ctrl_cpu_0(15 downto 8),
cpu_rx_ctrl => noc_ctrl_cpu_0(29),
rx_state_out => noc_sts_cpu_0(7 downto 0),
rx_0 => cpu_0_rx_0_external_connection_export,
rx_1 => cpu_0_rx_1_external_connection_export,
rx_2 => cpu_0_rx_2_external_connection_export,
rx_3 => cpu_0_rx_3_external_connection_export,
rx_4 => cpu_0_rx_4_external_connection_export,
rx_5 => cpu_0_rx_5_external_connection_export,
rx_6 => cpu_0_rx_6_external_connection_export,
rx_7 => cpu_0_rx_7_external_connection_export,
tx_0 => cpu_0_tx_0_external_connection_export,
tx_1 => cpu_0_tx_1_external_connection_export,
tx_2 => cpu_0_tx_2_external_connection_export,
tx_3 => cpu_0_tx_3_external_connection_export,
tx_4 => cpu_0_tx_4_external_connection_export,
tx_5 => cpu_0_tx_5_external_connection_export,
tx_6 => cpu_0_tx_6_external_connection_export,
tx_7 => cpu_0_tx_7_external_connection_export
);
n4: component chroma_motion
generic map(
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe4,
src_addr => src_addr_pe4,
is_tail_flit => is_tail_flit_pe4,
data_in_buffer => data_in_buffer_pe4,
dequeue => dequeue_pe4,
select_vc_read => select_vc_read_pe4,
send_data => send_data_pe4,
dest_addr => dest_addr_pe4,
set_tail_flit => set_tail_flit_pe4,
send_flit => send_flit_pe4,
ready_to_send => ready_to_send_pe4
);
n6: component iqit_node
generic map(
sample_width => 8,
qp_width => 8,
wo_dc_width => 8,
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe6,
src_addr => src_addr_pe6,
is_tail_flit => is_tail_flit_pe6,
data_in_buffer => data_in_buffer_pe6,
dequeue => dequeue_pe6,
select_vc_read => select_vc_read_pe6,
send_data => send_data_pe6,
dest_addr => dest_addr_pe6,
set_tail_flit => set_tail_flit_pe6,
send_flit => send_flit_pe6,
ready_to_send => ready_to_send_pe6
);
n7: component noc_control_plus
generic map(
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe7,
src_addr => src_addr_pe7,
is_tail_flit => is_tail_flit_pe7,
data_in_buffer => data_in_buffer_pe7,
dequeue => dequeue_pe7,
select_vc_read => select_vc_read_pe7,
send_data => send_data_pe7,
dest_addr => dest_addr_pe7,
set_tail_flit => set_tail_flit_pe7,
send_flit => send_flit_pe7,
ready_to_send => ready_to_send_pe7,
set_tail_cpu => noc_ctrl_cpu_1(31),
addr_cpu => std_logic_vector(resize(unsigned(noc_ctrl_cpu_1(23 downto 16)),addr_width)),
format_select => noc_ctrl_cpu_1(7 downto 0),
send_cmd_cpu => noc_ctrl_cpu_1(30),
send_ack => noc_sts_cpu_1(31),
parse_select => noc_ctrl_cpu_1(15 downto 8),
cpu_rx_ctrl => noc_ctrl_cpu_1(29),
rx_state_out => noc_sts_cpu_1(7 downto 0),
rx_0 => cpu_1_rx_0_external_connection_export,
rx_1 => cpu_1_rx_1_external_connection_export,
rx_2 => cpu_1_rx_2_external_connection_export,
rx_3 => cpu_1_rx_3_external_connection_export,
rx_4 => cpu_1_rx_4_external_connection_export,
rx_5 => cpu_1_rx_5_external_connection_export,
rx_6 => cpu_1_rx_6_external_connection_export,
rx_7 => cpu_1_rx_7_external_connection_export,
tx_0 => cpu_1_tx_0_external_connection_export,
tx_1 => cpu_1_tx_1_external_connection_export,
tx_2 => cpu_1_tx_2_external_connection_export,
tx_3 => cpu_1_tx_3_external_connection_export,
tx_4 => cpu_1_tx_4_external_connection_export,
tx_5 => cpu_1_tx_5_external_connection_export,
tx_6 => cpu_1_tx_6_external_connection_export,
tx_7 => cpu_1_tx_7_external_connection_export
);
n8: component vga_node
generic map(
data_width => data_width ,
addr_width => addr_width ,
vc_sel_width => vc_sel_width ,
num_vc => num_vc ,
flit_buff_depth => flit_buff_depth
)
port map(
clk => clock_50,
rst => noc_rst,
recv_data => recv_data_pe8,
src_addr => src_addr_pe8,
is_tail_flit => is_tail_flit_pe8,
data_in_buffer => data_in_buffer_pe8,
dequeue => dequeue_pe8,
select_vc_read => select_vc_read_pe8,
send_data => send_data_pe8,
dest_addr => dest_addr_pe8,
set_tail_flit => set_tail_flit_pe8,
send_flit => send_flit_pe8,
ready_to_send => ready_to_send_pe8,
clk27 => vga_clk_sig,
rst27 => noc_rst,
vga_red => vga_r(7 downto 2),
vga_blue => vga_b(7 downto 2),
vga_green => vga_g(7 downto 2),
vga_v_sync => vga_vs,
vga_h_sync => vga_hs
);
--n9: component inter_node
--generic map(
--size_x => 16,
--size_y => 9,
--interp_x => 4 ,
--interp_y => 2 ,
--sample_size => 8 ,
--samples_per_wr => 8 ,
--data_width => data_width ,
--addr_width => addr_width ,
--vc_sel_width => vc_sel_width ,
--num_vc => num_vc ,
--flit_buff_depth => flit_buff_depth
--)
--port map(
-- clk => clock_50,
-- rst => noc_rst,
-- recv_data => recv_data_pe9,
-- src_addr => src_addr_pe9,
-- is_tail_flit => is_tail_flit_pe9,
-- data_in_buffer => data_in_buffer_pe9,
-- dequeue => dequeue_pe9,
-- select_vc_read => select_vc_read_pe9,
-- send_data => send_data_pe9,
-- dest_addr => dest_addr_pe9,
-- set_tail_flit => set_tail_flit_pe9,
-- send_flit => send_flit_pe9,
-- ready_to_send => ready_to_send_pe9
--);
VGA_CLK <= vga_clk_sig;
VGA_BLANK_N <= '1';
VGA_SYNC_N <= '1';
VGA_R(1 downto 0) <= (others => '0');
VGA_G(1 downto 0) <= (others => '0');
VGA_B(1 downto 0) <= (others => '0');
--noc_status_export(31 downto 4) <= (others => '0');
noc_rst <= noc_ctrl_cpu_0(28) or noc_ctrl_cpu_1(28);
noc_ctrl_cpu_0 <= noc_ctrl_0_external_connection_export;
noc_ctrl_cpu_1 <= noc_ctrl_1_external_connection_export;
noc_status_0_external_connection_export <= noc_sts_cpu_0;
noc_status_1_external_connection_export <= noc_sts_cpu_1;
END MAIN; |
library IEEE;
use IEEE.std_logic_1164.all;
use iEEE.numeric_std.all;
-- Alunos: Adan Pereira Gomes e Wesley Mayk Gama Luz
entity contador_decrescente is
generic (
in_width : positive := 4;
out_width: positive := 4
);
port (
clock, reset, enable: in std_logic;
in_value : in std_logic_vector(in_width-1 downto 0);
m0, m1 : out std_logic_vector(out_width-1 downto 0)
);
end entity;
architecture FSM of contador_decrescente is
type State is (init, count, sm0, sm1, zero);
signal actualState, nextState: State;
signal sn_m0, sn_m1: positive range 0 to 9;
signal tempo: positive range 0 to 59;
begin
-- next state logic
LPE: process(actualState, tempo, sn_m0, sn_m1) is
begin
nextState <= actualState;
case actualState is
when init =>
nextState <= count;
when count =>
if tempo = 59 and sn_m1 /= 0 and sn_m0 /= 0 then
nextState <= sm0;
elsif tempo = 59 and sn_m1 /= 0 and sn_m0 = 0 then
nextState <= sm1;
elsif tempo = 59 and sn_m1 = 0 and sn_m0 = 0 then
nextState <= zero;
end if;
when sm0 =>
nextState <= count;
when sm1 =>
nextState <= count;
end case;
end process;
-- state element (memory)
ME: process(clock, reset) is
begin
if reset = '0' then
actualState <= init;
elsif rising_edge(clock) then
if enable = '1' then
actualState <= nextState;
end if;
end if;
end process;
-- output-logic
OL: process(actualState) is
begin
case actualState is
when init =>
tempo <= 0;
sn_m0 <= 0;
sn_m1 <= unsigned(in_value);
when count =>
tempo <= tempo + 1 ;
sn_m0 <= 0;
sn_m1 <= 0;
when sm0 =>
tempo <= 0 ;
sn_m0 <= sn_m0 - 1;
sn_m1 <= sn_m1;
when sm1 =>
tempo <= 0;
sn_m0 <= 9;
sn_m1 <= sn_m1 - 1;
end case;
m0 <= std_logic_vector(to_unsigned(sn_m0, m0'length));
m1 <= std_logic_vector(to_unsigned(sn_m1, m1'length));
end process;
end architecture;
|
-------------------------------------------------------------------------------
--
-- MSX1 FPGA project
--
-- Copyright (c) 2016, Fabio Belavenuto ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity romnextor is
port (
reset_i : in std_logic;
clock_i : in std_logic;
enable_i : in std_logic;
addr_i : in std_logic_vector(15 downto 0);
data_i : in std_logic_vector( 7 downto 0);
sltsl_n_i : in std_logic;
rd_n_i : in std_logic;
wr_n_i : in std_logic;
--
rom_cs_o : out std_logic;
rom_wr_o : out std_logic;
rom_page_o : out std_logic_vector( 2 downto 0)
);
end entity;
architecture Behavior of romnextor is
signal rom_page_s : std_logic_vector(2 downto 0);
signal ram_wr_s : std_logic;
begin
-- Writes
process (reset_i, clock_i)
begin
if reset_i = '1' then
rom_page_s <= (others => '0');
elsif falling_edge(clock_i) then
if enable_i = '1' then
if sltsl_n_i = '0' and wr_n_i = '0' and addr_i = X"6000" then
rom_page_s <= data_i(2 downto 0);
end if;
end if;
end if;
end process;
rom_page_o <= rom_page_s;
ram_wr_s <= '1' when sltsl_n_i = '0' and wr_n_i = '0' and rom_page_s = "111" and
addr_i >= X"7000" and addr_i <= X"7FD0" else
'0';
rom_cs_o <= '0' when enable_i = '0' else
'1' when sltsl_n_i = '0' and rd_n_i = '0' and addr_i(15 downto 14) = "01" else
'1' when ram_wr_s = '1' else
'0';
rom_wr_o <= '0' when enable_i = '0' else
'1' when ram_wr_s = '1' else
'0';
end architecture; |
--------------------------------------------------------------------------------
--
-- FIFO Generator v8.4 Core - core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: FIFO_DDR_DATA_IN_top.vhd
--
-- Description:
-- This is the FIFO core wrapper with BUFG instances for clock connections.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity FIFO_DDR_DATA_IN_top is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(16-1 DOWNTO 0);
DOUT : OUT std_logic_vector(16-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end FIFO_DDR_DATA_IN_top;
architecture xilinx of FIFO_DDR_DATA_IN_top is
SIGNAL wr_clk_i : std_logic;
SIGNAL rd_clk_i : std_logic;
component FIFO_DDR_DATA_IN is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(16-1 DOWNTO 0);
DOUT : OUT std_logic_vector(16-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
wr_clk_buf: bufg
PORT map(
i => WR_CLK,
o => wr_clk_i
);
rd_clk_buf: bufg
PORT map(
i => RD_CLK,
o => rd_clk_i
);
fg0 : FIFO_DDR_DATA_IN PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
RST => rst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block
XOWtDNZRv4FbcK5HgglDAnuoXeeEtQzM+5dwtbTltQeOcgiGL0ldThovapKXwlYS6j3SjEpt1ctW
l0HJEu5CZQbd72e/yXjziCbCs84D4Hl2Vork8+ulXUTominLbvkE4YkL+H3FyGOZakEzvv3vHoQi
bGRSzkjeHwbXiPYH59tq7tQeJMQxgUe+oj3vs74AkG1R9+2YXPdoq+c0ike49CI+BgwG1ugOKpIs
VgL02xZMnagJKOXiUgf6pQ6HJDu7a4cb6y+rbPzvCZD3U39xEI3Y25hhH1UhPljfkI5NOn8Vu/l1
pd47R931h0LHGQ9zMdTwFILbWSSgIXW+Ww2X6UYKBg6+XDIGfN9yLUc0j67yNx93C6w7mxcdUnEf
d5XBmYrPp9/EcMoTf0aob/1TYF6e3buFL344aO7XizDEZRYc7KG+GUeDaZr1RZaf39ICNvcxuUup
fPl6qYVf8bE9LBoHxQs5BKNxGe0s69ylsGwN9ne9Mu/voJBkPMqFF2/pXAkTAXy5CiAyNLz2D4Qj
Kj4V0wZXh6DUewkdBgOgMdnG4/SHl1hzGU1DdVr1bgJrunH3p4DNx7ETzJJKPsKmU685dPc30nwz
G1ysAPjxF4rsT7ysCwcKpFB5BSTTWnol85/tmFsSpvgHZbfkKPGr9PEQFg0S+Nth2MZL9N1sC+eV
I65XtorUCbUU6VD3zsuk5T9ykchUyiijID+xcwuAAaH6QhEosv3sG3+v4M9FbpyXXU2dv+3hmD+Q
3qI1UDa8udQd8CyVOIu/DMs7GWf+DfuY5Neb07hYJcNC12Web7v6KPXVxOxGkE1kdqsK564hww9I
7if5qaA3BuMtfL3+lBhZn5GKYywMy60g2SdSoxO5GNEuBLToM97ulyb91wYTxuvvcJ1nyjBVlC90
mSw7nXfXJjhsbDlqix6aaMoQ5mq6WniCyO3s28BGiKiKbeuQhEmN97BgNY4qvZ3ZxA+oM8ipbDzv
OypQL+vvEilICjXzp7hJBlgb4Cd1aCebECNJrqe/AMHC4+pHwAd7aC9eMinjkl0PDhteljDSS4SH
SI0sNHQr6d0Jhzp4UrvhRDvfJ9nxbh/F6dtS/msNc+vgGt8T0wmN48DxMu93EAv6wTDclbebzZFe
iYRCgpUN+wPNk1rZQ6OWwU4Q2uE51AjSfHdzUotLRFgcfVYCKBHfqqt1xlPe15VS1bRsW2tteYvo
a8vf1a62LKECyibR5UbX0qp5OwFpQDxVEu7qWqv9DpXrjTpTPEnCw/er6wej0h9FGgxxiUC48PKt
t5PRY2pjB6y6ZEO/wrO3MUfjlx0pLCSBOOfRrNwzJ2g20gkUckYsJhph8I8mBaprd6qd03rmM+H6
sZ1QapOO1+AgxxoWxm/JtLO4v87aI/k7apNcMnb3rtdyX+nQlkDh/zy/F27S7SK+sxIXnYkiVjHU
0c1Tz3ay5xtmN9oMRWyPcehQ+AtIS/aGm5mQxSTRqgGTlNmmXdUm7uwmk0LMAJMx9IEVKD/T4G/e
VkFj1wXaHBAjrtCIsk4mpe4/9OLRtRTG8931GbjC7aEGAFl49pXz+gEjSXfgR7jKMASaaHekT0Lf
VmubPldiz1uvAREwZStCTrNJ14kjJew2u6c/gzrPyRT7hLC8HmeJ22Cndh+eMAluZ3QjydEftzRl
6/swDB4j2FO6r9VquZv92LAKPVJyjPzR6/grSYjVkPbydBSE2qSkJW15/+UtzZWdDqgFKIUDi3MF
WV80epAX0xaeQfJSwpx6bP0Ag8srHZhkfU+taAeA2JjCKfeyErzjt4fwajeMkrb+v6rTZqxZzovX
flE/bvBh7zd5Hskf/4gONrf2kiudfSC8AqaVFxEXDwg1zvtUTwiOunUBT78AehfyhdJuv/e5xY4k
L6VuYh3esIUF3ovizq2tAX/P3X0pgcgynUvLg1ctVuJKtgPHFs8vbCssvzK672RmPwALLBmR5uxy
fNuRI7fWrXHd70jRFTnsQk/HEyuNyTQSDly2+AfxaQBNohUiWtZnKDqfAAy4feRld2qMQyPediJb
a3r38TDceZ6AZNVhrpp/JrwZHbLR1zzBbkGL8BvLerH3VpGSRsZDmTOmw2+QRYQ9IlnPbNUAWBHi
IxQXruHEObmJWgW7PRh+5qxIocXp9CZqjojH/49FnYhpbKVNQqVb0gnRtdyDz/nCusx/6WsjhECw
pteSfnlYktSikI9/pRx0jEc/APbMNAwm1xHQzemGr0L/EC1m3m90wvcT7RBsEZlK6juw8QmMraoW
l9ywXqdDXM7Pg8z8eSMiavXwmG7BPjFM5bcsr6ys/8raseJyod+8DRNSbRVLBMC+x1YrqEZBwnGr
BuQ5FCnaCcl+NV/i8bIE4GCeSX0TO0z8GE+2vEfD6a3vN50uDN/0pOuyft4JxTshOCiTaDOT9cTK
rMfsE9EGPuPUUfmss7tV45kYpD6MoYo6z/+7Ry5lcWcAwffYgxPD4K9BXBurqWafRoWP2l/+FSEQ
V/hR3oiEHA7U3nvfM+NZCXR9pkKoH9ELa4D41lo435K9b4GK69JWNX8VDg87AOmjGLHh7SRlddfr
En/wyvAS9aWef7b7diP9yLE5TQS2kVI7SlMBnvwJaXkSYLwMn9wnfpo5JhosST1yRkqHbgTB0gP4
mE0prXfcH/Myaf1KJFwdxXeIHCOp+eEyuXUgyemHz5wpwY6xrEJrd194Ew8tdENtoTXi9cfpQURr
O5m7wA6I7ZQdifuT4d1NftZYNxu4fTB6P8j39JJpO0aaf4ByNs0KpySlCG9n/Mah8hzV+zq78tTt
fdhAnZpTDEqolldjTIGsvJ0AudF35IGFvmA9myx76yXqXo7DZzaX2MghZ0eBnxzdNeojGuvL658w
WyEi1jjwZqUnzjhY6j1EhaoDRXID0IL6kjlImg5/YdUvU+KkaqhrwA4mvrbUVsXFuWUBiYymIT8u
ZR72/bSZ2qHIj8CCBvJ86gUNhkW32fwivTDIhUbEqVMtsy0sbpE6fHF+AZqieahom+MEXUbCBFYB
8rJfKF78d9GDHzrihX6cerqy5UKsuMDSle++UYgB/1HS+6hm07PjEATky/704K6x1LxyPII2d4Nh
HTO0znYLeAJITj6X1zXFBLRxz/7JK7PAgPuH5N6hrfA7ZgH+q8VHdKgdS/c40obQLdFKTuI3AAud
2NltlIMYtdAkPBXf8U6iQkucHZ7orFA6XnpsBUn9oY42x6a1mFZJgxB9igPiKvmqsveCtojdMefY
2SibinbNAXb84FGbpehLb2PHZgD4pUe+Zhtvk2qWyHnsMRbDzaiDhnwPmHdXJ1zinBCvhJn95gre
EE1L5i7hlB1a7Z3Lndas2Dff2v1Ylq1f3779VnPSdWh4gm3eRwasiwpx+wQpWIMHefNZ3ZFi3j9S
yAJk+gdQT5iBsYHod3H9fc/o7oaXPdU9T9bqAhPpIUwWg9k9Nqwx03nWngl85fwsez5rvKsAMtcY
94oas6UDFJ3qfcEdxSu9ZY5e4XS8K5puZm35BnSWjLL7DNR60HoLRn7Rl3otax7kXiZGyojKx3xX
AZ2F/XpnBxK0FBVxDOxXN0JuvSmccBTp0SE4qniOq1hobl7+J/xN6bvWvI8KJLUFXrWgayB8quDp
wD5RAYL+hh8wKN6cWMn2Pp2Nyu/qBHFO/yTdNQ3ZieLTyFMdHinetRZGalL2tiXtn7vljDM1HSq2
TD3BZSJs4IdW71hbVUzsO7WwtaXHu1KnPlyV8WuQBQ6W/b3zRy8tJoJg54+SNYGo0nQvzH58D465
ZNHUMpvGUgBhmVr8u2wn6x4vTBOqeao+PGh2zcyNYYuNlQFnrrdjUkFNd2SsManO0dLjZkjFTJ4J
65PTBo9JmOnJj5Z6m6YRVsnnHN0YX9ZkUrHLEtSlRkc+VBKPPPQl9qh4Xw2ETE0QRNwMsmcAY6m3
Q6lPZEOxwJU29Izx8JpmnfMYBgF40jgLHevQNzkBquYTF5jUjwwxPD0nYmett0Sd0Z4TlKyCa2pr
7HCbXu+31aP8EzOufHPPn9n2NVHzCgK0mMDae2a7bjzHjpTjOM7EK1SdZp8U8mflFR1LVczaABvR
m6LlwFuGPaqtAgV3qwJpGsditX+6iy1qdsDdTbO6Ncv3Nz39ozjMhuN+45Sz9LIi9SFhS5U4VY7B
KB3jG1IFu0VmqTHZA39pxGSwlMMPi3sljSL2gGIc1/A3UWcuVPB7v4PqetKn6j56wA5V/7URLTBW
surtCev4U5MKJGKG5Nu9zBf1+afWTuOUzeptlfYO00jidK5FexQ84gt0crgbR6IUWhEawmroqPhM
vRNI5CMzDVmS8Jm57mgSXK0H/itUFURaTZrbEXoTMjblseGaZOt+frsgHrbbyZN7kziPaFdm2hZh
/VH1a27IrOuSEiQnj8fGgRgIOZXxymHqEGWQtRR0/vg8pBaLKg8VbC+FAato9y5wi/V0ugT6IHQo
pb6K5lA+T1nfglPc34nWxTroDt5/3S+b7fi5c8A91AYX15Jd8OI3UVXuvCDZv2yoMWisyhbnqrq6
ArxW4Un7mWWwqCT6z9EK/B3+B2mbIY+IjxVwk3BHTc2kL3DV9oOc3Z/INEzhAUXCTBHssWl+01ZZ
oCkU7BQKf4ikm3RfoEr5VTO9WhwrLGhsH3nkr/chCRd9xdQHWTIqLKq6AgxHLA3Ljm6BcxZCSLyr
tJFd8UqA71VO1VMpCQ9co7imT14HxQ9UvcDORi9WKuFeAjCAMW2ZTzoSuz4LDfwdcD9LSnRL2YiX
VLV72Vx4eY/I1/CyQYu9lIF++jrljWkPyAYwWoJc9cheOagsxtpd2Vbyy9Re9RHw93ovsKMV5+W8
DtOy/MbMkBSvC3BeyZWdwFTM2s/fi6Dr8yfOeaJPlIslxU/WuMGyl85DAD3SW2bcLF+STvPbhAPN
ZWAnU1jFPqCIYPcRlTYeTpa7OFz3VjcZ2K5s6qBzMDZhaqaYr/Tz3TL4m4vQDbCg8EQoCCASgjSL
DP6OZrdtV/hUUtWk0fMhxXKfJdPavEFpNZunrOh61zReRo8pq/f8Yh3J06c6UhSKr6WR2gTgmczo
V5MVXlDvDyQwl8XjZWQNZH6McAPu4x+gAG9JhdljE9kZDmDdeWDKu8K13OCT2hgMUsbIb5OFV5lq
SUUpRsu7OX6QzVe5ljg6bCWaE6PCEt2nuC39ilO+DYbe/Pb/YE+/3xqUcNTNSqeWqY/2FU6KHNOI
nV4Aa7LL2pEAb9Eg7vzHIO+83JG3CdIg3L8uS0u4C1iYAfj2nUFi9liiuHGNth741sk4N+u3BVLp
cN74fqTs0d6Z522ewbQeUR5nRf6IUpusjxJ4BR/8FLYp+oRG7S4LAXqqhX/hdn3gYuVSfy4AUSHr
AyVYX+HmvwTR0GjLtJO1I4dAxGxapz4maqia8GQbci7XniTgZvNiS+Kv6lRfopS3nfvl0dAZI+Yq
Pb2Eu/4YImPUzzJPqC9bByJwLjoGJSqI5EaKjC7gEkqQlQHqQDeki6pIMF9k4B5XQQl5oLaE9lWl
iH1eTpe8xbAC4SIxqceGwVuGF1RVVfwIkO56XhuY4lsClB4WDJRL5FZeWAogtAeatzJOexW1+VNp
ESAknDB0K5AwIwCzWO8KaDyfcwvWkBUNrb8PDEhpj7HsVKgDgDwhB1etg4ZVVjM68EOSS/RMrWhW
WyZD4pPwSDGDRmz3+lZYYp3NdlYfameAgA3HH6zXhdoxSuOzotOfNUYo5S37Hvo4Y++1J+2nSOxs
2gtu9EozusMtsVLu7jZYMBKmqwjIim0nuGe59PVkW4TcoHl/6iSWbE+yvTTqtRBu/xFlQN1Iju4n
JaegefML4jqwM+Ecov6VU/EYqExp/swWPC8qThzaVt/5q1g7skIc6O/061HP1uU9mL7gl9fN0QMN
pnwz6YDYJnP36w7D/5f13DAiQ7C2mcjTdiVOhtfgNtTDA5MAKBodIGz5zXZgwYaBwBJJRQ1cvqVi
MjwErKt/3/U5/kQ8rzjQQeq7J3ssX1Nky+P6JWEQ/HlNtZDxKFD5KTns7n+ovEUKqyseJO24qbfZ
sdJl/oXftTHG3I7Qqw4nlMLszeq2J4KGux9k1jzKDOar+qmEpR77NjgSTx2Ud5QjP6OjAjdS0dK2
Kt4HzKajY2r62FQqwLFnWz5M5dXAYfm2HZVsmV10JL39RsFKFofHH5dFPvbPhMhYAvyBaytYQ/59
2n1+oCG4Xgw74L2Yv+Yy/NFavTYZDsVlxGhnVIPCE+kGLD8m+zL0TaXtK5XOWfeEYiAZw77F3Zb8
d9HYYRKEGxHmNHuko/FYrnmGpwfl7qX7bEpkAJOrQDa5lTjyKDg6W58d6BUfMI7lBOqGblUy2B69
44th80thR5xfYL+qj/qtIjEQRb6oI4A1b4De5BvZSyxOsgCWfmcD+hEbGaQ8c100NkALjzxY3VE4
xX2sCOIZNpes747/xq/FSgNas6YhmaYpCCNvxFOFuZyQAWRRqn/W32hrg+50CE4kHz2N1QZpQDt7
AIdwAnt7nhf9EFUwvzQQbcaGA5i9+OZMWX1fSbM+TfmggFKMLZleugCack5qSQhsvAj9ItOc3joE
/CGHE4l/pfTWtLvT27+pwLJaLk7VnxNPGysYS8k2443bvIj44/uJJETgkRcGAZfXBarJux0qJNum
PNL/jMyzgmHV4ZrhiTjGDvHuVQoZDFhT+dbl4UOFs2u3OZMMCjzIp8IbNU1FN1RZepfnyz9b/Xo8
65X4wvFBbOIm38EVOeROS8DhqdnSkOQFyHuzLJE6e1Q7i+807FdxaM/QBeUCSZ3+QqO/oO8lp1BI
l372/vfrlFyGW6h6EKF9LJaAIpGjU8uJpDl0zqsAJVeJsGiEbmqPoSfDCnDV/ubNdyAW5Y0nPlY6
Ixc9a/x0AfGS+NoyPwEIs3zPArevfTTFxBx/G/dXGpTZ/JoWAZhXrwlF6MaWjkfJXTi3sMR1b6A0
24TgYSofawStrJWTe6PqG7Je1X09BeN0RwltNOeOCjEdiko9cMqxRvdssPNtPHACvE8fsfJhzU5t
RzojhSHQsatlFZQ0XpBUPwLxbg6nFgz0nJIjA3Aiv6yjfRFBAbJED3e49FaZoCi/E3xel6nYYWsw
AbCkd1j0u4OaG+PxaEQlxOUXWHvnvjxiV9LiCmnFEWfHnQvUEoi1AIVWC8NIyMXXCapzBBb1KIwq
vYIDqwU1ljZgGZ0KvG9pr1HdB7BBm8zvcjfi0cKHvdBdL4EIktAQPZyel5fZe9jO67tNmsQMCi6x
ZPO61n8dHbRFSbtmFkbNcm+GHjvzhAnYnoqpYCQIIH03389hcOz51bFb2sWSScMm+abt+pKOwgTj
NLPWeNPuQQbAbdY66UnMbm01h7oGMv0rqw+VZ3aUf1KkJtH7ec0SCKnGE0HWjLwiKDDV1l1q3RW5
KtaLFIrLIDQUEBr/z84R4cZb/CridLnlq1y4IHRLn+uOLo8kMOFKah0wypCBznwce0PBILrImQl+
i/70t2XsTWsAZYKUtRPfj+qJ/Jz05ly7FOgqGDbmb1zF04QQEKKGLj16RIjZJS7EuUknReM4U1R3
cBYdV4U7V9+jK3JKMOGchnzgU0skNaxY5G0XrdR1x/luHLxJhmDxjazpBbaXFjfVwUtFavdgviJh
CMWndo6lU/i6/mTExKCdP5hZ2cYXHJ1/39hY1LpWawYEkpvBlOiCauFMea85wv/Xrfq2dkQyNjac
VrvQHyMm1ZFyqp2UZLu6J6aDEkeLNN0tMY2+A9AndDetKMQNzxXJ7QiCsgMXpz4MIiGQB69bYtC9
muiyCPLe4qW0T+hptBlxmCwN6RMvDFyHszppMEDGcTTpDBz486h1mZEwBqRWgeRAT/jdwrA2FDMc
bPlEW/oyHg4UVueX0IEKRCMq2ikeX6DaC86bbYICX/Jbq6PMyH5MONkAfiRFkvJJJmGbKu/tzT9V
fPF+F33bOZUvdmUZoTFcPxDnJIHybNsSyaJXAtsS6ipzuqmxilssdKe7FvRBUC8m+KPJPFffWBjc
WcMcRvyOMPl8nfREfUk4ppg/U4P+4bkvA0DebS54pAA5oebK44vIx7f0rFz/nmc7L+4J3990Toe9
iYTfxihECpab8G0tftQFR5bRkcipoX0nYwhCK+ShV6QiFlTasRTJsiuLYDX00Opw+oJNmIOq31SM
clVuJ9WT6zgzLNSnjhg7r1pUO/ROE9M8zec9+GhcTEMbiKTEl1MrvwcHAb2GM5Oof7CMa7bZaTgi
Mla/r+wgO4az9k7tisE2nYB9AXKPamKoB3gOS5MX7HhXlFl5q5WLllQYGkRCEUBisBFikG87Akqn
oXh2vKamrv1nnxWkLEbtPkeU82KPU5lpYHwkjwSzXa/aaqjAOsaG7m8OFp0DjT1nfMw2xS8oeRqT
KuTrEZ9KKMcv92HYRpO+AY2Myhhg3xTXngkvusqQkbXHms2+ZnrqNQZhHCnjiFXp8TaJt05TqXQz
m8azVa+EAY0iDdf6qpRX/5dm3Ft7HzCj814+pqlA0MMXET5SD6YGYUIxRJabkbbsopPULhwV597x
ouxRY0f74WUHRXhezjDkqMQTEj5qqGT1wmWcSvTkzGe4eaHAf9GLA/m+60WUiixLqRyaJQVJ9PxB
eX6iTHsvavp7Ur8LrWv/EOk14PdYh3Lh/62TzqaSjnF8jC8wYUgM5vlM5hl2DBLlzps6AJT327yX
0DutuynuZYYot2DRFzK1ZzAkOS7qFEt6eRCjIZqSed9cbXbGve4LKu2t5bbTKn+HAVwek45FFnug
HupFPoZuspncnlsvBtnhEuHWpviUKZNdxjYxCFWGC9ehytQ56O58AE4lGhit7WRFaPtNFKRvY9sW
GTMnF7j4zyzgjH7k800ORzaorICJfQqNgUKzcF9zjFDjJ4DybBDOiE0LPJ8fLuL/d0BdD+UPdycJ
RwV7HDDC7fFMYUGfC6RiF1sWczVmUEDWm2aQhfJ3RmjDMkCNZWlYKLJsemYeSw2f40WPPuT9Y1dI
adsHQOBkM/W/9ZJBFFp0Vw2xFGczHguu6aAE2yX5k78V7nsMW71xOZFZ4Cb8R6nahNRqjrKK/vNL
MXmQw64iHNcdowrUjSwpjyEQP2LpInn6NESlj0Ryxb22/pfdM6cdZ0b+bKd1uvYvprHC0u/mIg1B
QY468d+PzwWHsSLKpe+t2nMFHo08V2UprhL0XItMtI+XIRnrlZcCOGRfxJcv+MT5pjMe5QTsZEkg
tZnb2VdD1h2gNyBpPvKWSzy/nKtGRcNVY/UDwLz+p6S4SVPvsM+pi5RaVkmaWK5iUK8GrnCyu4HZ
pXyhVetR1cTLUuyAu4a/GoijB2iF0aDHj0Hs4+4q8ihTeRi7i5pfBecCj76pmHULeKF4DSPGYoqB
n5cEXFBoEiPULoAxet8UMalRUyc35As1pFR6m31V3K8IvjuvSqjk3vI6E+sf7OZ26ePWqr/2DH37
28qFQaIAOJg7L2W8GSnScxigbiO4FC0AgwLVZ0dYVRXcPIXiEEscewkwRTwgd2ny+jvyWfbeJ8un
AiszR0g4ualmQN3M+GJ6U5IGlIbVzcQ3MoXwtA79Tgkz2GIAYVkSsPVurNgS27fnw7bVIDDtEmeH
tz2ijpacJf6eIc7DuIwyRetPZUIjLrXOo2FRTm0IueiXIMB6/qwT7X5kfeDK/eNLe8aCeDu2+RVP
610dQKmznv4WzrmX9Bsx7faur+gUAYtuKtz1GahhWIdR2KBSbk6wv5kYifFUyPzsaHV9/OMUrBu7
XT+8Uj4cDXBfgrXzxAcf7d+Ew5gVbSnXA9UFwOtRm2Zb0TEWaNR0bClPIqvXzBWEWsqdYKQI2o2g
sghie6oYQ1X8k+/QdElVgmAxb8/3eAtSvu4b0XltOGz40et8ofuvQJrMKlNPjmwBSziwLmgcby8M
9c2gBK2N3AcsV4C8A1pMSur/m6IS0GFd5QHXiuT61lr5q3BNCa21I6w9GwkZrpX27nkUXdlbghoC
pvgKXMbDHQDFeYLORaTRpfrt4+nb8AA03V9HYiXuHJI7BAADWYUUF6ZDDzCls8uddz6upQGA/iR1
DHE+vHnb3amGx/SEngZez/60NokhxCL40RFOWBbOlBai4sUoZO1R9Z14e/3h5m7IdrfxQArF6oYu
NwnbEkbOp4BS8B2s4LC45xqxdqklxwTsHJHlEuGkMEP09E1RBSo2dRm36takiq69045JQO2jlD/D
ZL4IczKtrXydoMvO/p12KIR34FbGrFWMsU2PKRmQ1xhdYwQO45Ih2SF3YS2Pv6eqpUK/eKtr4o05
Cs6KkCuxqvlsPsxquXsM0uRhOB+aqxZV9InJNAkXnoc49YDtOooVJx2kjq5T47MKA3LfGTPFuVVl
HJ0TnhE2xY075qP4sVoT9X18w5Y22BSq0Md4w+z7jXUG2w371uvuNK31tNMa69M2kSg+89acKdlz
C2E/OAQ2pa3x26n/yK2zKJxTW9MToHCWsDv386tkn72QUmZ+E3Hu2LpFAzHGsBWCwkNCfU8rxXpR
piXukjtkIVzhLHBlGbc4MlRexvFwP1chN7s34Czob+wmYZeCsdlyRZEkN9kNcQoAP4i+yhuyT/yc
V/lC4tulnqfnoA7ZjTuotzO2kGUjIL37reUgA+NIXdusy6xNcV0RbZAR3yXmBpxrWshf2JMi0259
+nYjIIbYQEOTnXnZ4J0SMy0+/XWw69NRbTT04wa0U+qZ3pfVO8bx9KMHvgqug7wWEqyHIxeilslJ
bmFK7NyDhjX2m/py1dnrzuDCSh9f71flOdcgtemyJXDsPVCTHgRAEPQ7v8VCqIpik5FL7Sm18I8k
2ydYUEbFTwLnHY8sIIXmd4FcQ9R3B+i283c08bEqggHpvpj2aULyzgkgSbxLi99oKLkH8lb1+waA
XEiV63Th/rqHB7/nIDpu/lSYwgu861PoTFlexiE5ut++6ZdfN7C6o5AoR3bxNrio1nrQDsE/XoRA
E+bvxUcoOonUlL6QfyMdetYFN8zjsEg3IciBGN84QfS6P92wGuQCB+rT07n3dbW5D5VgXgle2K8Q
ELZ4RSg8kZCtySXh7GMShwOQOJN8jLjvRE+v/oZz9GVLL6teFijtDtyS/v7jac8dGMg87qDwyieE
Os/6Iz92z9ktym3nz5sPR9N8ZsHF9AAJUC7iq0Y2qMUsYm1H5g4TWK/t9+D5q3Xj2GESKfziI84d
fGJLSSW/ISzuIOPtyEDb4i2erVhtKO1SRIusRTQJzikuyJGiiLjlhwz3tvcWj9hWi5t//FMT5j9P
gey4tkbJohzRWnYXNcc5Uk/ONivVrcsE022M5gZqPMxGgca8W0LXMLY06xk/EylY2lEFahBT9m4S
3B8YQa1wNIQHlSS5qDULnqNHiexOxKCI+kHCl0xvp0uW8eTdo4Iyk1LMO8npQzW9RzD74bYhi4mU
1xSwJ9a5m6gCaUJe4ngNElHBsw6IxJ2jXpXMBizLQEE9YIo0BdhTagO83asIdDh9/fcbh9G91iZV
x77q0oBu9BYhrqs9EqvR/zWE2DPAjlLR0HbO+n6RRLOLmB8LB2fvsMOYMJU0l4vCO4uh3cF6uGYp
5oGgkoLro9AH57DiF4+6jjadIUMivBDp+Co7lLNulhmU4nmeCjBti0R0HwMvSzyF4L3wqj3rAGf0
dncmW3iN0OltcyRFMQo+XxORKO+bSDOZAVzAK8ZqVsh+UfgcjAboJ6hUC77/oNP8howK5F/i7G36
Sxgm5dwrok18WxFg1VH8ji0GrXzLpwMA7CCdCr0m7ZyHXwyVZ+kXXz4Ts0U8mQ4nAAu79BiMxVTl
cFFAYTEv5tj0rCGck93XP7A2U6xEMJsdAvjtoAXN6jXjOgPF3StOAcXTsDNLI+QDRSwj/NqxbMih
ZIVnuCmoOIktmVsfT9qdUHKGNX+E649k/TO/IqsG12kFzCelfNeBk6vq3Aqm6ZSNV6bR786/OxSC
p5XkcpFsT5Jorf/UoJi+j3SEpypQFUhUfpFsWkWEQWWmaa1XC6D6+jzn5BmSI+D+lx0WNp7+oLkK
bpOA58hLqbR9vpJ3gDqFqut2S99yS94rmfgwbYF92qnOHOQep4h1TEjgSSvnh0ApZLQjXp7uzxYu
GjoW9ndJi/ppKmsxLfUItG4ctUWbYKVTej8/mpN/1rnvciFlwVjcWFP6J+l9UqoqzcwIL4iwAukj
m5lchbhgF0FBAjq1zTidZ2U2GaLiEQEVmquz3P0XqGgXpiNf4dA8Nk/L7TZrOuJ2nM6mqzx7cEvP
KRHLWOJkCZu2xKPSDwHDgaK91Sz9pzDB5ZviO8U+1m5Q/Xj4mKI7YA9Y0fH6eRiri5smiLEkCfD7
28u+uGtHZjAic26PQ0c53PN0IHdeDn7SsrUhWMbjP8u5sPxcBdUmTQvB+wb/Ya0KCoOgK1gKL2cY
+TBRFDt9ACl2noFeudVV6EBk2AUj4otaRIPnGbi3cCrVhRF75VV69bpyzKmCC74kyvUXflIX+m85
ouJxK8iayCLSe5eROn+0kDJpmkTzMIl1vrJ6jnyIxcg1iQzul380xSWcC1z8zEOFc5K9vN6/6GMU
rcKSIazZe9HmXX9S+YTdZ35AEaAX/RJ7RR3lOhtjIY8jyQhzPdUoghwmqOGyyWEgOMJMnaPt+J2C
g9D9i4zwdd/xoOBq08FoXbG+ilCxYpCuHhpTjUw8hFw8eetqZsxy7CIkO3cUnROzWX6jJeckJKNZ
IkekzPEUUeLMnNCwKsvY0TBg0g830zSTcFkD7J8zNBNQNgFi2oTH0iq4ZvSFpW/xTVJMQGu3ggZ1
hlGYNUTZiwf8q7m+OtikTnL/RuEn1OsBCoKf74TxHyDFcaWYyNNN9FWhL+QIphWi5gKt7/XtmXQE
nOdyTqzNnFvjhnqlu6Xi6GOc4MqqRY6Hl9XBXpn5LkEvOf+cFikgnFvVPlU7sLjG2wbY+IlF41MQ
sucGJODsmiRJNf7BX1wo8gJCeTmKbMuj9BFhw4IzcYtYZtUWZChBVW5PF4s6z0IK4qeWZUk6yjRD
YcDxcvc2COnsalAVk6wYlVEudxuVusigUYIOTNGybC3o+7HRXY9vEtMD0ZH3wQlA6MoxoNwHDynl
RJaiK5cVjj/8ruw8LXPOpqm4rZJ0Jk31zCKr3Ib9VRPGKkW0h0Ms17xFlF3tITEcAE44D2E31urU
axXMqEWxT/HH9/Ba+FI1lOQDO8WlTXG/TOkPwKM7AIP6y2T0ZrN+43ckHp60NsfUIGHMOccBjUeO
hWXcHl18KB00D313tCTaXwa8zZ//gwFqoN/0EPZWDa/k70q0KUzcsX7lbQPlzC2M9MAbLcL2o6ZV
DYS1pV0geiTdicnQFohArY0zC702G839afiK3wlV3D3ydl367Yx+ssGDptp8RP/T+VopwRAs1O/Q
LvVF9+T6JIA3zS6e0XPjBri4TlyXarDtr9q6NuiGyK5uXCMb+fHXRwaZ8Fvi7ALKET/XvhCvtiB+
tCEbf/Bogxl83Cih0Lv5nXuBCd9yu/BdsmkI0rvXp4CHUbDbCz0mtL65Vkeo3C2u3CGaORsO2+IS
xmPPiTTyyArgns9C2OXPrUQF08EHYflVF2C7L0h5QBQ7zlWABlIE3FNge+0iJvurwcwCyUuurRvt
xxaSdrK+rTsT0aWkGrhV9DPwYMv0GfhtE/cWTTtJHkYCz7ntzKqThRPvnHAT8HNxqrrJhdgwgiAc
GmPuBoBybVi5TjooZNN1GgqU6gD4yuiUnwsjYkwNcyX0EpZFSS91ttvJ40Q9wHcoCkh5g+W72YuU
WdIV1vRoJmzhcJmmD3/0abZbJg0m/GI+U9Ld0MuXQmsxkuZvVZcGm9YvilIkw/tVbBxHQzLFuuHe
y83fCBHUrhX+5aVp0oQ/xKzv7SAgYjUUvVK54xCM9+f0I62rLzR0noXTXm8LhXK8g/AdIjo8dVxF
LNNUsSzsv7e6C2r+u7LiO5mg8366ZeIQqfY30TZ9Porubd8h+fZBm+SrKf+k3OF5bLvzw2C9Xh1b
DN1XgHAZobO5ESsWhdZfGwwFSKwNCb1SEpy1Xu61+4mDMtw9Nor3xMjVRQcFJDKfOfv3HEFrb8GG
JtnOxre0swLsZ9a77m62ySn1w2Fc0NHL9BK1nL/g9UUBBfDj34PeEVLBor3RoPiOQtnciAVLAOjz
aOC1Ke7Ef/8EC8Nxxje1WeXDIo1J8voygjyTpPm6HRH3sGm9Ua/w1B6ssTwrR2t6c+XIhbZx9D59
ZhX0MpGvxVDdpZGhn/D6qX2rgURptZQ6yPq9smFwarzpc26kG3ZJ1dA5rwzHlYex1Aq+I3/TOCqQ
oSbwSffhhTGfWVL4d6alrt6lWnkgSz6SmYf0GoFLf1ArpaYsT1meT3Wlj8xN/qdsChbAoVNH5czw
ipj4BVzziC04ELaLJCdbTGfaJ5g05+t+Obod01qF/hJ2Vh4xosD74zEBsom8RK+0rnYI79rqQljm
8jMrB/KiCGOHa6m/XvNEjSMDvYeRSLnKUNMvy4TJ1Heamzs174XWlP1uOyJr6U2srfB5zDaF01hT
VU0gQXIRwtamaUPzlcwL50oK9DbaNq65g4vkvwTc0UA08GUGCqNXyqNvLHmg9KE+4dw5USswRN1x
EBzJgKhdyoJuiWi39pZFtLIeWiB1vbbG9oA2TQQr99lJL/DwHrTV+aT9/ZzBSVA6yan0o8oVZnPI
bDIxzxOp2Al1aKZDj2EBFk0e7qj4S4cb/gNOWSuUJD9p9eRe2P1LsYbuj5OowBsoo3//BV9mvd3p
4BgOuNhgDhkzuv7oFD/UmtZhnwsYPL6OLbG8gAqNR87UbEydaYjd0t1Sp0sX0aB/h5SlX9Ar/Cxc
MJUQwJInMJ0rCFkFDRmzIKLtOIWYzEuGcdhIq9e/HNKBM5LpRal53roPGYgOLxMDYDkc+kQzI5FQ
D9tLQBAPNFRBrphY5Sj6ChWnhc8aeDMR61NTbrONci65Noc6a2f5mZKkOd99g/IjMeSfeJCCytpG
7+2pPqYlXuej/U4GyeLDZtlFiEXXEMAjynY3lPmLXPmDERoh6Srs140pCLIaCPFdLkgK08CLjXU9
kWpOrKtO15UtWJ3RegGp9xNnitXspY9AqJJU6EGf7L41yVl8u5eIWe2qdUMU4RyMWqM3HIV/8GW/
+ZHrbUyTxmuaZEMYNgJNLfT66CtYXJRsY80BwvJINvyTU1K6cmudreU7xbE6+ywGT3Zq2uYq6KqK
ZWAFwT3JRdYihXyb+9EMRy2DIBsWdHwvyaFb25OdB+QGj0XW75/3rNr+7pllg6ujkbTHt57/9SqZ
SRJLvJ1QPtGT1F/RGoXfwpgd9rgsxqGOv9oEbM0gMiJA3QvI6IimF6X93Usgz9cqyQKQ4JfGue8L
RUkM+HE5iewMYx1RPhkj5LRdP/oXccgatI4POJsnfvTY052IQm6ebgEK76HvyTO0YqFInlHYd/cj
7Wd4XMLkAjjWbfTravvDhJAQe35wvrF/Ltv4/ECS/vkDjCiRfCNRoW8sdU9Kcsk9j8Urgncb6oSn
IA5Rei8sL6lCK9J5RlcswwhMs2LP+VcJQgnzimudafrauXRTn7h/4odY5A0Izo7ruO+PEMh/kjzk
aDd6P5kINr1WOb0ZxGf8M5o/vmJEmrDPHff1qEWjudqaAsX8xBbLsnj7/Vce0oFM27w8T6W5wda5
Uj8kOOf5+6cMqnsMsOE2FNvmmmMQqV+UbWi0mTfoY47VmssCIWRA2GTOBdXnn08ivBrkMhwrK/bw
j/mwxPFwrYZdfahfSeyHSYL0IkVFoL42Gew+lI8hajz20O+JykDtaXGuYEzDBO07HBWJVj4qywty
WNKt6OFKBrk+QIeO/iY/iELeOPXvALgvrzK46fEhhFBrRgedPMMo7cX3RUweYvTYYWt8QErVaz1b
YHd4SzH96qA+/vcAShkWAGdObyCMT5bdYGeDKIKqbdlZanUCWtJ9m7DuaGMhl/m49yOiyKQCeNnq
DRS0UEH3mhpmKJUs5mYw5Ti8/RpPCf8NRGYD4ArFqdNafsJ4st5KW9SrpQDXzVtGi/ukdHATOLyk
bGdzPU+aPCwXmELjUxOeiSAIZWRhsoI3Bhht670gtQTiFk+mxuBxfo1+VD/69WxKUpi7NQYzdbpj
+SW87PdzXhL278diGJnbPivjaiG72mcKf/8cFgK4Z2ER7WTe/HyGnND/3vMTeP6r0SJ4KhusbArx
4HsQtxS4KKEHMTNr5AqRU57BxbLZyohF97aUfyfSOMcoMh1oo+uMf47DyBZpoZCz3Vyo1sH+AYH+
CqmEXlMT5JjHdyJ1jrkUgmgraZ6tRxY2Cjy1SoDGVwNK3BaSkTsDAzixOrqZ3qjY6F5z/FSCPhRu
eRJ1cJ4rSxbXNDE6/9UXbIi8w4ayXbSZ9mSWT+Zkny9g3SbZuG1hhcqsbpHJyMc1g9HRdkbfMtYj
Ce0nO4V2R98e60KBiP79DXREuxmCMYrC2Z+NLzWaAFoIvbtpsMa0wgjpgU91z9Ea9u5bUlGzGoom
INTHJDMQVbjyYYgl70aWiOIy92yWFdkNVDwcI590eTUxllEtqpGb/kjJ4kRoZu6nHzBDhNeIz3AX
5c1liG0VWl7+vtXStNnAGnhK0w83J5P/iz+7zyF6PXYlvCGMEpMrTkVHFcsZgEiI7RUvL95zyzYb
kMfQB4HRDfU1Ki98LfdDX4aHxu3ytB3xkoj4Tfe6EZomsY/vAnMMoEJyIQTYXLxykN753uKeUtMA
6icJzSnsRmSqmSOkY8z3L2YZcSDhOI1UeCd481Dh5Cux/qNcWWW1nRiR7Z7OcAkQBnD5DneWa+2C
5y7RVjWTuF5ICG/iPRgSpVuu2lIyVEGJpOKe+HJmmFoOBV1wxKRItNIUDbcnE3sQL/vrjfYWfZ3a
0o5qffEIhvunKnQ95NGXO40wFuXj1mnNCC2DMi8gV2R/xSn3vSXGxDPYVfM69vDTYbrkIrf8M7fF
XqhqOZQRmZ+nFqcR9kIz0Yw1rWPliYfMyvnmxn2r7F7yzKjmaXma1SoYxw4E3WWEJAdByh69Jnph
/4xfq+NBO1LUXgGDMo3n4htdcpTaH5Xt+Zsp3+hoHu9LPQAI+eLZgRmmPehuEuuCrpeg2tWDr3B3
Y1mk74Lvq9gIr7HSkIDZwr+UHwTiba8Db6HLV8KBsOR+afRvo4eCjZpC4CSG218nCQ8o8GztAP5l
fZyqFSUCgyIyETZWGGQFhZQRZCo3puBXPrUkkxqBCnsAAVi9KD8LEHXuREb6AUX2T+zKacAAavL0
wpvBhyLcM3lsqWZ2g8DyDjbrMiqpO3FkVvJ+X9K7pFF2d4WDlUefP8Dyc5CZv6yz2s2WIyHMkL8w
vRr62JX53ynYVYd2z2eiX9T6TxwIkPCyu2iLepF/QIKOpDAmUamh0YOLFKcLLlOYmSNXqGinlsWa
1Bm6nuu3jSHt/Sxmb32WM4YyvCmE30N/wuBZ/OswvWS5a3lCdoNMbC+d3Oky/snkZFms0MWdbTeF
RddKs7/tbLYcgbtRyQJFZi4EZj5MmhZ2iVjvThwxdxRIrq7jUp7lFD6tS6krt6DqazvxELiXadZT
NC5kOJJ9ErjZTcV6hoyANZb0WBx6LHbR2ZgxxOkcedH7DTzA8f2dCVwZVWlnrAXuKS5BjTe8RBrL
G1jPAw/GtIILyY57KdFw24cpK6OG35xI8KfqtNGqFSqmSswxzSQmf84cOCrgIXlm3D9ZoCFKA69S
eO998PM/dXqp1sk/ECqsaSN0IgaShVRII2/t/R9aqG/E2eayHrFvESZqWYmCBCu2PNLaZHy0WlGY
/LWK7vusAphHgwxLh93S4psgDWyv7A1dhxii0HAbIvSo28RT1yCHxJHvH4jDuuMjEF3NL3Cvc7tq
D8Me0fX+99xu1gN/djT4j8vMBIXX0UtmBZuLW7Q8rZYjxWDHEepie8dT2YN2EsUAF7cSFW18lVP+
ubtC04w+9IKN7njywZeEwhACtrZkxtnl8/LyHLdgu9HDv/jq1KFQrkvqbNt19UZOzVP0RYXwi3k3
HkPSxZ7m+6WwUK44sezKtdTuHMPQrfRy8VBA9kdc2z+dI2c3FDc0/B82Mnd5ZV/WOZ9rz6mfECFZ
ZMTjWoVCODKQh0QEosKKF+LCyQ0bxpq107lHHO7Pq+F+dTfHdFOu4XGLe5inV21AqyPgYbqmlQFx
adXbCnXfOBEokbmafWu7fF6tFCvndt+/kqkHqE9V5xspRjvrZ8pf5nrRoIkPP1b0
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block
XOWtDNZRv4FbcK5HgglDAnuoXeeEtQzM+5dwtbTltQeOcgiGL0ldThovapKXwlYS6j3SjEpt1ctW
l0HJEu5CZQbd72e/yXjziCbCs84D4Hl2Vork8+ulXUTominLbvkE4YkL+H3FyGOZakEzvv3vHoQi
bGRSzkjeHwbXiPYH59tq7tQeJMQxgUe+oj3vs74AkG1R9+2YXPdoq+c0ike49CI+BgwG1ugOKpIs
VgL02xZMnagJKOXiUgf6pQ6HJDu7a4cb6y+rbPzvCZD3U39xEI3Y25hhH1UhPljfkI5NOn8Vu/l1
pd47R931h0LHGQ9zMdTwFILbWSSgIXW+Ww2X6UYKBg6+XDIGfN9yLUc0j67yNx93C6w7mxcdUnEf
d5XBmYrPp9/EcMoTf0aob/1TYF6e3buFL344aO7XizDEZRYc7KG+GUeDaZr1RZaf39ICNvcxuUup
fPl6qYVf8bE9LBoHxQs5BKNxGe0s69ylsGwN9ne9Mu/voJBkPMqFF2/pXAkTAXy5CiAyNLz2D4Qj
Kj4V0wZXh6DUewkdBgOgMdnG4/SHl1hzGU1DdVr1bgJrunH3p4DNx7ETzJJKPsKmU685dPc30nwz
G1ysAPjxF4rsT7ysCwcKpFB5BSTTWnol85/tmFsSpvgHZbfkKPGr9PEQFg0S+Nth2MZL9N1sC+eV
I65XtorUCbUU6VD3zsuk5T9ykchUyiijID+xcwuAAaH6QhEosv3sG3+v4M9FbpyXXU2dv+3hmD+Q
3qI1UDa8udQd8CyVOIu/DMs7GWf+DfuY5Neb07hYJcNC12Web7v6KPXVxOxGkE1kdqsK564hww9I
7if5qaA3BuMtfL3+lBhZn5GKYywMy60g2SdSoxO5GNEuBLToM97ulyb91wYTxuvvcJ1nyjBVlC90
mSw7nXfXJjhsbDlqix6aaMoQ5mq6WniCyO3s28BGiKiKbeuQhEmN97BgNY4qvZ3ZxA+oM8ipbDzv
OypQL+vvEilICjXzp7hJBlgb4Cd1aCebECNJrqe/AMHC4+pHwAd7aC9eMinjkl0PDhteljDSS4SH
SI0sNHQr6d0Jhzp4UrvhRDvfJ9nxbh/F6dtS/msNc+vgGt8T0wmN48DxMu93EAv6wTDclbebzZFe
iYRCgpUN+wPNk1rZQ6OWwU4Q2uE51AjSfHdzUotLRFgcfVYCKBHfqqt1xlPe15VS1bRsW2tteYvo
a8vf1a62LKECyibR5UbX0qp5OwFpQDxVEu7qWqv9DpXrjTpTPEnCw/er6wej0h9FGgxxiUC48PKt
t5PRY2pjB6y6ZEO/wrO3MUfjlx0pLCSBOOfRrNwzJ2g20gkUckYsJhph8I8mBaprd6qd03rmM+H6
sZ1QapOO1+AgxxoWxm/JtLO4v87aI/k7apNcMnb3rtdyX+nQlkDh/zy/F27S7SK+sxIXnYkiVjHU
0c1Tz3ay5xtmN9oMRWyPcehQ+AtIS/aGm5mQxSTRqgGTlNmmXdUm7uwmk0LMAJMx9IEVKD/T4G/e
VkFj1wXaHBAjrtCIsk4mpe4/9OLRtRTG8931GbjC7aEGAFl49pXz+gEjSXfgR7jKMASaaHekT0Lf
VmubPldiz1uvAREwZStCTrNJ14kjJew2u6c/gzrPyRT7hLC8HmeJ22Cndh+eMAluZ3QjydEftzRl
6/swDB4j2FO6r9VquZv92LAKPVJyjPzR6/grSYjVkPbydBSE2qSkJW15/+UtzZWdDqgFKIUDi3MF
WV80epAX0xaeQfJSwpx6bP0Ag8srHZhkfU+taAeA2JjCKfeyErzjt4fwajeMkrb+v6rTZqxZzovX
flE/bvBh7zd5Hskf/4gONrf2kiudfSC8AqaVFxEXDwg1zvtUTwiOunUBT78AehfyhdJuv/e5xY4k
L6VuYh3esIUF3ovizq2tAX/P3X0pgcgynUvLg1ctVuJKtgPHFs8vbCssvzK672RmPwALLBmR5uxy
fNuRI7fWrXHd70jRFTnsQk/HEyuNyTQSDly2+AfxaQBNohUiWtZnKDqfAAy4feRld2qMQyPediJb
a3r38TDceZ6AZNVhrpp/JrwZHbLR1zzBbkGL8BvLerH3VpGSRsZDmTOmw2+QRYQ9IlnPbNUAWBHi
IxQXruHEObmJWgW7PRh+5qxIocXp9CZqjojH/49FnYhpbKVNQqVb0gnRtdyDz/nCusx/6WsjhECw
pteSfnlYktSikI9/pRx0jEc/APbMNAwm1xHQzemGr0L/EC1m3m90wvcT7RBsEZlK6juw8QmMraoW
l9ywXqdDXM7Pg8z8eSMiavXwmG7BPjFM5bcsr6ys/8raseJyod+8DRNSbRVLBMC+x1YrqEZBwnGr
BuQ5FCnaCcl+NV/i8bIE4GCeSX0TO0z8GE+2vEfD6a3vN50uDN/0pOuyft4JxTshOCiTaDOT9cTK
rMfsE9EGPuPUUfmss7tV45kYpD6MoYo6z/+7Ry5lcWcAwffYgxPD4K9BXBurqWafRoWP2l/+FSEQ
V/hR3oiEHA7U3nvfM+NZCXR9pkKoH9ELa4D41lo435K9b4GK69JWNX8VDg87AOmjGLHh7SRlddfr
En/wyvAS9aWef7b7diP9yLE5TQS2kVI7SlMBnvwJaXkSYLwMn9wnfpo5JhosST1yRkqHbgTB0gP4
mE0prXfcH/Myaf1KJFwdxXeIHCOp+eEyuXUgyemHz5wpwY6xrEJrd194Ew8tdENtoTXi9cfpQURr
O5m7wA6I7ZQdifuT4d1NftZYNxu4fTB6P8j39JJpO0aaf4ByNs0KpySlCG9n/Mah8hzV+zq78tTt
fdhAnZpTDEqolldjTIGsvJ0AudF35IGFvmA9myx76yXqXo7DZzaX2MghZ0eBnxzdNeojGuvL658w
WyEi1jjwZqUnzjhY6j1EhaoDRXID0IL6kjlImg5/YdUvU+KkaqhrwA4mvrbUVsXFuWUBiYymIT8u
ZR72/bSZ2qHIj8CCBvJ86gUNhkW32fwivTDIhUbEqVMtsy0sbpE6fHF+AZqieahom+MEXUbCBFYB
8rJfKF78d9GDHzrihX6cerqy5UKsuMDSle++UYgB/1HS+6hm07PjEATky/704K6x1LxyPII2d4Nh
HTO0znYLeAJITj6X1zXFBLRxz/7JK7PAgPuH5N6hrfA7ZgH+q8VHdKgdS/c40obQLdFKTuI3AAud
2NltlIMYtdAkPBXf8U6iQkucHZ7orFA6XnpsBUn9oY42x6a1mFZJgxB9igPiKvmqsveCtojdMefY
2SibinbNAXb84FGbpehLb2PHZgD4pUe+Zhtvk2qWyHnsMRbDzaiDhnwPmHdXJ1zinBCvhJn95gre
EE1L5i7hlB1a7Z3Lndas2Dff2v1Ylq1f3779VnPSdWh4gm3eRwasiwpx+wQpWIMHefNZ3ZFi3j9S
yAJk+gdQT5iBsYHod3H9fc/o7oaXPdU9T9bqAhPpIUwWg9k9Nqwx03nWngl85fwsez5rvKsAMtcY
94oas6UDFJ3qfcEdxSu9ZY5e4XS8K5puZm35BnSWjLL7DNR60HoLRn7Rl3otax7kXiZGyojKx3xX
AZ2F/XpnBxK0FBVxDOxXN0JuvSmccBTp0SE4qniOq1hobl7+J/xN6bvWvI8KJLUFXrWgayB8quDp
wD5RAYL+hh8wKN6cWMn2Pp2Nyu/qBHFO/yTdNQ3ZieLTyFMdHinetRZGalL2tiXtn7vljDM1HSq2
TD3BZSJs4IdW71hbVUzsO7WwtaXHu1KnPlyV8WuQBQ6W/b3zRy8tJoJg54+SNYGo0nQvzH58D465
ZNHUMpvGUgBhmVr8u2wn6x4vTBOqeao+PGh2zcyNYYuNlQFnrrdjUkFNd2SsManO0dLjZkjFTJ4J
65PTBo9JmOnJj5Z6m6YRVsnnHN0YX9ZkUrHLEtSlRkc+VBKPPPQl9qh4Xw2ETE0QRNwMsmcAY6m3
Q6lPZEOxwJU29Izx8JpmnfMYBgF40jgLHevQNzkBquYTF5jUjwwxPD0nYmett0Sd0Z4TlKyCa2pr
7HCbXu+31aP8EzOufHPPn9n2NVHzCgK0mMDae2a7bjzHjpTjOM7EK1SdZp8U8mflFR1LVczaABvR
m6LlwFuGPaqtAgV3qwJpGsditX+6iy1qdsDdTbO6Ncv3Nz39ozjMhuN+45Sz9LIi9SFhS5U4VY7B
KB3jG1IFu0VmqTHZA39pxGSwlMMPi3sljSL2gGIc1/A3UWcuVPB7v4PqetKn6j56wA5V/7URLTBW
surtCev4U5MKJGKG5Nu9zBf1+afWTuOUzeptlfYO00jidK5FexQ84gt0crgbR6IUWhEawmroqPhM
vRNI5CMzDVmS8Jm57mgSXK0H/itUFURaTZrbEXoTMjblseGaZOt+frsgHrbbyZN7kziPaFdm2hZh
/VH1a27IrOuSEiQnj8fGgRgIOZXxymHqEGWQtRR0/vg8pBaLKg8VbC+FAato9y5wi/V0ugT6IHQo
pb6K5lA+T1nfglPc34nWxTroDt5/3S+b7fi5c8A91AYX15Jd8OI3UVXuvCDZv2yoMWisyhbnqrq6
ArxW4Un7mWWwqCT6z9EK/B3+B2mbIY+IjxVwk3BHTc2kL3DV9oOc3Z/INEzhAUXCTBHssWl+01ZZ
oCkU7BQKf4ikm3RfoEr5VTO9WhwrLGhsH3nkr/chCRd9xdQHWTIqLKq6AgxHLA3Ljm6BcxZCSLyr
tJFd8UqA71VO1VMpCQ9co7imT14HxQ9UvcDORi9WKuFeAjCAMW2ZTzoSuz4LDfwdcD9LSnRL2YiX
VLV72Vx4eY/I1/CyQYu9lIF++jrljWkPyAYwWoJc9cheOagsxtpd2Vbyy9Re9RHw93ovsKMV5+W8
DtOy/MbMkBSvC3BeyZWdwFTM2s/fi6Dr8yfOeaJPlIslxU/WuMGyl85DAD3SW2bcLF+STvPbhAPN
ZWAnU1jFPqCIYPcRlTYeTpa7OFz3VjcZ2K5s6qBzMDZhaqaYr/Tz3TL4m4vQDbCg8EQoCCASgjSL
DP6OZrdtV/hUUtWk0fMhxXKfJdPavEFpNZunrOh61zReRo8pq/f8Yh3J06c6UhSKr6WR2gTgmczo
V5MVXlDvDyQwl8XjZWQNZH6McAPu4x+gAG9JhdljE9kZDmDdeWDKu8K13OCT2hgMUsbIb5OFV5lq
SUUpRsu7OX6QzVe5ljg6bCWaE6PCEt2nuC39ilO+DYbe/Pb/YE+/3xqUcNTNSqeWqY/2FU6KHNOI
nV4Aa7LL2pEAb9Eg7vzHIO+83JG3CdIg3L8uS0u4C1iYAfj2nUFi9liiuHGNth741sk4N+u3BVLp
cN74fqTs0d6Z522ewbQeUR5nRf6IUpusjxJ4BR/8FLYp+oRG7S4LAXqqhX/hdn3gYuVSfy4AUSHr
AyVYX+HmvwTR0GjLtJO1I4dAxGxapz4maqia8GQbci7XniTgZvNiS+Kv6lRfopS3nfvl0dAZI+Yq
Pb2Eu/4YImPUzzJPqC9bByJwLjoGJSqI5EaKjC7gEkqQlQHqQDeki6pIMF9k4B5XQQl5oLaE9lWl
iH1eTpe8xbAC4SIxqceGwVuGF1RVVfwIkO56XhuY4lsClB4WDJRL5FZeWAogtAeatzJOexW1+VNp
ESAknDB0K5AwIwCzWO8KaDyfcwvWkBUNrb8PDEhpj7HsVKgDgDwhB1etg4ZVVjM68EOSS/RMrWhW
WyZD4pPwSDGDRmz3+lZYYp3NdlYfameAgA3HH6zXhdoxSuOzotOfNUYo5S37Hvo4Y++1J+2nSOxs
2gtu9EozusMtsVLu7jZYMBKmqwjIim0nuGe59PVkW4TcoHl/6iSWbE+yvTTqtRBu/xFlQN1Iju4n
JaegefML4jqwM+Ecov6VU/EYqExp/swWPC8qThzaVt/5q1g7skIc6O/061HP1uU9mL7gl9fN0QMN
pnwz6YDYJnP36w7D/5f13DAiQ7C2mcjTdiVOhtfgNtTDA5MAKBodIGz5zXZgwYaBwBJJRQ1cvqVi
MjwErKt/3/U5/kQ8rzjQQeq7J3ssX1Nky+P6JWEQ/HlNtZDxKFD5KTns7n+ovEUKqyseJO24qbfZ
sdJl/oXftTHG3I7Qqw4nlMLszeq2J4KGux9k1jzKDOar+qmEpR77NjgSTx2Ud5QjP6OjAjdS0dK2
Kt4HzKajY2r62FQqwLFnWz5M5dXAYfm2HZVsmV10JL39RsFKFofHH5dFPvbPhMhYAvyBaytYQ/59
2n1+oCG4Xgw74L2Yv+Yy/NFavTYZDsVlxGhnVIPCE+kGLD8m+zL0TaXtK5XOWfeEYiAZw77F3Zb8
d9HYYRKEGxHmNHuko/FYrnmGpwfl7qX7bEpkAJOrQDa5lTjyKDg6W58d6BUfMI7lBOqGblUy2B69
44th80thR5xfYL+qj/qtIjEQRb6oI4A1b4De5BvZSyxOsgCWfmcD+hEbGaQ8c100NkALjzxY3VE4
xX2sCOIZNpes747/xq/FSgNas6YhmaYpCCNvxFOFuZyQAWRRqn/W32hrg+50CE4kHz2N1QZpQDt7
AIdwAnt7nhf9EFUwvzQQbcaGA5i9+OZMWX1fSbM+TfmggFKMLZleugCack5qSQhsvAj9ItOc3joE
/CGHE4l/pfTWtLvT27+pwLJaLk7VnxNPGysYS8k2443bvIj44/uJJETgkRcGAZfXBarJux0qJNum
PNL/jMyzgmHV4ZrhiTjGDvHuVQoZDFhT+dbl4UOFs2u3OZMMCjzIp8IbNU1FN1RZepfnyz9b/Xo8
65X4wvFBbOIm38EVOeROS8DhqdnSkOQFyHuzLJE6e1Q7i+807FdxaM/QBeUCSZ3+QqO/oO8lp1BI
l372/vfrlFyGW6h6EKF9LJaAIpGjU8uJpDl0zqsAJVeJsGiEbmqPoSfDCnDV/ubNdyAW5Y0nPlY6
Ixc9a/x0AfGS+NoyPwEIs3zPArevfTTFxBx/G/dXGpTZ/JoWAZhXrwlF6MaWjkfJXTi3sMR1b6A0
24TgYSofawStrJWTe6PqG7Je1X09BeN0RwltNOeOCjEdiko9cMqxRvdssPNtPHACvE8fsfJhzU5t
RzojhSHQsatlFZQ0XpBUPwLxbg6nFgz0nJIjA3Aiv6yjfRFBAbJED3e49FaZoCi/E3xel6nYYWsw
AbCkd1j0u4OaG+PxaEQlxOUXWHvnvjxiV9LiCmnFEWfHnQvUEoi1AIVWC8NIyMXXCapzBBb1KIwq
vYIDqwU1ljZgGZ0KvG9pr1HdB7BBm8zvcjfi0cKHvdBdL4EIktAQPZyel5fZe9jO67tNmsQMCi6x
ZPO61n8dHbRFSbtmFkbNcm+GHjvzhAnYnoqpYCQIIH03389hcOz51bFb2sWSScMm+abt+pKOwgTj
NLPWeNPuQQbAbdY66UnMbm01h7oGMv0rqw+VZ3aUf1KkJtH7ec0SCKnGE0HWjLwiKDDV1l1q3RW5
KtaLFIrLIDQUEBr/z84R4cZb/CridLnlq1y4IHRLn+uOLo8kMOFKah0wypCBznwce0PBILrImQl+
i/70t2XsTWsAZYKUtRPfj+qJ/Jz05ly7FOgqGDbmb1zF04QQEKKGLj16RIjZJS7EuUknReM4U1R3
cBYdV4U7V9+jK3JKMOGchnzgU0skNaxY5G0XrdR1x/luHLxJhmDxjazpBbaXFjfVwUtFavdgviJh
CMWndo6lU/i6/mTExKCdP5hZ2cYXHJ1/39hY1LpWawYEkpvBlOiCauFMea85wv/Xrfq2dkQyNjac
VrvQHyMm1ZFyqp2UZLu6J6aDEkeLNN0tMY2+A9AndDetKMQNzxXJ7QiCsgMXpz4MIiGQB69bYtC9
muiyCPLe4qW0T+hptBlxmCwN6RMvDFyHszppMEDGcTTpDBz486h1mZEwBqRWgeRAT/jdwrA2FDMc
bPlEW/oyHg4UVueX0IEKRCMq2ikeX6DaC86bbYICX/Jbq6PMyH5MONkAfiRFkvJJJmGbKu/tzT9V
fPF+F33bOZUvdmUZoTFcPxDnJIHybNsSyaJXAtsS6ipzuqmxilssdKe7FvRBUC8m+KPJPFffWBjc
WcMcRvyOMPl8nfREfUk4ppg/U4P+4bkvA0DebS54pAA5oebK44vIx7f0rFz/nmc7L+4J3990Toe9
iYTfxihECpab8G0tftQFR5bRkcipoX0nYwhCK+ShV6QiFlTasRTJsiuLYDX00Opw+oJNmIOq31SM
clVuJ9WT6zgzLNSnjhg7r1pUO/ROE9M8zec9+GhcTEMbiKTEl1MrvwcHAb2GM5Oof7CMa7bZaTgi
Mla/r+wgO4az9k7tisE2nYB9AXKPamKoB3gOS5MX7HhXlFl5q5WLllQYGkRCEUBisBFikG87Akqn
oXh2vKamrv1nnxWkLEbtPkeU82KPU5lpYHwkjwSzXa/aaqjAOsaG7m8OFp0DjT1nfMw2xS8oeRqT
KuTrEZ9KKMcv92HYRpO+AY2Myhhg3xTXngkvusqQkbXHms2+ZnrqNQZhHCnjiFXp8TaJt05TqXQz
m8azVa+EAY0iDdf6qpRX/5dm3Ft7HzCj814+pqlA0MMXET5SD6YGYUIxRJabkbbsopPULhwV597x
ouxRY0f74WUHRXhezjDkqMQTEj5qqGT1wmWcSvTkzGe4eaHAf9GLA/m+60WUiixLqRyaJQVJ9PxB
eX6iTHsvavp7Ur8LrWv/EOk14PdYh3Lh/62TzqaSjnF8jC8wYUgM5vlM5hl2DBLlzps6AJT327yX
0DutuynuZYYot2DRFzK1ZzAkOS7qFEt6eRCjIZqSed9cbXbGve4LKu2t5bbTKn+HAVwek45FFnug
HupFPoZuspncnlsvBtnhEuHWpviUKZNdxjYxCFWGC9ehytQ56O58AE4lGhit7WRFaPtNFKRvY9sW
GTMnF7j4zyzgjH7k800ORzaorICJfQqNgUKzcF9zjFDjJ4DybBDOiE0LPJ8fLuL/d0BdD+UPdycJ
RwV7HDDC7fFMYUGfC6RiF1sWczVmUEDWm2aQhfJ3RmjDMkCNZWlYKLJsemYeSw2f40WPPuT9Y1dI
adsHQOBkM/W/9ZJBFFp0Vw2xFGczHguu6aAE2yX5k78V7nsMW71xOZFZ4Cb8R6nahNRqjrKK/vNL
MXmQw64iHNcdowrUjSwpjyEQP2LpInn6NESlj0Ryxb22/pfdM6cdZ0b+bKd1uvYvprHC0u/mIg1B
QY468d+PzwWHsSLKpe+t2nMFHo08V2UprhL0XItMtI+XIRnrlZcCOGRfxJcv+MT5pjMe5QTsZEkg
tZnb2VdD1h2gNyBpPvKWSzy/nKtGRcNVY/UDwLz+p6S4SVPvsM+pi5RaVkmaWK5iUK8GrnCyu4HZ
pXyhVetR1cTLUuyAu4a/GoijB2iF0aDHj0Hs4+4q8ihTeRi7i5pfBecCj76pmHULeKF4DSPGYoqB
n5cEXFBoEiPULoAxet8UMalRUyc35As1pFR6m31V3K8IvjuvSqjk3vI6E+sf7OZ26ePWqr/2DH37
28qFQaIAOJg7L2W8GSnScxigbiO4FC0AgwLVZ0dYVRXcPIXiEEscewkwRTwgd2ny+jvyWfbeJ8un
AiszR0g4ualmQN3M+GJ6U5IGlIbVzcQ3MoXwtA79Tgkz2GIAYVkSsPVurNgS27fnw7bVIDDtEmeH
tz2ijpacJf6eIc7DuIwyRetPZUIjLrXOo2FRTm0IueiXIMB6/qwT7X5kfeDK/eNLe8aCeDu2+RVP
610dQKmznv4WzrmX9Bsx7faur+gUAYtuKtz1GahhWIdR2KBSbk6wv5kYifFUyPzsaHV9/OMUrBu7
XT+8Uj4cDXBfgrXzxAcf7d+Ew5gVbSnXA9UFwOtRm2Zb0TEWaNR0bClPIqvXzBWEWsqdYKQI2o2g
sghie6oYQ1X8k+/QdElVgmAxb8/3eAtSvu4b0XltOGz40et8ofuvQJrMKlNPjmwBSziwLmgcby8M
9c2gBK2N3AcsV4C8A1pMSur/m6IS0GFd5QHXiuT61lr5q3BNCa21I6w9GwkZrpX27nkUXdlbghoC
pvgKXMbDHQDFeYLORaTRpfrt4+nb8AA03V9HYiXuHJI7BAADWYUUF6ZDDzCls8uddz6upQGA/iR1
DHE+vHnb3amGx/SEngZez/60NokhxCL40RFOWBbOlBai4sUoZO1R9Z14e/3h5m7IdrfxQArF6oYu
NwnbEkbOp4BS8B2s4LC45xqxdqklxwTsHJHlEuGkMEP09E1RBSo2dRm36takiq69045JQO2jlD/D
ZL4IczKtrXydoMvO/p12KIR34FbGrFWMsU2PKRmQ1xhdYwQO45Ih2SF3YS2Pv6eqpUK/eKtr4o05
Cs6KkCuxqvlsPsxquXsM0uRhOB+aqxZV9InJNAkXnoc49YDtOooVJx2kjq5T47MKA3LfGTPFuVVl
HJ0TnhE2xY075qP4sVoT9X18w5Y22BSq0Md4w+z7jXUG2w371uvuNK31tNMa69M2kSg+89acKdlz
C2E/OAQ2pa3x26n/yK2zKJxTW9MToHCWsDv386tkn72QUmZ+E3Hu2LpFAzHGsBWCwkNCfU8rxXpR
piXukjtkIVzhLHBlGbc4MlRexvFwP1chN7s34Czob+wmYZeCsdlyRZEkN9kNcQoAP4i+yhuyT/yc
V/lC4tulnqfnoA7ZjTuotzO2kGUjIL37reUgA+NIXdusy6xNcV0RbZAR3yXmBpxrWshf2JMi0259
+nYjIIbYQEOTnXnZ4J0SMy0+/XWw69NRbTT04wa0U+qZ3pfVO8bx9KMHvgqug7wWEqyHIxeilslJ
bmFK7NyDhjX2m/py1dnrzuDCSh9f71flOdcgtemyJXDsPVCTHgRAEPQ7v8VCqIpik5FL7Sm18I8k
2ydYUEbFTwLnHY8sIIXmd4FcQ9R3B+i283c08bEqggHpvpj2aULyzgkgSbxLi99oKLkH8lb1+waA
XEiV63Th/rqHB7/nIDpu/lSYwgu861PoTFlexiE5ut++6ZdfN7C6o5AoR3bxNrio1nrQDsE/XoRA
E+bvxUcoOonUlL6QfyMdetYFN8zjsEg3IciBGN84QfS6P92wGuQCB+rT07n3dbW5D5VgXgle2K8Q
ELZ4RSg8kZCtySXh7GMShwOQOJN8jLjvRE+v/oZz9GVLL6teFijtDtyS/v7jac8dGMg87qDwyieE
Os/6Iz92z9ktym3nz5sPR9N8ZsHF9AAJUC7iq0Y2qMUsYm1H5g4TWK/t9+D5q3Xj2GESKfziI84d
fGJLSSW/ISzuIOPtyEDb4i2erVhtKO1SRIusRTQJzikuyJGiiLjlhwz3tvcWj9hWi5t//FMT5j9P
gey4tkbJohzRWnYXNcc5Uk/ONivVrcsE022M5gZqPMxGgca8W0LXMLY06xk/EylY2lEFahBT9m4S
3B8YQa1wNIQHlSS5qDULnqNHiexOxKCI+kHCl0xvp0uW8eTdo4Iyk1LMO8npQzW9RzD74bYhi4mU
1xSwJ9a5m6gCaUJe4ngNElHBsw6IxJ2jXpXMBizLQEE9YIo0BdhTagO83asIdDh9/fcbh9G91iZV
x77q0oBu9BYhrqs9EqvR/zWE2DPAjlLR0HbO+n6RRLOLmB8LB2fvsMOYMJU0l4vCO4uh3cF6uGYp
5oGgkoLro9AH57DiF4+6jjadIUMivBDp+Co7lLNulhmU4nmeCjBti0R0HwMvSzyF4L3wqj3rAGf0
dncmW3iN0OltcyRFMQo+XxORKO+bSDOZAVzAK8ZqVsh+UfgcjAboJ6hUC77/oNP8howK5F/i7G36
Sxgm5dwrok18WxFg1VH8ji0GrXzLpwMA7CCdCr0m7ZyHXwyVZ+kXXz4Ts0U8mQ4nAAu79BiMxVTl
cFFAYTEv5tj0rCGck93XP7A2U6xEMJsdAvjtoAXN6jXjOgPF3StOAcXTsDNLI+QDRSwj/NqxbMih
ZIVnuCmoOIktmVsfT9qdUHKGNX+E649k/TO/IqsG12kFzCelfNeBk6vq3Aqm6ZSNV6bR786/OxSC
p5XkcpFsT5Jorf/UoJi+j3SEpypQFUhUfpFsWkWEQWWmaa1XC6D6+jzn5BmSI+D+lx0WNp7+oLkK
bpOA58hLqbR9vpJ3gDqFqut2S99yS94rmfgwbYF92qnOHOQep4h1TEjgSSvnh0ApZLQjXp7uzxYu
GjoW9ndJi/ppKmsxLfUItG4ctUWbYKVTej8/mpN/1rnvciFlwVjcWFP6J+l9UqoqzcwIL4iwAukj
m5lchbhgF0FBAjq1zTidZ2U2GaLiEQEVmquz3P0XqGgXpiNf4dA8Nk/L7TZrOuJ2nM6mqzx7cEvP
KRHLWOJkCZu2xKPSDwHDgaK91Sz9pzDB5ZviO8U+1m5Q/Xj4mKI7YA9Y0fH6eRiri5smiLEkCfD7
28u+uGtHZjAic26PQ0c53PN0IHdeDn7SsrUhWMbjP8u5sPxcBdUmTQvB+wb/Ya0KCoOgK1gKL2cY
+TBRFDt9ACl2noFeudVV6EBk2AUj4otaRIPnGbi3cCrVhRF75VV69bpyzKmCC74kyvUXflIX+m85
ouJxK8iayCLSe5eROn+0kDJpmkTzMIl1vrJ6jnyIxcg1iQzul380xSWcC1z8zEOFc5K9vN6/6GMU
rcKSIazZe9HmXX9S+YTdZ35AEaAX/RJ7RR3lOhtjIY8jyQhzPdUoghwmqOGyyWEgOMJMnaPt+J2C
g9D9i4zwdd/xoOBq08FoXbG+ilCxYpCuHhpTjUw8hFw8eetqZsxy7CIkO3cUnROzWX6jJeckJKNZ
IkekzPEUUeLMnNCwKsvY0TBg0g830zSTcFkD7J8zNBNQNgFi2oTH0iq4ZvSFpW/xTVJMQGu3ggZ1
hlGYNUTZiwf8q7m+OtikTnL/RuEn1OsBCoKf74TxHyDFcaWYyNNN9FWhL+QIphWi5gKt7/XtmXQE
nOdyTqzNnFvjhnqlu6Xi6GOc4MqqRY6Hl9XBXpn5LkEvOf+cFikgnFvVPlU7sLjG2wbY+IlF41MQ
sucGJODsmiRJNf7BX1wo8gJCeTmKbMuj9BFhw4IzcYtYZtUWZChBVW5PF4s6z0IK4qeWZUk6yjRD
YcDxcvc2COnsalAVk6wYlVEudxuVusigUYIOTNGybC3o+7HRXY9vEtMD0ZH3wQlA6MoxoNwHDynl
RJaiK5cVjj/8ruw8LXPOpqm4rZJ0Jk31zCKr3Ib9VRPGKkW0h0Ms17xFlF3tITEcAE44D2E31urU
axXMqEWxT/HH9/Ba+FI1lOQDO8WlTXG/TOkPwKM7AIP6y2T0ZrN+43ckHp60NsfUIGHMOccBjUeO
hWXcHl18KB00D313tCTaXwa8zZ//gwFqoN/0EPZWDa/k70q0KUzcsX7lbQPlzC2M9MAbLcL2o6ZV
DYS1pV0geiTdicnQFohArY0zC702G839afiK3wlV3D3ydl367Yx+ssGDptp8RP/T+VopwRAs1O/Q
LvVF9+T6JIA3zS6e0XPjBri4TlyXarDtr9q6NuiGyK5uXCMb+fHXRwaZ8Fvi7ALKET/XvhCvtiB+
tCEbf/Bogxl83Cih0Lv5nXuBCd9yu/BdsmkI0rvXp4CHUbDbCz0mtL65Vkeo3C2u3CGaORsO2+IS
xmPPiTTyyArgns9C2OXPrUQF08EHYflVF2C7L0h5QBQ7zlWABlIE3FNge+0iJvurwcwCyUuurRvt
xxaSdrK+rTsT0aWkGrhV9DPwYMv0GfhtE/cWTTtJHkYCz7ntzKqThRPvnHAT8HNxqrrJhdgwgiAc
GmPuBoBybVi5TjooZNN1GgqU6gD4yuiUnwsjYkwNcyX0EpZFSS91ttvJ40Q9wHcoCkh5g+W72YuU
WdIV1vRoJmzhcJmmD3/0abZbJg0m/GI+U9Ld0MuXQmsxkuZvVZcGm9YvilIkw/tVbBxHQzLFuuHe
y83fCBHUrhX+5aVp0oQ/xKzv7SAgYjUUvVK54xCM9+f0I62rLzR0noXTXm8LhXK8g/AdIjo8dVxF
LNNUsSzsv7e6C2r+u7LiO5mg8366ZeIQqfY30TZ9Porubd8h+fZBm+SrKf+k3OF5bLvzw2C9Xh1b
DN1XgHAZobO5ESsWhdZfGwwFSKwNCb1SEpy1Xu61+4mDMtw9Nor3xMjVRQcFJDKfOfv3HEFrb8GG
JtnOxre0swLsZ9a77m62ySn1w2Fc0NHL9BK1nL/g9UUBBfDj34PeEVLBor3RoPiOQtnciAVLAOjz
aOC1Ke7Ef/8EC8Nxxje1WeXDIo1J8voygjyTpPm6HRH3sGm9Ua/w1B6ssTwrR2t6c+XIhbZx9D59
ZhX0MpGvxVDdpZGhn/D6qX2rgURptZQ6yPq9smFwarzpc26kG3ZJ1dA5rwzHlYex1Aq+I3/TOCqQ
oSbwSffhhTGfWVL4d6alrt6lWnkgSz6SmYf0GoFLf1ArpaYsT1meT3Wlj8xN/qdsChbAoVNH5czw
ipj4BVzziC04ELaLJCdbTGfaJ5g05+t+Obod01qF/hJ2Vh4xosD74zEBsom8RK+0rnYI79rqQljm
8jMrB/KiCGOHa6m/XvNEjSMDvYeRSLnKUNMvy4TJ1Heamzs174XWlP1uOyJr6U2srfB5zDaF01hT
VU0gQXIRwtamaUPzlcwL50oK9DbaNq65g4vkvwTc0UA08GUGCqNXyqNvLHmg9KE+4dw5USswRN1x
EBzJgKhdyoJuiWi39pZFtLIeWiB1vbbG9oA2TQQr99lJL/DwHrTV+aT9/ZzBSVA6yan0o8oVZnPI
bDIxzxOp2Al1aKZDj2EBFk0e7qj4S4cb/gNOWSuUJD9p9eRe2P1LsYbuj5OowBsoo3//BV9mvd3p
4BgOuNhgDhkzuv7oFD/UmtZhnwsYPL6OLbG8gAqNR87UbEydaYjd0t1Sp0sX0aB/h5SlX9Ar/Cxc
MJUQwJInMJ0rCFkFDRmzIKLtOIWYzEuGcdhIq9e/HNKBM5LpRal53roPGYgOLxMDYDkc+kQzI5FQ
D9tLQBAPNFRBrphY5Sj6ChWnhc8aeDMR61NTbrONci65Noc6a2f5mZKkOd99g/IjMeSfeJCCytpG
7+2pPqYlXuej/U4GyeLDZtlFiEXXEMAjynY3lPmLXPmDERoh6Srs140pCLIaCPFdLkgK08CLjXU9
kWpOrKtO15UtWJ3RegGp9xNnitXspY9AqJJU6EGf7L41yVl8u5eIWe2qdUMU4RyMWqM3HIV/8GW/
+ZHrbUyTxmuaZEMYNgJNLfT66CtYXJRsY80BwvJINvyTU1K6cmudreU7xbE6+ywGT3Zq2uYq6KqK
ZWAFwT3JRdYihXyb+9EMRy2DIBsWdHwvyaFb25OdB+QGj0XW75/3rNr+7pllg6ujkbTHt57/9SqZ
SRJLvJ1QPtGT1F/RGoXfwpgd9rgsxqGOv9oEbM0gMiJA3QvI6IimF6X93Usgz9cqyQKQ4JfGue8L
RUkM+HE5iewMYx1RPhkj5LRdP/oXccgatI4POJsnfvTY052IQm6ebgEK76HvyTO0YqFInlHYd/cj
7Wd4XMLkAjjWbfTravvDhJAQe35wvrF/Ltv4/ECS/vkDjCiRfCNRoW8sdU9Kcsk9j8Urgncb6oSn
IA5Rei8sL6lCK9J5RlcswwhMs2LP+VcJQgnzimudafrauXRTn7h/4odY5A0Izo7ruO+PEMh/kjzk
aDd6P5kINr1WOb0ZxGf8M5o/vmJEmrDPHff1qEWjudqaAsX8xBbLsnj7/Vce0oFM27w8T6W5wda5
Uj8kOOf5+6cMqnsMsOE2FNvmmmMQqV+UbWi0mTfoY47VmssCIWRA2GTOBdXnn08ivBrkMhwrK/bw
j/mwxPFwrYZdfahfSeyHSYL0IkVFoL42Gew+lI8hajz20O+JykDtaXGuYEzDBO07HBWJVj4qywty
WNKt6OFKBrk+QIeO/iY/iELeOPXvALgvrzK46fEhhFBrRgedPMMo7cX3RUweYvTYYWt8QErVaz1b
YHd4SzH96qA+/vcAShkWAGdObyCMT5bdYGeDKIKqbdlZanUCWtJ9m7DuaGMhl/m49yOiyKQCeNnq
DRS0UEH3mhpmKJUs5mYw5Ti8/RpPCf8NRGYD4ArFqdNafsJ4st5KW9SrpQDXzVtGi/ukdHATOLyk
bGdzPU+aPCwXmELjUxOeiSAIZWRhsoI3Bhht670gtQTiFk+mxuBxfo1+VD/69WxKUpi7NQYzdbpj
+SW87PdzXhL278diGJnbPivjaiG72mcKf/8cFgK4Z2ER7WTe/HyGnND/3vMTeP6r0SJ4KhusbArx
4HsQtxS4KKEHMTNr5AqRU57BxbLZyohF97aUfyfSOMcoMh1oo+uMf47DyBZpoZCz3Vyo1sH+AYH+
CqmEXlMT5JjHdyJ1jrkUgmgraZ6tRxY2Cjy1SoDGVwNK3BaSkTsDAzixOrqZ3qjY6F5z/FSCPhRu
eRJ1cJ4rSxbXNDE6/9UXbIi8w4ayXbSZ9mSWT+Zkny9g3SbZuG1hhcqsbpHJyMc1g9HRdkbfMtYj
Ce0nO4V2R98e60KBiP79DXREuxmCMYrC2Z+NLzWaAFoIvbtpsMa0wgjpgU91z9Ea9u5bUlGzGoom
INTHJDMQVbjyYYgl70aWiOIy92yWFdkNVDwcI590eTUxllEtqpGb/kjJ4kRoZu6nHzBDhNeIz3AX
5c1liG0VWl7+vtXStNnAGnhK0w83J5P/iz+7zyF6PXYlvCGMEpMrTkVHFcsZgEiI7RUvL95zyzYb
kMfQB4HRDfU1Ki98LfdDX4aHxu3ytB3xkoj4Tfe6EZomsY/vAnMMoEJyIQTYXLxykN753uKeUtMA
6icJzSnsRmSqmSOkY8z3L2YZcSDhOI1UeCd481Dh5Cux/qNcWWW1nRiR7Z7OcAkQBnD5DneWa+2C
5y7RVjWTuF5ICG/iPRgSpVuu2lIyVEGJpOKe+HJmmFoOBV1wxKRItNIUDbcnE3sQL/vrjfYWfZ3a
0o5qffEIhvunKnQ95NGXO40wFuXj1mnNCC2DMi8gV2R/xSn3vSXGxDPYVfM69vDTYbrkIrf8M7fF
XqhqOZQRmZ+nFqcR9kIz0Yw1rWPliYfMyvnmxn2r7F7yzKjmaXma1SoYxw4E3WWEJAdByh69Jnph
/4xfq+NBO1LUXgGDMo3n4htdcpTaH5Xt+Zsp3+hoHu9LPQAI+eLZgRmmPehuEuuCrpeg2tWDr3B3
Y1mk74Lvq9gIr7HSkIDZwr+UHwTiba8Db6HLV8KBsOR+afRvo4eCjZpC4CSG218nCQ8o8GztAP5l
fZyqFSUCgyIyETZWGGQFhZQRZCo3puBXPrUkkxqBCnsAAVi9KD8LEHXuREb6AUX2T+zKacAAavL0
wpvBhyLcM3lsqWZ2g8DyDjbrMiqpO3FkVvJ+X9K7pFF2d4WDlUefP8Dyc5CZv6yz2s2WIyHMkL8w
vRr62JX53ynYVYd2z2eiX9T6TxwIkPCyu2iLepF/QIKOpDAmUamh0YOLFKcLLlOYmSNXqGinlsWa
1Bm6nuu3jSHt/Sxmb32WM4YyvCmE30N/wuBZ/OswvWS5a3lCdoNMbC+d3Oky/snkZFms0MWdbTeF
RddKs7/tbLYcgbtRyQJFZi4EZj5MmhZ2iVjvThwxdxRIrq7jUp7lFD6tS6krt6DqazvxELiXadZT
NC5kOJJ9ErjZTcV6hoyANZb0WBx6LHbR2ZgxxOkcedH7DTzA8f2dCVwZVWlnrAXuKS5BjTe8RBrL
G1jPAw/GtIILyY57KdFw24cpK6OG35xI8KfqtNGqFSqmSswxzSQmf84cOCrgIXlm3D9ZoCFKA69S
eO998PM/dXqp1sk/ECqsaSN0IgaShVRII2/t/R9aqG/E2eayHrFvESZqWYmCBCu2PNLaZHy0WlGY
/LWK7vusAphHgwxLh93S4psgDWyv7A1dhxii0HAbIvSo28RT1yCHxJHvH4jDuuMjEF3NL3Cvc7tq
D8Me0fX+99xu1gN/djT4j8vMBIXX0UtmBZuLW7Q8rZYjxWDHEepie8dT2YN2EsUAF7cSFW18lVP+
ubtC04w+9IKN7njywZeEwhACtrZkxtnl8/LyHLdgu9HDv/jq1KFQrkvqbNt19UZOzVP0RYXwi3k3
HkPSxZ7m+6WwUK44sezKtdTuHMPQrfRy8VBA9kdc2z+dI2c3FDc0/B82Mnd5ZV/WOZ9rz6mfECFZ
ZMTjWoVCODKQh0QEosKKF+LCyQ0bxpq107lHHO7Pq+F+dTfHdFOu4XGLe5inV21AqyPgYbqmlQFx
adXbCnXfOBEokbmafWu7fF6tFCvndt+/kqkHqE9V5xspRjvrZ8pf5nrRoIkPP1b0
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
--
-- Author: Erik Alveflo
-- Version:
-- * 1.0 2014-02-11 EA Inital release.
--
-- This package contains helper functions for fixed point math.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
package fixed_pkg is
type real_array_t is array(natural range <>) of real;
-- Converts a real number to a fixed number of supplied width on format SIF..F
function real_to_fixed (R:real; W:natural)
return std_logic_vector;
-- Same as above but more generic and also takes amount of fraction bits F.
function real_to_fixed (R:real; W:natural; F:natural)
return std_logic_vector;
-- Converts a fixed number on format SIF..F to a real number.
function fixed_to_real (V:std_logic_vector)
return real;
-- Same as above but more generic and also takes amount of fraction bits F.
function fixed_to_real (V:std_logic_vector; F:natural)
return real;
-- Round towards zero.
function round_zero (R:real)
return real;
-- Converts a gain in dB to fixed point, W bits wide, F fraction bits.
function db_to_fixed (R:real; W:natural; F:natural)
return std_logic_vector;
end package;
package body fixed_pkg is
function round_zero (R:real) return real is
begin
if (R < 0.0) then
return ceil(R);
else
return floor(R);
end if;
end function;
function real_to_fixed (R:real; W:natural) return std_logic_vector is
begin
return real_to_fixed(R,W,W-2);
end function;
function fixed_to_real (V:std_logic_vector) return real is
variable W : natural := V'high+1 - V'low;
begin
return fixed_to_real(V,W-2);
end function;
function db_to_fixed (R:real; W:natural; F:natural) return std_logic_vector is
variable mag : real := (10.0**(R / 20.0));
begin
return real_to_fixed(mag,W,F);
end function;
function fixed_to_real (V:std_logic_vector; F:natural) return real is
begin
return real(to_integer(signed(V))) / (2.0**(F));
end function;
function real_to_fixed (R:real; W:natural; F:natural) return std_logic_vector is
begin
return std_logic_vector(to_signed(integer(round_zero(R * (2.0**(F)))),W));
end function;
end package body; |
--
-- Author: Erik Alveflo
-- Version:
-- * 1.0 2014-02-11 EA Inital release.
--
-- This package contains helper functions for fixed point math.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
package fixed_pkg is
type real_array_t is array(natural range <>) of real;
-- Converts a real number to a fixed number of supplied width on format SIF..F
function real_to_fixed (R:real; W:natural)
return std_logic_vector;
-- Same as above but more generic and also takes amount of fraction bits F.
function real_to_fixed (R:real; W:natural; F:natural)
return std_logic_vector;
-- Converts a fixed number on format SIF..F to a real number.
function fixed_to_real (V:std_logic_vector)
return real;
-- Same as above but more generic and also takes amount of fraction bits F.
function fixed_to_real (V:std_logic_vector; F:natural)
return real;
-- Round towards zero.
function round_zero (R:real)
return real;
-- Converts a gain in dB to fixed point, W bits wide, F fraction bits.
function db_to_fixed (R:real; W:natural; F:natural)
return std_logic_vector;
end package;
package body fixed_pkg is
function round_zero (R:real) return real is
begin
if (R < 0.0) then
return ceil(R);
else
return floor(R);
end if;
end function;
function real_to_fixed (R:real; W:natural) return std_logic_vector is
begin
return real_to_fixed(R,W,W-2);
end function;
function fixed_to_real (V:std_logic_vector) return real is
variable W : natural := V'high+1 - V'low;
begin
return fixed_to_real(V,W-2);
end function;
function db_to_fixed (R:real; W:natural; F:natural) return std_logic_vector is
variable mag : real := (10.0**(R / 20.0));
begin
return real_to_fixed(mag,W,F);
end function;
function fixed_to_real (V:std_logic_vector; F:natural) return real is
begin
return real(to_integer(signed(V))) / (2.0**(F));
end function;
function real_to_fixed (R:real; W:natural; F:natural) return std_logic_vector is
begin
return std_logic_vector(to_signed(integer(round_zero(R * (2.0**(F)))),W));
end function;
end package body; |
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Thu May 25 15:29:18 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub -rename_top system_ov7670_controller_1_0 -prefix
-- system_ov7670_controller_1_0_ system_ov7670_controller_1_0_stub.vhdl
-- Design : system_ov7670_controller_1_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_ov7670_controller_1_0 is
Port (
clk : in STD_LOGIC;
resend : in STD_LOGIC;
config_finished : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
reset : out STD_LOGIC;
pwdn : out STD_LOGIC;
xclk : out STD_LOGIC
);
end system_ov7670_controller_1_0;
architecture stub of system_ov7670_controller_1_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,resend,config_finished,sioc,siod,reset,pwdn,xclk";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "ov7670_controller,Vivado 2016.4";
begin
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: syncram128bw
-- File: syncram128bw.vhd
-- Author: Nils-Johan Wessman - Aeroflex Gaisler AB
-- Description: 128-bit data + 28-bit edac syncronous 1-port ram with 16-bit write strobes
-- and tech selection
--
------------------------------------------------------------------------------
library ieee;
library techmap;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
library grlib;
use grlib.config.all;
use grlib.config_types.all;
use grlib.stdlib.all;
entity syncram156bw is
generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits: integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (155 downto 0);
dataout : out std_logic_vector (155 downto 0);
enable : in std_logic_vector (15 downto 0);
write : in std_logic_vector (15 downto 0);
testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(20*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(20*custombits-1 downto 0));
end;
architecture rtl of syncram156bw is
-- component unisim_syncram128bw
-- generic ( abits : integer := 9);
-- port (
-- clk : in std_ulogic;
-- address : in std_logic_vector (abits -1 downto 0);
-- datain : in std_logic_vector (127 downto 0);
-- dataout : out std_logic_vector (127 downto 0);
-- enable : in std_logic_vector (15 downto 0);
-- write : in std_logic_vector (15 downto 0)
-- );
-- end component;
--
-- component altera_syncram128bw
-- generic ( abits : integer := 9);
-- port (
-- clk : in std_ulogic;
-- address : in std_logic_vector (abits -1 downto 0);
-- datain : in std_logic_vector (127 downto 0);
-- dataout : out std_logic_vector (127 downto 0);
-- enable : in std_logic_vector (15 downto 0);
-- write : in std_logic_vector (15 downto 0)
-- );
-- end component;
--
component cust1_syncram156bw
generic ( abits : integer := 14; testen : integer := 0);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (155 downto 0);
dataout : out std_logic_vector (155 downto 0);
enable : in std_logic_vector (15 downto 0);
write : in std_logic_vector (15 downto 0);
testin : in std_logic_vector (3 downto 0) := "0000"
);
end component;
component ut90nhbd_syncram156bw
generic (abits : integer := 14);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (155 downto 0);
dataout : out std_logic_vector (155 downto 0);
enable : in std_logic_vector (15 downto 0);
write : in std_logic_vector (15 downto 0);
tdbn : in std_ulogic);
end component;
signal xenable, xwrite : std_logic_vector(15 downto 0);
signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0);
begin
xenable <= enable when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0');
xwrite <= write when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0');
custominx(custominx'high downto custombits) <= (others => '0');
custominx(custombits-1 downto 0) <= customin(custombits-1 downto 0);
nocust: if syncram_has_customif(tech)=0 or has_sram156bw(tech)=0 generate
customoutx <= (others => '0');
end generate;
s156 : if has_sram156bw(tech) = 1 generate
-- xc2v : if (is_unisim(tech) = 1) generate
-- x0 : unisim_syncram128bw generic map (abits)
-- port map (clk, address, datain, dataout, enable, write);
-- end generate;
-- alt : if (tech = stratix2) or (tech = stratix3) or
-- (tech = cyclone3) or (tech = altera) generate
-- x0 : altera_syncram128bw generic map (abits)
-- port map (clk, address, datain, dataout, enable, write);
-- end generate;
cust1u : if tech = custom1 generate
x0 : cust1_syncram156bw generic map (abits, testen)
port map (clk, address, datain, dataout, xenable, xwrite, testin);
end generate;
ut90u : if tech = ut90 generate
x0 : ut90nhbd_syncram156bw generic map (abits)
port map (clk, address, datain, dataout, xenable, xwrite, testin(TESTIN_WIDTH-3));
end generate;
customout(20*custombits-1 downto custombits) <= (others => '0');
customout(custombits-1 downto 0) <= customoutx(custombits-1 downto 0);
-- pragma translate_off
dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate
x : process
begin
assert false report "syncram156bw: " & tost(2**abits) & "x156" &
" (" & tech_table(tech) & ")"
severity note;
wait;
end process;
end generate;
-- pragma translate_on
end generate;
nos156 : if has_sram156bw(tech) = 0 generate
rx : for i in 0 to 15 generate
x0 : syncram generic map (tech, abits, 8, testen, custombits)
port map (clk, address, datain(i*8+7 downto i*8),
dataout(i*8+7 downto i*8), enable(i), write(i), testin,
customclk, customin((i+1)*custombits-1 downto i*custombits),
customout((i+1)*custombits-1 downto i*custombits));
c0 : if i mod 4 = 0 generate
x0 : syncram generic map (tech, abits, 7, testen, custombits)
port map (clk, address, datain(i/4*7+128+6 downto i/4*7+128),
dataout(i/4*7+128+6 downto i/4*7+128), enable(i), write(i), testin,
customclk, customin((i/4+17)*custombits-1 downto (i/4+16)*custombits),
customout((i/4+17)*custombits-1 downto (i/4+16)*custombits));
end generate;
end generate;
end generate;
end;
|
--==============================================================================
-- File: memory_tb.vhd
-- Author: Pietro Lorefice
-- Version: 1.0
--==============================================================================
-- Description:
-- Testbench for the data memory module.
--
--==============================================================================
library ieee;
use ieee.std_logic_1164.all;
entity memory_tb is
end entity memory_tb;
architecture tb_arch of memory_tb is
constant T : time := 20 ns;
constant N : integer := 20;
constant B : integer := 8;
signal clk, rst, we_l, sel_l : std_logic;
signal r_addr, w_addr : std_logic_vector(N-1 downto 0);
signal d_in, d_out : std_logic_vector(B-1 downto 0);
begin
uut : entity work.d_mem(RTL)
generic map(N => N,
B => B)
port map(clk => clk,
rst => rst,
we_l => we_l,
sel_l => sel_l,
r_addr => r_addr,
w_addr => w_addr,
w_data => d_in,
r_data => d_out);
clk_gen : process
begin
clk <= '0';
wait for T/2;
clk <= '1';
wait for T/2;
end process clk_gen;
rst <= '1', '0' after 3*T/4;
stim_gen : process is
begin
-- init signals
we_l <= '1';
sel_l <= '1';
r_addr <= (others => '0');
w_addr <= (others => '0');
d_in <= (others => '0');
-- wait
wait until falling_edge(clk);
assert d_out = X"00";
wait until falling_edge(clk);
-- write bunch of stuff
we_l <= '0';
sel_l <= '0';
w_addr <= X"012AE";
d_in <= X"04";
wait until falling_edge(clk);
assert d_out = X"00";
w_addr <= X"142B2";
d_in <= X"08";
wait until falling_edge(clk);
w_addr <= X"41523";
d_in <= X"0F";
wait until falling_edge(clk);
w_addr <= X"00000";
d_in <= X"10";
wait until falling_edge(clk);
w_addr <= X"11111";
d_in <= X"17";
wait until falling_edge(clk);
w_addr <= X"FFFFF";
d_in <= X"2A";
wait until falling_edge(clk);
-- wait a sec
we_l <= '1';
sel_l <= '1';
wait for 4*T;
wait until falling_edge(clk);
assert d_out = X"00";
-- read stuff
sel_l <= '0';
r_addr <= X"11111";
wait until falling_edge(clk);
assert d_out = X"17";
r_addr <= X"12351";
wait until falling_edge(clk);
assert d_out = X"00";
r_addr <= X"00000";
wait until falling_edge(clk);
assert d_out = X"10";
r_addr <= X"FFFFF";
wait until falling_edge(clk);
assert d_out = X"2A";
r_addr <= X"69696";
wait until falling_edge(clk);
assert d_out = X"00";
-- change one element and verify
we_l <= '0';
w_addr <= X"69696";
r_addr <= X"69696";
d_in <= X"99";
wait until falling_edge(clk);
we_l <= '1';
wait until falling_edge(clk);
assert d_out = X"99";
wait until falling_edge(clk);
assert false report "Simulation over" severity failure;
end process stim_gen;
end architecture tb_arch;
|
library accum;
use accum.OneHotAccum.all;
library ieee;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
-- Add your library and packages declaration here ...
entity dpath_tb is
end dpath_tb;
architecture TB_ARCHITECTURE of dpath_tb is
-- Component declaration of the tested unit
component dpath
port(
EN : in STD_LOGIC;
OT : in operation;
OP1 : in operand;
RES : out operand;
ZF : out STD_LOGIC );
end component;
-- Stimulus signals - signals mapped to the input and inout ports of tested entity
signal EN : STD_LOGIC;
signal OT : operation;
signal OP1 : operand;
-- Observed signals - signals mapped to the output ports of tested entity
signal RES : operand;
signal ZF : STD_LOGIC;
-- Add your code here ...
constant WAIT_period: time := 10 ns;
begin
-- Unit Under Test port map
UUT : dpath
port map (
EN => EN,
OT => OT,
OP1 => OP1,
RES => RES,
ZF => ZF
);
-- Add your stimulus here ...
MAIN: process
begin
wait for WAIT_period;
en <= '1';
wait for WAIT_period;
en <= '0';
wait for WAIT_period;
op1 <= "0000000000000010";
en <= '1';
ot <= LOAD;
wait for WAIT_period;
en <= '0';
op1 <= "0000000000100000";
wait for WAIT_period;
ot <= ADD;
en <= '1';
wait for WAIT_period;
en <= '0';
wait for WAIT_period;
ot <= SUBT;
en <= '1';
wait for WAIT_period;
en <= '0';
wait for WAIT_period;
wait;
end process;
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_dpath of dpath_tb is
for TB_ARCHITECTURE
for UUT : dpath
use entity work.dpath(beh);
end for;
end for;
end TESTBENCH_FOR_dpath;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity FFlr is
port (
clk : in std_logic;
f : in std_logic_vector(31 downto 0);
g : out std_logic_vector(31 downto 0) := (others => '0'));
end FFlr;
architecture dataflow of FFlr is
signal len_raw : unsigned(8 downto 0);
signal len : unsigned(4 downto 0);
signal x_mask, incr : unsigned(30 downto 0);
signal f_masked, f_incr : unsigned(30 downto 0);
signal f_out : unsigned(30 downto 0);
signal res : unsigned(31 downto 0);
signal g_pipe : std_logic_vector(31 downto 0) := (others => '0');
begin
len_raw <= unsigned('0' & f(30 downto 23)) - "001111111";
len <= "00000" when len_raw(8) = '1' else
"11111" when len_raw(7 downto 5) /= "000" else
len_raw(4 downto 0);
x_mask <= shift_right("000" & x"07FFFFF", to_integer(len));
incr <= (x_mask(29 downto 0) & '1') xor x_mask;
f_masked <= unsigned(f(30 downto 0)) and not x_mask;
f_incr <= f_masked + incr;
f_out <= f_masked when f(31) = '0' or unsigned(f(30 downto 0)) = f_masked else
f_incr;
res <= f(31) & "00000000" & f_out(22 downto 0) when (f(31) = '0' or f(30 downto 23) = "00000000") and len_raw(8) = '1' else
f(31) & "01111111" & f_out(22 downto 0) when f(31) = '1' and len_raw(8) = '1' else
f(31) & f_out;
pipe : process(clk)
begin
if rising_edge(clk) then
g_pipe <= std_logic_vector(res);
g <= g_pipe;
end if;
end process;
end dataflow;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: memory_saed32.vhd
-- Author: Fredrik Ringhage - Aeroflex Gaisler AB
-- Description: Memory generators for SAED32
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library saed32;
use saed32.SRAM1RW64x32;
use saed32.SRAM1RW128x48;
use saed32.SRAM1RW128x48;
-- pragma translate_on
entity saed32_syncram is
generic ( abits : integer := 10; dbits : integer := 8);
port (
clk : in std_ulogic;
address : in std_logic_vector(abits -1 downto 0);
datain : in std_logic_vector(dbits -1 downto 0);
dataout : out std_logic_vector(dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end;
architecture rtl of saed32_syncram is
component SRAM1RW64x32 is
port (
A : in std_logic_vector( 5 downto 0 );
CE : in std_logic;
WEB : in std_logic;
OEB : in std_logic;
CSB : in std_logic;
I : in std_logic_vector( 31 downto 0 );
O : out std_logic_vector( 31 downto 0 )
);
end component;
component SRAM1RW128x48 is
port (
A : in std_logic_vector( 6 downto 0 );
CE : in std_logic;
WEB : in std_logic;
OEB : in std_logic;
CSB : in std_logic;
I : in std_logic_vector( 47 downto 0 );
O : out std_logic_vector( 47 downto 0 )
);
end component;
component SRAM1RW1024x8 is
port (
A : in std_logic_vector( 9 downto 0 );
CE : in std_logic;
WEB : in std_logic;
OEB : in std_logic;
CSB : in std_logic;
I : in std_logic_vector( 7 downto 0 );
O : out std_logic_vector( 7 downto 0 )
);
end component;
signal d, q, gnd : std_logic_vector(48 downto 0);
signal a : std_logic_vector(17 downto 0);
signal vcc, csn, wen : std_ulogic;
--constant synopsys_bug : std_logic_vector(31 downto 0) := (others => '0');
begin
csn <= not enable; wen <= not write;
gnd <= (others => '0'); vcc <= '1';
a(17 downto abits) <= (others => '0');
d(48 downto dbits) <= (others => '0');
a(abits -1 downto 0) <= address;
d(dbits -1 downto 0) <= datain(dbits -1 downto 0);
a6 : if (abits <= 6) generate
id0 : SRAM1RW64x32 port map (A => a(5 downto 0), CE => clk, WEB => wen, OEB => gnd(0), CSB => csn, I => d(31 downto 0), O => q(31 downto 0));
end generate;
a7 : if (abits = 7) generate
id0 : SRAM1RW128x48 port map (A => a(6 downto 0), CE => clk, WEB => wen, OEB => gnd(0), CSB => csn, I => d(47 downto 0), O => q(47 downto 0));
end generate;
a10 : if (abits >= 8 and abits <= 10) generate
x : for i in 0 to ((dbits-1)/8) generate
id0 : SRAM1RW1024x8 port map (A => a(9 downto 0), CE => clk, WEB => wen, OEB => gnd(0), CSB => csn, I => d(((i+1)*8)-1 downto i*8), O => q(((i+1)*8)-1 downto i*8));
end generate;
end generate;
dataout <= q(dbits -1 downto 0);
-- pragma translate_off
a_to_high : if (abits > 10) or (dbits > 32) generate
x : process
begin
assert false
report "Unsupported memory size (saed32)"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
library ieee;
use ieee.std_logic_1164.all;
entity saed32_syncram_dp is
generic ( abits : integer := 6; dbits : integer := 8 );
port (
clk1 : in std_ulogic;
address1 : in std_logic_vector((abits -1) downto 0);
datain1 : in std_logic_vector((dbits -1) downto 0);
dataout1 : out std_logic_vector((dbits -1) downto 0);
enable1 : in std_ulogic;
write1 : in std_ulogic;
clk2 : in std_ulogic;
address2 : in std_logic_vector((abits -1) downto 0);
datain2 : in std_logic_vector((dbits -1) downto 0);
dataout2 : out std_logic_vector((dbits -1) downto 0);
enable2 : in std_ulogic;
write2 : in std_ulogic
);
end;
architecture rtl of saed32_syncram_dp is
begin
end;
library ieee;
use ieee.std_logic_1164.all;
entity saed32_syncram_2p is
generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0);
port (
rclk : in std_ulogic;
rena : in std_ulogic;
raddr : in std_logic_vector (abits -1 downto 0);
dout : out std_logic_vector (dbits -1 downto 0);
wclk : in std_ulogic;
waddr : in std_logic_vector (abits -1 downto 0);
din : in std_logic_vector (dbits -1 downto 0);
write : in std_ulogic);
end;
architecture rtl of saed32_syncram_2p is
begin
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bram is
generic (
T: integer := 20000;
B: integer := 15 --15 bits for 20,000 memory places
);
port (clk : in std_logic;
we : in std_logic;
addr1 : in std_logic_vector(B-1 downto 0);
addr2 : in std_logic_vector(B-1 downto 0);
di : in std_logic_vector(31 downto 0); --32 bit word
do1 : out std_logic_vector(31 downto 0);
do2 : out std_logic_vector(31 downto 0));
end bram;
architecture arch of bram is
type ram_type is array (0 to T-1) of std_logic_vector (31 downto 0);
signal RAM : ram_type:= (T-1 downto 0 => x"00000000"); --define and initialize RAM
begin
process (clk)
begin
if rising_edge(clk) then
if we = '1' then
RAM(conv_integer(addr1)) <= di;
end if;
do1 <= RAM(conv_integer(addr1));
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
do2 <= RAM(conv_integer(addr2));
end if;
end process;
end arch; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahbslv_em
-- File: ahbslv_em.vhd
-- Author: Alf Vaerneus, Gaisler Research
-- Description: AMBA AHB Slave emulator for simulation purposes only
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
use grlib.devices.all;
use gaisler.ambatest.all;
library std;
use std.textio.all;
entity ahbslv_em is
generic(
hindex : integer := 0;
abits : integer := 10;
waitcycles : integer := 2;
retries : integer := 0;
memaddr : integer := 16#E00#;
memmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
timeoutc : integer := 100;
dbglevel : integer := 1
);
port(
rst : in std_logic;
clk : in std_logic;
-- AMBA signals
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
-- TB signals
tbi : in tb_in_type;
tbo : out tb_out_type
);
end;
architecture tb of ahbslv_em is
constant VERSION : integer := 1;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg (VENDOR_GAISLER, GAISLER_AHBSLV_EM, 0, VERSION, 0),
4 => ahb_membar(memaddr, '0', '0', memmask),
others => zero32);
constant T_O : integer := timeoutc;
type mem_type is array(0 to ((2**abits)-1)) of std_logic_vector(31 downto 0);
type state_type is(idle,w,write,read,retry1,retry2);
type reg_type is record
state : state_type;
ad : std_logic_vector(abits-1 downto 0);
di : std_logic_vector(31 downto 0);
waitc : integer;
nretry : integer;
write : std_logic;
end record;
signal r,rin : reg_type;
signal do : std_logic_vector(31 downto 0);
begin
cont : process
file readfile,writefile : text;
variable first : boolean := true;
variable mem : mem_type;
variable L : line;
variable datahex : string(1 to 8);
variable count : integer;
begin
if first then
for i in 0 to ((2**abits)-1) loop
mem(i) := (others => '0');
end loop;
first := false;
elsif tbi.start = '1' then
if tbi.usewfile then
file_open(writefile, external_name => tbi.wfile(18 downto trimlen(tbi.wfile)), open_kind => write_mode);
count := conv_integer(tbi.address(abits-1 downto 0));
for i in 0 to tbi.no_words-1 loop
write(L,printhex(mem(count),32));
writeline(writefile,L);
count := count+4;
end loop;
file_close(writefile);
end if;
elsif r.ad(0) /= 'U' then
do <= mem(conv_integer(to_x01(r.ad)));
if r.write = '1' then mem(conv_integer(to_x01(r.ad))) := ahbsi.hwdata; end if;
end if;
tbo.ready <= tbi.start;
wait for 1 ns;
end process;
comb : process(ahbsi, rst, r)
variable v : reg_type;
variable vahbso : ahb_slv_out_type;
begin
v := r; v.write := '0';
v.di := ahbsi.hwdata;
vahbso.hready := '1'; vahbso.hresp := HRESP_OKAY;
vahbso.hrdata := do; vahbso.hsplit := (others => '0');
vahbso.hcache := '0'; vahbso.hirq := (others => '0');
vahbso.hconfig := hconfig;
if ahbsi.hready = '1' then v.ad := ahbsi.haddr(abits-1 downto 0); end if;
case r.state is
when idle =>
if (ahbsi.hsel(hindex) and ahbsi.hready and ahbsi.htrans(1)) = '1' then
if r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif r.nretry > 0 then v.state := retry1;
elsif ahbsi.hwrite = '1' then v.state := write; v.write := '1';
else v.state := read; end if;
end if;
when w =>
vahbso.hready := '0';
if r.waitc = 0 then
v.waitc := waitcycles;
if r.nretry > 0 then v.state := retry1;
elsif ahbsi.hwrite = '1' then v.state := write; v.write := '1';
else v.state := read; end if;
else v.waitc := r.waitc-1; end if;
when write =>
v.nretry := retries;
if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '0' then v.state := idle;
elsif r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif ahbsi.hwrite = '0' then v.state := read;
else v.write := '1'; end if;
when read =>
v.nretry := retries;
if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '0' then v.state := idle;
elsif r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif ahbsi.hwrite = '1' then v.state := write; end if;
when retry1 =>
vahbso.hready := '0'; v.nretry := r.nretry-1;
vahbso.hresp := HRESP_RETRY;
v.state := retry2;
when retry2 =>
vahbso.hresp := HRESP_RETRY;
if (ahbsi.hsel(hindex) and ahbsi.hready and ahbsi.htrans(1)) = '1' then
if r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif r.nretry > 0 then v.state := retry1;
elsif ahbsi.hwrite = '1' then v.state := write; v.write := '1';
else v.state := read; end if;
end if;
when others =>
end case;
vahbso.hindex := hindex;
if rst = '0' then
v.state := idle;
v.waitc := waitcycles;
v.nretry := retries;
v.ad := (others => '0');
v.di := (others => '0');
end if;
rin <= v;
ahbso <= vahbso;
end process;
clockreg : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process;
bootmsg : report_version
generic map ("pcislv_em" & tost(hindex) &
": PCI Slave Emulator rev " & tost(VERSION) &
" for simulation purpose only." &
" NOT syntheziseable.");
end;
-- pragma translate_on
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahbslv_em
-- File: ahbslv_em.vhd
-- Author: Alf Vaerneus, Gaisler Research
-- Description: AMBA AHB Slave emulator for simulation purposes only
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
use grlib.devices.all;
use gaisler.ambatest.all;
library std;
use std.textio.all;
entity ahbslv_em is
generic(
hindex : integer := 0;
abits : integer := 10;
waitcycles : integer := 2;
retries : integer := 0;
memaddr : integer := 16#E00#;
memmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
timeoutc : integer := 100;
dbglevel : integer := 1
);
port(
rst : in std_logic;
clk : in std_logic;
-- AMBA signals
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
-- TB signals
tbi : in tb_in_type;
tbo : out tb_out_type
);
end;
architecture tb of ahbslv_em is
constant VERSION : integer := 1;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg (VENDOR_GAISLER, GAISLER_AHBSLV_EM, 0, VERSION, 0),
4 => ahb_membar(memaddr, '0', '0', memmask),
others => zero32);
constant T_O : integer := timeoutc;
type mem_type is array(0 to ((2**abits)-1)) of std_logic_vector(31 downto 0);
type state_type is(idle,w,write,read,retry1,retry2);
type reg_type is record
state : state_type;
ad : std_logic_vector(abits-1 downto 0);
di : std_logic_vector(31 downto 0);
waitc : integer;
nretry : integer;
write : std_logic;
end record;
signal r,rin : reg_type;
signal do : std_logic_vector(31 downto 0);
begin
cont : process
file readfile,writefile : text;
variable first : boolean := true;
variable mem : mem_type;
variable L : line;
variable datahex : string(1 to 8);
variable count : integer;
begin
if first then
for i in 0 to ((2**abits)-1) loop
mem(i) := (others => '0');
end loop;
first := false;
elsif tbi.start = '1' then
if tbi.usewfile then
file_open(writefile, external_name => tbi.wfile(18 downto trimlen(tbi.wfile)), open_kind => write_mode);
count := conv_integer(tbi.address(abits-1 downto 0));
for i in 0 to tbi.no_words-1 loop
write(L,printhex(mem(count),32));
writeline(writefile,L);
count := count+4;
end loop;
file_close(writefile);
end if;
elsif r.ad(0) /= 'U' then
do <= mem(conv_integer(to_x01(r.ad)));
if r.write = '1' then mem(conv_integer(to_x01(r.ad))) := ahbsi.hwdata; end if;
end if;
tbo.ready <= tbi.start;
wait for 1 ns;
end process;
comb : process(ahbsi, rst, r)
variable v : reg_type;
variable vahbso : ahb_slv_out_type;
begin
v := r; v.write := '0';
v.di := ahbsi.hwdata;
vahbso.hready := '1'; vahbso.hresp := HRESP_OKAY;
vahbso.hrdata := do; vahbso.hsplit := (others => '0');
vahbso.hcache := '0'; vahbso.hirq := (others => '0');
vahbso.hconfig := hconfig;
if ahbsi.hready = '1' then v.ad := ahbsi.haddr(abits-1 downto 0); end if;
case r.state is
when idle =>
if (ahbsi.hsel(hindex) and ahbsi.hready and ahbsi.htrans(1)) = '1' then
if r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif r.nretry > 0 then v.state := retry1;
elsif ahbsi.hwrite = '1' then v.state := write; v.write := '1';
else v.state := read; end if;
end if;
when w =>
vahbso.hready := '0';
if r.waitc = 0 then
v.waitc := waitcycles;
if r.nretry > 0 then v.state := retry1;
elsif ahbsi.hwrite = '1' then v.state := write; v.write := '1';
else v.state := read; end if;
else v.waitc := r.waitc-1; end if;
when write =>
v.nretry := retries;
if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '0' then v.state := idle;
elsif r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif ahbsi.hwrite = '0' then v.state := read;
else v.write := '1'; end if;
when read =>
v.nretry := retries;
if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '0' then v.state := idle;
elsif r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif ahbsi.hwrite = '1' then v.state := write; end if;
when retry1 =>
vahbso.hready := '0'; v.nretry := r.nretry-1;
vahbso.hresp := HRESP_RETRY;
v.state := retry2;
when retry2 =>
vahbso.hresp := HRESP_RETRY;
if (ahbsi.hsel(hindex) and ahbsi.hready and ahbsi.htrans(1)) = '1' then
if r.waitc > 0 then v.state := w; v.waitc := r.waitc-1;
elsif r.nretry > 0 then v.state := retry1;
elsif ahbsi.hwrite = '1' then v.state := write; v.write := '1';
else v.state := read; end if;
end if;
when others =>
end case;
vahbso.hindex := hindex;
if rst = '0' then
v.state := idle;
v.waitc := waitcycles;
v.nretry := retries;
v.ad := (others => '0');
v.di := (others => '0');
end if;
rin <= v;
ahbso <= vahbso;
end process;
clockreg : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process;
bootmsg : report_version
generic map ("pcislv_em" & tost(hindex) &
": PCI Slave Emulator rev " & tost(VERSION) &
" for simulation purpose only." &
" NOT syntheziseable.");
end;
-- pragma translate_on
|
---------------------------------------------------------------------------------------------------
-- divider_f2m.vhd ---
----------------------------------------------------------------------------------------------------
-- Author : Miguel Morales-Sandoval ---
-- Project : "Hardware Arquitecture for ECC and Lossless Data Compression ---
-- Organization : INAOE, Computer Science Department ---
-- Date : July, 2004. ---
----------------------------------------------------------------------------------------------------
-- Inverter for F_2^m
----------------------------------------------------------------------------------------------------
-- Coments: This is an implementation of the division algorithm. Dirent to the other implemented inverter
-- in this, the division is performed directly.
----------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_unsigned.all;
use IEEE.STD_LOGIC_arith.all;
----------------------------------------------------------------------------------------------------
entity f2m_divider_409 is
generic(
NUM_BITS : positive := 409
);
port(
x : in STD_LOGIC_VECTOR(NUM_BITS-1 downto 0);
y : in STD_LOGIC_VECTOR(NUM_BITS-1 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC;
done : out STD_LOGIC;
Ux : out STD_LOGIC_VECTOR(NUM_BITS-1 downto 0) -- U = x/y mod Fx,
);
end;
----------------------------------------------------------------------------------------------------
architecture behave of f2m_divider_409 is
----------------------------------------------------------------------------------------------------
-- Signal for up-date regsiters A and B
signal A,B : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
signal U, V : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
----------------------------------------------------------------------------------------------------
-- m = 163, the irreductible polynomial
--constant F : std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001";
-- m = 233 x233 + x74 + 1
--constant F: std_logic_vector(NUM_BITS downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001";
-- m = 277 x277 + x74 + 1
--constant F: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001001"; --277 bits
-- m = 283 x283 + x12 + x7 + x5 + 1
--constant F: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010100001";
-- m = 409 x409 + x87 + 1
constant F: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
-- m = 571 x571 + x10 + x5 + x2 + 1
--constant F: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100101";
----------------------------------------------------------------------------------------------------
-- control signals
signal a_greater_b, a_eq_b, A_par, B_par, U_par, V_par, u_mas_v_par: std_logic;
signal A_div_t, B_div_t, U_div_t, V_div_t : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
signal u_mas_M, v_mas_M, u_mas_v, u_mas_v_mas_M, a_mas_b : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
signal u_mas_M_div_t, v_mas_M_div_t, u_mas_v_div_t, u_mas_v_mas_M_div_t, a_mas_b_div_t: STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
----------------------------------------------------------------------------------------------------------------------------------------------------------
type CurrentState_type is (END_STATE, INIT, CYCLE);
signal currentState: CurrentState_type;
----------------------------------------------------------------------------------------------------
begin
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
-- Control signals
A_par <= '1' when A(0) = '0' else
'0';
B_par <= '1' when B(0) = '0' else
'0';
U_par <= '1' when U(0) = '0' else
'0';
V_par <= '1' when V(0) = '0' else
'0';
a_greater_b <= '1' when A > B else
'0';
a_eq_b <= '1' when A = B else
'0';
----------------------------------------------------------------------------------------------------
-- Mux definitions
----------------------------------------------------------------------------------------------------
u_mas_M <= U xor F;
v_mas_M <= V xor F;
u_mas_v <= U xor V;
u_mas_v_mas_M <= u_mas_v xor F;
a_mas_b <= A xor B;
-- Muxes for A and B
a_div_t <= '0'& A(NUM_BITS downto 1);
b_div_t <= '0'& B(NUM_BITS downto 1);
u_div_t <= '0'& U(NUM_BITS downto 1);
v_div_t <= '0'& V(NUM_BITS downto 1);
u_mas_M_div_t <= '0' & u_mas_M(NUM_BITS downto 1);
v_mas_M_div_t <= '0' & v_mas_M(NUM_BITS downto 1);
u_mas_v_div_t <= '0' & u_mas_v(NUM_BITS downto 1);
u_mas_v_mas_M_div_t <= '0' & u_mas_v_mas_M(NUM_BITS downto 1);
a_mas_b_div_t <= '0' & a_mas_b(NUM_BITS downto 1);
----------------------------------------------------------------------------------------------------
-- Finite state machine
----------------------------------------------------------------------------------------------------
EEAL: process (clk)
begin -- syncronous reset
if CLK'event and CLK = '1' then
if (rst = '1')then
A <= '0' & y;
B <= F;
U <= '0' & x;
v <= (others => '0');
Ux <= (others => '0');
done <= '0';
currentState <= CYCLE;
else
case currentState is
-----------------------------------------------------------------------------------
when CYCLE =>
if A_eq_B = '1' then
currentState <= END_STATE;
Done <= '1';
Ux <= U(NUM_BITS-1 downto 0);
elsif A_par = '1' then
A <= A_div_t;
if U_par = '1' then
U <= U_div_t;
else
U <= u_mas_M_div_t;
end if;
elsif B_par = '1' then
B <= B_div_t;
if V_par = '1' then
V <= V_div_t;
else
V <= V_mas_M_div_t;
end if;
elsif a_greater_b = '1' then
A <= a_mas_b_div_t;
if u_mas_v(0) = '0' then
U <= u_mas_v_div_t;
else
U <= u_mas_v_mas_M_div_t;
end if;
else
B <= a_mas_b_div_t;
if u_mas_v(0) = '0' then
V <= u_mas_v_div_t;
else
V <= u_mas_v_mas_M_div_t;
end if;
end if;
-----------------------------------------------------------------------------------
when END_STATE => -- Do nothing
currentState <= END_STATE;
done <= '0'; -- para generar el pulso, quitarlo entity caso contrario
-----------------------------------------------------------------------------------
when others =>
null;
end case;
end if;
end if;
end process;
end behave; |
--
-- Run the spwlink test bench in a several configurations.
--
use work.spwpkg.all;
entity spwlink_tb_all is
end entity;
architecture tb_arch of spwlink_tb_all is
component spwlink_tb is
generic (
sys_clock_freq: real := 20.0e6 ;
rx_clock_freq: real := 20.0e6 ;
tx_clock_freq: real := 20.0e6 ;
input_rate: real := 10.0e6 ;
tx_clock_div: integer := 1 ;
rximpl: spw_implementation_type := impl_generic ;
rxchunk: integer := 1 ;
tximpl: spw_implementation_type := impl_generic ;
startwait: time := 0 sec );
end component;
begin
-- Test 1: default configuration
test1: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 10.0e6,
tx_clock_div => 1,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_generic,
startwait => 0 ms );
-- Test 2: 18 Mbit input
test2: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 18.0e6,
tx_clock_div => 1,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_generic,
startwait => 1 ms );
-- Test 3: 2 Mbit input
test3: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 2.0e6,
tx_clock_div => 1,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_generic,
startwait => 2 ms );
-- Test 4: 20 Mbit output
test4: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 10.0e6,
tx_clock_div => 0,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_generic,
startwait => 3 ms );
-- Test 5: fast receiver, 10 Mbit in
test5: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 10.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 1,
tximpl => impl_generic,
startwait => 4 ms );
-- Test 6: fast receiver, 18 Mbit in
test6: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 18.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 1,
tximpl => impl_generic,
startwait => 5 ms );
-- Test 7: fast receiver, 35 Mbit in
test7: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 35.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 2,
tximpl => impl_generic,
startwait => 6 ms );
-- Test 8: fast receiver, 55 Mbit in
test8: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 30.0e6,
tx_clock_freq => 20.0e6,
input_rate => 55.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 3,
tximpl => impl_generic,
startwait => 7 ms );
-- Test 9: fast receiver, 75 Mbit in
test9: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 40.0e6,
tx_clock_freq => 20.0e6,
input_rate => 75.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 4,
tximpl => impl_generic,
startwait => 8 ms );
-- Test 10: fast receiver, 75 Mbit in, 100 MHz sample clock
test10: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 100.0e6,
tx_clock_freq => 20.0e6,
input_rate => 75.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 4,
tximpl => impl_generic,
startwait => 9 ms );
-- Test 11: fast receiver, 2 Mbit in, 100 MHz sample clock
test11: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 100.0e6,
tx_clock_freq => 20.0e6,
input_rate => 2.0e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 4,
tximpl => impl_generic,
startwait => 10 ms );
-- Test 12: fast receiver, 67.13 Mbit in, 43 MHz sample clock
test12: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 43.0e6,
tx_clock_freq => 20.0e6,
input_rate => 67.13e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 4,
tximpl => impl_generic,
startwait => 11 ms );
-- Test 13: fast transmitter, 39/2 Mbit out
test13: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 39.0e6,
input_rate => 10.0e6,
tx_clock_div => 1,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 12 ms );
-- Test 14: fast transmitter, 39 Mbit out
test14: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 39.0e6,
input_rate => 10.0e6,
tx_clock_div => 0,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 13 ms );
-- Test 15: fast transmitter, 80 Mbit out
test15: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 80.0e6,
input_rate => 10.0e6,
tx_clock_div => 0,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 14 ms );
-- Test 16: fast transmitter, 20/3 Mbit out
test16: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 20.0e6,
input_rate => 10.0e6,
tx_clock_div => 2,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 15 ms );
-- Test 17: fast transmitter, 80/4 Mbit out
test17: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 80.0e6,
input_rate => 10.0e6,
tx_clock_div => 3,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 16 ms );
-- Test 18: fast transmitter, 80/5 Mbit out
test18: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 80.0e6,
input_rate => 10.0e6,
tx_clock_div => 4,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 17 ms );
-- Test 19: fast transmitter, 80/40 Mbit out
test19: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 80.0e6,
input_rate => 10.0e6,
tx_clock_div => 39,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 18 ms );
-- Test 20: fast transmitter, 200/97 Mbit out
test20: spwlink_tb
generic map (
sys_clock_freq => 50.0e6,
rx_clock_freq => 50.0e6,
tx_clock_freq => 200.0e6,
input_rate => 10.0e6,
tx_clock_div => 96,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 19 ms );
-- Test 21: fast transmitter, 78.5/2 Mbit out
test21: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 20.0e6,
tx_clock_freq => 78.5e6,
input_rate => 10.0e6,
tx_clock_div => 1,
rximpl => impl_generic,
rxchunk => 1,
tximpl => impl_fast,
startwait => 20 ms );
-- Test 22: fast receiver and fast transmitter, 78.5 Mbit out
test22: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 43.0e6,
tx_clock_freq => 78.5e6,
input_rate => 67.13e6,
tx_clock_div => 0,
rximpl => impl_fast,
rxchunk => 4,
tximpl => impl_fast,
startwait => 21 ms );
-- Test 23: fast receiver and fast transmitter, 77.5/2 Mbit out
test23: spwlink_tb
generic map (
sys_clock_freq => 20.0e6,
rx_clock_freq => 43.0e6,
tx_clock_freq => 77.5e6,
input_rate => 67.13e6,
tx_clock_div => 1,
rximpl => impl_fast,
rxchunk => 4,
tximpl => impl_fast,
startwait => 22 ms );
end tb_arch;
|
-- ***************************************************************************
-- ***************************************************************************
-- ***************************************************************************
-- ***************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library axi_lite_ipif_v1_01_a;
use axi_lite_ipif_v1_01_a.axi_lite_ipif;
entity axi_adc_8c is
generic
(
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer := 8;
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_FAMILY : string := "virtex6";
C_NUM_REG : integer := 1;
C_NUM_MEM : integer := 1;
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32
);
port
(
adc_clk_in_p : in std_logic;
adc_clk_in_n : in std_logic;
adc_data_in_p : in std_logic_vector(7 downto 0);
adc_data_in_n : in std_logic_vector(7 downto 0);
adc_frame_p : in std_logic;
adc_frame_n : in std_logic;
delay_clk : in std_logic;
dma_dbg_data : out std_logic_vector(63 downto 0);
dma_dbg_trigger : out std_logic_vector(7 downto 0);
adc_dbg_data : out std_logic_vector(63 downto 0);
adc_dbg_trigger : out std_logic_vector(7 downto 0);
adc_clk : out std_logic;
adc_mon_valid : out std_logic;
adc_mon_data : out std_logic_vector(143 downto 0);
S_AXIS_S2MM_CLK : in std_logic;
S_AXIS_S2MM_TVALID : out std_logic;
S_AXIS_S2MM_TDATA : out std_logic_vector(63 downto 0);
S_AXIS_S2MM_TKEEP : out std_logic_vector(7 downto 0);
S_AXIS_S2MM_TLAST : out std_logic;
S_AXIS_S2MM_TREADY : in std_logic;
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000";
attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
attribute SIGIS of S_AXI_ACLK : signal is "Clk";
attribute SIGIS of S_AXI_ARESETN : signal is "Rst";
end entity axi_adc_8c;
architecture IMP of axi_adc_8c is
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(ZERO_ADDR_PAD & USER_SLV_BASEADDR, ZERO_ADDR_PAD & USER_SLV_HIGHADDR);
constant USER_SLV_NUM_REG : integer := 32;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant TOTAL_IPIF_CE : integer := USER_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := (0 => (USER_SLV_NUM_REG));
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0);
signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
component user_logic is
generic
(
C_NUM_REG : integer := 32;
C_SLV_DWIDTH : integer := 32
);
port
(
adc_clk_in_p : in std_logic;
adc_clk_in_n : in std_logic;
adc_data_in_p : in std_logic_vector(7 downto 0);
adc_data_in_n : in std_logic_vector(7 downto 0);
adc_frame_p : in std_logic;
adc_frame_n : in std_logic;
dma_clk : in std_logic;
dma_valid : out std_logic;
dma_data : out std_logic_vector(63 downto 0);
dma_be : out std_logic_vector(7 downto 0);
dma_last : out std_logic;
dma_ready : in std_logic;
delay_clk : in std_logic;
dma_dbg_data : out std_logic_vector(63 downto 0);
dma_dbg_trigger : out std_logic_vector(7 downto 0);
adc_dbg_data : out std_logic_vector(63 downto 0);
adc_dbg_trigger : out std_logic_vector(7 downto 0);
adc_clk : out std_logic;
adc_mon_valid : out std_logic;
adc_mon_data : out std_logic_vector(143 downto 0);
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
);
end component user_logic;
begin
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif
generic map
(
C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
Bus2IP_Data => ipif_Bus2IP_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
IP2Bus_Data => ipif_IP2Bus_Data
);
USER_LOGIC_I : component user_logic
generic map
(
C_NUM_REG => USER_NUM_REG,
C_SLV_DWIDTH => USER_SLV_DWIDTH
)
port map
(
adc_clk_in_p => adc_clk_in_p,
adc_clk_in_n => adc_clk_in_n,
adc_data_in_p => adc_data_in_p,
adc_data_in_n => adc_data_in_n,
adc_frame_p => adc_frame_p,
adc_frame_n => adc_frame_n,
dma_clk => S_AXIS_S2MM_CLK,
dma_valid => S_AXIS_S2MM_TVALID,
dma_data => S_AXIS_S2MM_TDATA,
dma_be => S_AXIS_S2MM_TKEEP,
dma_last => S_AXIS_S2MM_TLAST,
dma_ready => S_AXIS_S2MM_TREADY,
delay_clk => delay_clk,
dma_dbg_data => dma_dbg_data,
dma_dbg_trigger => dma_dbg_trigger,
adc_dbg_data => adc_dbg_data,
adc_dbg_trigger => adc_dbg_trigger,
adc_clk => adc_clk,
adc_mon_valid => adc_mon_valid,
adc_mon_data => adc_mon_data,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0);
end IMP;
-- ***************************************************************************
-- ***************************************************************************
|
library IEEE;
use IEEE.std_logic_1164.all;
--
-- Generic n-bit mux with two input vectors and one output vector
--
entity MUX is
generic (
N: integer := 1 -- Number of bits
);
port (
A: in std_logic_vector(N-1 downto 0);
B: in std_logic_vector(N-1 downto 0);
SEL: in std_logic;
Y: out std_logic_vector(N-1 downto 0)
);
end MUX;
-- Architectures
architecture BEHAVIORAL of MUX is
begin
Y <= A when SEL = '0' else B;
end BEHAVIORAL;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for __COMMON__
--
-- Generated
-- by: wig
-- on: Tue Nov 29 12:59:05 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: bitsplice-c.vhd,v 1.3 2005/11/30 14:04:16 wig Exp $
-- $Date: 2005/11/30 14:04:16 $
-- $Log: bitsplice-c.vhd,v $
-- Revision 1.3 2005/11/30 14:04:16 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_a_e_rtl_conf / inst_a_e
--
configuration inst_a_e_rtl_conf of inst_a_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_aa : ent_aa
// __I_NO_CONFIG_VERILOG // use configuration work.ent_aa_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ab : ent_ab
// __I_NO_CONFIG_VERILOG // use configuration work.ent_ab_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ac : ent_ac
// __I_NO_CONFIG_VERILOG // use configuration work.ent_ac_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ad : ent_ad
// __I_NO_CONFIG_VERILOG // use configuration work.ent_ad_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ae : ent_ae
// __I_NO_CONFIG_VERILOG // use configuration work.ent_ae_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_a_e_rtl_conf;
--
-- End of Generated Configuration inst_a_e_rtl_conf
--
--
-- Start of Generated Configuration inst_b_e_rtl_conf / inst_b_e
--
configuration inst_b_e_rtl_conf of inst_b_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_ba : ent_ba
// __I_NO_CONFIG_VERILOG // use configuration work.ent_ba_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_bb : ent_bb
// __I_NO_CONFIG_VERILOG // use configuration work.ent_bb_RTL_CONF;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_b_e_rtl_conf;
--
-- End of Generated Configuration inst_b_e_rtl_conf
--
--
-- Start of Generated Configuration inst_e_e_rtl_conf / inst_e_e
--
configuration inst_e_e_rtl_conf of inst_e_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_ea : inst_ea_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ea_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_eb : inst_eb_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eb_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ec : inst_ec_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ec_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ed : inst_ed_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ed_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ee : inst_ee_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ee_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ef : inst_ef_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ef_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_eg : inst_eg_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eg_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_e_e_rtl_conf;
--
-- End of Generated Configuration inst_e_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ea_e_rtl_conf / inst_ea_e
--
configuration inst_ea_e_rtl_conf of inst_ea_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_eaa : inst_eaa_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eaa_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_eab : inst_eab_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eab_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_eac : inst_eac_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eac_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ead : inst_ead_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ead_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_ea_e_rtl_conf;
--
-- End of Generated Configuration inst_ea_e_rtl_conf
--
--
-- Start of Generated Configuration inst_eb_e_rtl_conf / inst_eb_e
--
configuration inst_eb_e_rtl_conf of inst_eb_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_eba : inst_eba_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eba_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ebb : inst_ebb_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ebb_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ebc : inst_ebc_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ebc_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_eb_e_rtl_conf;
--
-- End of Generated Configuration inst_eb_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ec_e_rtl_conf / inst_ec_e
--
configuration inst_ec_e_rtl_conf of inst_ec_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_eca : inst_eca_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eca_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ecb : inst_ecb_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ecb_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_ecc : inst_ecc_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_ecc_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_ec_e_rtl_conf;
--
-- End of Generated Configuration inst_ec_e_rtl_conf
--
--
-- Start of Generated Configuration inst_ed_e_rtl_conf / inst_ed_e
--
configuration inst_ed_e_rtl_conf of inst_ed_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_eda : inst_eda_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_eda_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_edb : inst_edb_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_edb_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_ed_e_rtl_conf;
--
-- End of Generated Configuration inst_ed_e_rtl_conf
--
--
-- Start of Generated Configuration inst_t_e_rtl_conf / inst_t_e
--
configuration inst_t_e_rtl_conf of inst_t_e is
for rtl
-- Generated Configuration
// __I_NO_CONFIG_VERILOG //for inst_a : inst_a_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_a_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_b : inst_b_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_b_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_c : inst_c_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_c_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_d : inst_d_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_d_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
// __I_NO_CONFIG_VERILOG //for inst_e : inst_e_e
// __I_NO_CONFIG_VERILOG // use configuration work.inst_e_e_rtl_conf;
// __I_NO_CONFIG_VERILOG //end for;
end for;
end inst_t_e_rtl_conf;
--
-- End of Generated Configuration inst_t_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block
DSfjPpF5tnvNuHEZPfm2p4HobT19OmEveszv3aGbuNbSOpD5PeP6wGP9ZTW8R7xDlg9nZ4Grl9KZ
ePI/F9FgPFRYx7LdJJ63k+/RBF74AsZAEAcPWQAPd5yaM1R9IIKIYXmN0SiAtyw6h94pwgzo93VB
21hMxtxuC6+EZAC2kWoYb1KMslNa15bDx/LPtjzepwFr0rsfr07fYQexSm77/qzh4fvgdN6imzJA
Qcj9UdNpVEyR0REVCW6nGP5CLP0jRPhOVpzSAd6UJObEHCV/8Wr0F5bkx6rtqokHikMu57sMAyZ+
LRuMStwqngxnjVEYE/qFy1Mp+xr9PPf9lRnOK5HOCB8mGHHqEwxQgJ97MTBRL4iwZSRHd3x4TGvu
6VioCe5NQdMkZPvcVONV2MAvUlOTuMynwJ1AzKGIPneJQEyYSw/T7HNDXj1K9rDkTqsUCk5iyXOg
MGM+m56ZC5qYt4jgitmItA54GwArAO0OUNqPbLATDH0QnqYj31CqjXB2iVT9ueJ4Ez8djk/qiw6x
jq7d87O6L2pzc48SnWb+oVVt4vuEsiF3Zt8zRmXhooQrTOMreMUPyw7fvxIngYwdM/ZZ/nWvwamO
7D7rHeZ8j9JVwb5fbnoARbRUBDhrirj04IyVrywsL8bPJxpa2MtO4R5PLtWxsLc8kujjlYoXzkxU
9+wg+4bCnZPetxE/DSpIvd0kED0NkPFeGdWAMSM+5nrh1Kvn7EeBzXrIZ2fs2anpWjS1kzWi7H0j
9Db8TFfsgRSLf0RdqHkjB7VHaXU49z5kFUPiU0n+iAlL67kcuX+nuSnRpGKn2o+8C+wqks1GBbe3
FIkP9P0ygm8CEs2O8Afq+KfrwikQsiswP/gWOkw2xFn3q5DT4nLA2Zy7b31Lr6VVw7AoSYJmZ6A6
kkn8L78Up3P9RLx3TMn9uoFMi+iuZ7cOX8rwTUFmGT+qtPE6/HRfvA6uW/xic/kTJ03zhlOZ9I1L
IU+zXdNtYi/xHaARtUNdXSytofFJ0WVunoUiEksLSxn7ZvEtH8FAl90fewOgR2EyuvugJj7Pt/8c
DMXjneHdS8WTENfyqfeuy5UDzzH5tUph+xPNY+lfcGq9UGxAZh8FnklOD9vBMtaTG2dMkvKnarKO
SKNS+W4YettfS6GYyN+REaEKB0Z9hZRMkzY8z7kuD+TpqAbbZXWmj282xfgNybfNpymmTcp5WABB
E2fuoiRvypkPBQJDHGGHo2KTg51fFis0P2UtPlm/lptxCD/zu/T4z4PJApY3Bta6iD+FctnV1Ajd
j6Qfi9ycz6QZzJzpeSUenNvFSDIfbqq4WjgyM12CZgl/EaEVGsYtMX/dD2ZUnRj9dq3STpAcJ06x
Tnv4shfUEIvxz6i71xJQ0LLWOJyi9Re7RSRIiuU0LY9MAFuWCH4eiLZtpR33PceiYGYUPECMexV0
h+SmkTY/N6qlm6napMacj39sTPNZHE/6X66bAIkhxhdCWEv7YMxsUwaUKH/hjR5A0kiNnm3xJpxf
5ZcVqR5JZhGcnZV6lCkCaiSM5GC9FpfM0lwZA8L3L1LdtfehBlEyoCmn9MPTj8EPwOhQ2Vgz5iDR
0CmT8fZfRF/Gha7j6bMuQk8r5JhMZrwwlJfyyNs5Mvmn4YOixPrb/Ol/h33h6Qy74QaOS98mY5ob
1GlFEx0ysx1S3j16xycW41yrMAtemTw2ngKlIlaTEzIKuN44y/qn0V7C54WFnOwnEvOBpreSBM21
2TgL14IdnP5m9wZ5miviTvvJA33u3JLS08hIpjZt8RivigAVdRwSB8DHmniiijKnH3yVB6XliAeK
2xNwvx1ml+kopW98Et7HyOZuJIJIkWoZw8S6puqdCFjpnc6t/iiVUShSw0kPbdSM8FF7TOkEMB19
3FzdKaiMhgcI8U1mbYBoNmtlp+osTLy7oy4oS9eqD6RowgWu7zW6iKBSJ7NfOHw3WrNJPyPUuvzx
hrcjeBIUCWWJ5sUorulXAVFDOo9dNk5dYpKLO3hlVuiEAzKX3AUK3NS1FQwb3xRiU3sac4IdDJFc
tUfeTCJSKN2aXi0TJgG61vkYRg4pRKnhzQCL/Zm46jKpE/pEvm3rVQh6LegjYfKdAjV59wf/Ct3k
uDvztSGh5pGzyoi7xiXNRC6SvKe3mdCSXOP/LbyolC52gA3EfhM6ERm+Mowzwz8eKfYNfN5mJnvb
GtPVl3fAI6kP8YuheekpjvWSQAQpTkqSzaFlDavPtdIaQe1D20LnZ0mBkKxlNySq3wN53xBl/AMP
TvLqUqDO72okvvqf6J4ii8dewnY/c54KAvMpJ+kNDf+Oh5y8jEPIw0vb7Mts8V8aKW6idWI3xrEo
XKDE3Iaw2jisd766RQ7UdT66CN3Lc7NpNAyTExupNImq9Onw95p6OcR+EDgnBhDrYsT+AkxT5xF2
cuxIq3jvRK3f5TX0UJcXknQbZz2CQmWXyC706KSndpMexMnxCzp+/8t/+ksVtVqEKpjJsCDzg1jO
T5cb6+51N9x2tmTImr3GW2uVaYAqW/11+y48oa8LC3DVrjEWLmBmxKfcAbg1iXTtI2E3NCJOJPt5
pAbc2X21SqZM107xtw48Jk+h2ggTdBVRyJI0lGzgHGRwPURZ6AOKOkyDesEIOZR02w6l0flWOoQU
UFEaPCw4eBJatjjHjs6qKlS21NpH2xtd6GIN6Xu5/+O9oTNvO9qbHAl32/MrZ03fhY9qwvrjroNA
4PbeKDTmJXnrjPJ1S5/j4gzMz6XJR5U2IfJJrWjisMpEGgHqlebMXEQ/bo6CAuI4piXBMG9xS4l2
KmdXsD9pTUkapxhNyjH0xx4v5HelfCXFoegGtVkaQjVGhHuJ6pmTr4Bap50uH1w9bcf+Knm2AJkY
LBJdjDKDYUSKN4DokStRyjNxS2IlL5A8lEv//Ya/urJ2ZHil3tR+wIWhjJO98uP8PyIgaH8j5X4W
Lnas8cLP6zPoHeyxoR4ohw402eX2VRfeACNzfhegH7y9img+I6MuFVROEHod2Fv/oK6u9gbWC37R
ACoH+f5QRSIeF8ECfXLdRbHMG6Ee4TLcRAjvctLMJTlDJFdYiyuqf3cHtLmNFyf7ljSxxRCSnIbV
TUauR+liZK8px84ifinWZH8WMAjgqI9dY3exRbvO88XTlZj6TWQdg/3uYbpj8A9yrwqRP29h8r8m
LMLj2YVfiuEzumRD9rLjhi9R5+RP1Lk56h7b2xBZ3tC9UygFcPVuqZRJHQGd4sHrzMkQY9rE9Og1
gqh0CXFyCmcKdd6q73SBXPZOOfet6i1SugadZxDZpJ15uvpm2VlJCSaqgTQKrEBEBKjv8tLikJXA
D07iRO6R3kg37thKpG7ln0y+V9f++yUSgq3ao6kdNcXN7jzv6XJicwk6Ha6x7lFSAyfquGhbx4Sd
SYOe91KWEnfIyejSB0g2NmXTDnEiSuL+XFN1z/BzWoHcTvekE2J1M5/DLPGnTqENYGEV0KKWx86E
22BpUlk+3xeDdk+UPAvAGlekBHsjdPolTrwiD4As34lH/TvUupoy+lXrPhMyaL1mf7u3i9h/UcOl
b7/59HaLgYB1OjX5iISucpJ7hVucUy9BwZahOb7AwN/6nHefM583uyJqsqstgGtsnsyvwHwfb7+E
paDv7aPIFY2VOYYpKcbysBXjBFn2NX4r8M5b7rQqzxZNliMkhMkjbuD1f7ps6i65rKH+1q/S+7BK
PUoG0vqxULxzVnqDAiolZ+m3ojTuUQmaKNN63JtXqug8m8moERunjFEmJPiMurgQ4NxUn6LOoNct
94C9Y3hD1aWRqiJKvpK0NEX4y6aqSiHDpzx0xXYBEIboH4n54Cr+qye9X9OQu0b6bL9sbCPVL7Ma
nG6WU6QbFwqIAuBxxoF+LGq+Zf8LJ26YU+s1sdr79COY3DMXeRcWtmlv7q3zl6VtqV6j6hXXjtIS
JNVdlCzZ7/NNhYRF4XlAw1ic+WsHxHsw8+eCS46SR1E3pUjCTpWtWDenkLNBSmZxlZukR1D8tAYU
UFC4TfCbW056LkZ91GRj4HrwkGuCdqcYeut9HAeo0qqbEfGrvIqgxM0XUIq42mvDKSSvXdIMUMea
uUGyOuyfjkBrQSnEq8iXB+Zpgh54Md2VRiNy1qvC93RGr+kK7t2BFd95t0wrFohlTzrQXRcCSi5g
lTyR8x6yQOq1Crf0jjg5hc3wT2uusT8aIIQ0SOlIFPSQ+0Zx7LUFUv4nA7cbSTk4E5aN7VoClEKU
dGsciBKtiJtUARp0G0clgx1r/UJlEUj2bV5JpIQ4RbsLWzGCyKAcF2NVLoV+4AzWwVUMjiJYNVop
tvQEFSq/3xVVj0Sfz2ojWKI8oFmFHqxQuxw8QORqcA6Mzo/F+sfeAjYF2liY1+iJY/6EIxaHT6W7
GPycKjnP890Wr5IlbbNjrrNBt//lWHmzjzDf3N9T6j0vDLQgXB2U9zew5ukyX5tljhE9burntLIr
FEsk23NiDXmogYh5+/M/A8pWN3vmIAvlXuwSEoOZOjpF917x6H6kzn3VwXEORAQVHYrlu2pTpp7E
4sA9U1QwEKoHMsX+O+rPtTGvSZHSSKRtYTi95PtG7a4BsTS1Op1/5YW17l9nIeNtGO+jVHm0Mo2A
0RWO0LJ78iLa0M+4US9VaO0diYt8vs/052XcGhvP1aPnOZG7uqugi2nNk+m8e8Lr8zZwNVLX3OJR
p4hP9KAx9zLAJ02pFFFtNyfKi6zaJ+Rj9wuTKS8o7ZoxbM/kzdgY5eb2BBPtvSFI3UR9g9k4aqRj
Qd5DdeC13tA/v8rgCH04YfBh9cAAXqrODnY/fgclW8nS3+h9sJgKFD+EqzhqcX/7Rld4E1pIpQbl
s+xyRlJZgbwk9e6CikfJ7nq2NHsiu7l9L3J9piQBzgfA/exkNfIKu+Kuzn3DJE59MgsPQ38kAona
hUgyyu7PFHXZDG/jD1d0JEvPOrfmY9RknBE8488F+JCGDTSXAaIZQoq2MCTy/7L122ciyS33r0y8
sbz+ceowbFIZZu5qisAy14m6rB7LgSqyYufQe0N6kybzV1rEloNvdgQirs09HpwnIen+UjSBTW0l
jmSFpUvnow8oFLmg3O5paBMDjmRZZrinKpIYgLfeF0ZJFBwS3Fv1dIjKhS9vdYoGKC/BkKYDZ8Bb
APJCYcWNE/oX2zyGF2HhU1r8798tZDMoNFNXch/3UGXAdxNyLZheG5/dK/wRXdC4Zb+Nj4RIx1C1
deIbyexMNJl4ZvITqaYAUbDixar+vz5LKIRSeETASTJI95Hy0GRjF5jXMqz9aAUUqSD2RPwfC7wf
eFIClODcgHiLoId1Q2gEI4m4Lop2uV8J0GJ4QX3v0uZ2PBdsKcO7/JZHkUoNS+OlV/lxIYSLkZWJ
ub1vzfyQ1OQx9oS1VGGm6EZPcHKUdWkpcP0xiAyRmu56nwJpcK5cC16Lk99SRVTSUYg8dATGmPm7
9gbeB2xAQrfYoob9yv16nuMflTCHSiEOIB/qutF4UOE3DKxzIYtBM7dE5u2j4QbjagX3v34aSN+q
Ae0HkmqxlavZldMWjmz6xWwOyURBwTSf2lCw+yER+nCp587lrcLuBOgYx8iap+EHk3cv+89DLrAy
n721DfWJMCCjJ6NBrrWTWe60/rJ0SxMOCd6GAA0exeAFANPkqYAhwSrTJfWOUpahz3/a5OmEkqJe
kJXHm/4ahXYuACko4+cRSPhdumRgIBAYP0CIn4j5LdMEefoagBkkAGwjVCkPf5txyfgy5BXxAovm
bYVxAHOr6dCNOp52w503+q/HIoQBIrnznklEC0Wz1uE+1/KZRc7AQpGLtmAe2mMPV7Hkcwa5UexD
D2aVOFRgVND4ltz6Gnvg1EO0V97rO+D+Eu7PpJC6BnRXgYWBX8SfPVaIXxaMKHKXlvmZwro7eaPe
gm1dCpttABosFiXs6so1pn/UbWRthLqDnmOzl/yj0gypx7Hnup/OM/BRSqIn2y38yenHcr6oRvlr
06iwXULS0bwdK2jOst1yBNC2lT0yZPyfMk3oGtkMS0OQBzXkpH7jCNWObJHgb6NbfBkF4k7II2bA
t2J8lrgGWiAI9U3jjXUCmXidxIj7Soxq1N6Up6WmHzuim7VPHhn/IRFS8qdhS589beBNJQYkoj/m
O5hScptbIdsHanSTV/wsQC5uGu107bne3wnxiDNhQXdUZu36i8nYk+Sla/7l5m1JE41BmG0Njitf
Q7xqScaaeuRZIJtykx1XAobZCDkTYXEQ9yHl8QnOyTDEUOXxfvTnyCf2q5WN3nHQ6cB6SpPsFg5W
fwuo21CNNimkhwixY1bP4dfe6EYhh66LMx6IoGA0YUI8MNq/WYc8P9hKNQDIzOcQaGEzjG2pxJpq
IbQp47dqMu7+VsPfZpGhkOBZ/xh9NWKGPOffxZzFB4AE1o5F1k0C2+xGSartzzUKB3kuqktfTutQ
zf/3vPZekVKCY3PB8LSSxFoPCoS9K76ODLdP1kZjmqbS7MJCCisE2CobIhqiRswTn4hA/rZHT2hy
h6yUv2i/E/dteKLW+lmuBMHkB0vV5AWqKNmKXkHqXqnYy1lGVSfTUnsDdXvzq4nyNYqn8OjGupF+
/JHDTqoyZSD484kwkf59TVyGgUN5ofK7XYd3Wfi6ZvKyIZEEk+30iV7yysk11ftSCGza0Nx6AdnQ
ATAHEFaNOWmZ/4RkNz9+g6AU3sV3I9/VqgzSQSoN4jtAsgxT8D1DUBtK4lzIqTsgoujNyEztNDse
gctiEPDodaUBIvz9Gyijyzx698GMGF4CuK9C34ZVgmVjvcfmc4QMD4yan7ezgOrcW3pislgoKZK/
dgOya0nCGCSrGq5B1bUjuU435VmLec07MjrGOXIZrYlpuj9at7rWP4mAyuwhw5TX4foNJj4JAACq
6UUVExlqqvP7rWJ85r1dfNFXvSbADXrQVKGw76IXoEyanw2BNkw5qKBhNQlLZOWKRWu1Wd+ABbji
ZHo0zqTV9l/jO9ElSzCBOsuwa7aAWAxka9Wx+zRgLIbqqX0Ohj4ZFxjSn4UxiSROTYmfhEL2f59I
HmF69ruJC0fXyt5oBp77WitUs+j360JQVI7LCwIbnH02vXcrImH8j4ZaT6y/VLnlfbOyBnrWRc/6
qT4sXNrFTdnQEK56JQVSqA6OvYUqFpiobppLJxYtD7TZ/QzgWk5eyos6IIKfb2ogcf27erZG7ppS
WXvyyXVuilU8IJ9N6c1jdVoCyirHQzg+SfhdZTrt65whAKEOlWJ3ZGqsuH8u1ZG3r4SQDD3L0GoF
WIWjBsGd356cmZAeS4eHYPcaLYL1gmzF5HN9s+g3TOyfQRSsHz0y+QusScgDw8MiwmRufDw8t6WD
BVITzFeQozWVh5PZtClDdMny1P23es7pdOLV6gDglLD+hOcuaq+eY2J/2qtSytavBceqjB62WiXD
XChwei9Kd/0+AtwgFRyCUwJ6PDuMSKnjMMKZcsp7bngDdjnC1sMVAuT7FDFXKHsxfkGqEhTxubIF
QB26Ud5DwNr0O1MN9Bhuikh7DcewOQ3ytWNJL/oBc9jBCxZmfNFzDBbsDDk4gbHD
Z9x9Xn8=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block
DSfjPpF5tnvNuHEZPfm2p4HobT19OmEveszv3aGbuNbSOpD5PeP6wGP9ZTW8R7xDlg9nZ4Grl9KZ
ePI/F9FgPFRYx7LdJJ63k+/RBF74AsZAEAcPWQAPd5yaM1R9IIKIYXmN0SiAtyw6h94pwgzo93VB
21hMxtxuC6+EZAC2kWoYb1KMslNa15bDx/LPtjzepwFr0rsfr07fYQexSm77/qzh4fvgdN6imzJA
Qcj9UdNpVEyR0REVCW6nGP5CLP0jRPhOVpzSAd6UJObEHCV/8Wr0F5bkx6rtqokHikMu57sMAyZ+
LRuMStwqngxnjVEYE/qFy1Mp+xr9PPf9lRnOK5HOCB8mGHHqEwxQgJ97MTBRL4iwZSRHd3x4TGvu
6VioCe5NQdMkZPvcVONV2MAvUlOTuMynwJ1AzKGIPneJQEyYSw/T7HNDXj1K9rDkTqsUCk5iyXOg
MGM+m56ZC5qYt4jgitmItA54GwArAO0OUNqPbLATDH0QnqYj31CqjXB2iVT9ueJ4Ez8djk/qiw6x
jq7d87O6L2pzc48SnWb+oVVt4vuEsiF3Zt8zRmXhooQrTOMreMUPyw7fvxIngYwdM/ZZ/nWvwamO
7D7rHeZ8j9JVwb5fbnoARbRUBDhrirj04IyVrywsL8bPJxpa2MtO4R5PLtWxsLc8kujjlYoXzkxU
9+wg+4bCnZPetxE/DSpIvd0kED0NkPFeGdWAMSM+5nrh1Kvn7EeBzXrIZ2fs2anpWjS1kzWi7H0j
9Db8TFfsgRSLf0RdqHkjB7VHaXU49z5kFUPiU0n+iAlL67kcuX+nuSnRpGKn2o+8C+wqks1GBbe3
FIkP9P0ygm8CEs2O8Afq+KfrwikQsiswP/gWOkw2xFn3q5DT4nLA2Zy7b31Lr6VVw7AoSYJmZ6A6
kkn8L78Up3P9RLx3TMn9uoFMi+iuZ7cOX8rwTUFmGT+qtPE6/HRfvA6uW/xic/kTJ03zhlOZ9I1L
IU+zXdNtYi/xHaARtUNdXSytofFJ0WVunoUiEksLSxn7ZvEtH8FAl90fewOgR2EyuvugJj7Pt/8c
DMXjneHdS8WTENfyqfeuy5UDzzH5tUph+xPNY+lfcGq9UGxAZh8FnklOD9vBMtaTG2dMkvKnarKO
SKNS+W4YettfS6GYyN+REaEKB0Z9hZRMkzY8z7kuD+TpqAbbZXWmj282xfgNybfNpymmTcp5WABB
E2fuoiRvypkPBQJDHGGHo2KTg51fFis0P2UtPlm/lptxCD/zu/T4z4PJApY3Bta6iD+FctnV1Ajd
j6Qfi9ycz6QZzJzpeSUenNvFSDIfbqq4WjgyM12CZgl/EaEVGsYtMX/dD2ZUnRj9dq3STpAcJ06x
Tnv4shfUEIvxz6i71xJQ0LLWOJyi9Re7RSRIiuU0LY9MAFuWCH4eiLZtpR33PceiYGYUPECMexV0
h+SmkTY/N6qlm6napMacj39sTPNZHE/6X66bAIkhxhdCWEv7YMxsUwaUKH/hjR5A0kiNnm3xJpxf
5ZcVqR5JZhGcnZV6lCkCaiSM5GC9FpfM0lwZA8L3L1LdtfehBlEyoCmn9MPTj8EPwOhQ2Vgz5iDR
0CmT8fZfRF/Gha7j6bMuQk8r5JhMZrwwlJfyyNs5Mvmn4YOixPrb/Ol/h33h6Qy74QaOS98mY5ob
1GlFEx0ysx1S3j16xycW41yrMAtemTw2ngKlIlaTEzIKuN44y/qn0V7C54WFnOwnEvOBpreSBM21
2TgL14IdnP5m9wZ5miviTvvJA33u3JLS08hIpjZt8RivigAVdRwSB8DHmniiijKnH3yVB6XliAeK
2xNwvx1ml+kopW98Et7HyOZuJIJIkWoZw8S6puqdCFjpnc6t/iiVUShSw0kPbdSM8FF7TOkEMB19
3FzdKaiMhgcI8U1mbYBoNmtlp+osTLy7oy4oS9eqD6RowgWu7zW6iKBSJ7NfOHw3WrNJPyPUuvzx
hrcjeBIUCWWJ5sUorulXAVFDOo9dNk5dYpKLO3hlVuiEAzKX3AUK3NS1FQwb3xRiU3sac4IdDJFc
tUfeTCJSKN2aXi0TJgG61vkYRg4pRKnhzQCL/Zm46jKpE/pEvm3rVQh6LegjYfKdAjV59wf/Ct3k
uDvztSGh5pGzyoi7xiXNRC6SvKe3mdCSXOP/LbyolC52gA3EfhM6ERm+Mowzwz8eKfYNfN5mJnvb
GtPVl3fAI6kP8YuheekpjvWSQAQpTkqSzaFlDavPtdIaQe1D20LnZ0mBkKxlNySq3wN53xBl/AMP
TvLqUqDO72okvvqf6J4ii8dewnY/c54KAvMpJ+kNDf+Oh5y8jEPIw0vb7Mts8V8aKW6idWI3xrEo
XKDE3Iaw2jisd766RQ7UdT66CN3Lc7NpNAyTExupNImq9Onw95p6OcR+EDgnBhDrYsT+AkxT5xF2
cuxIq3jvRK3f5TX0UJcXknQbZz2CQmWXyC706KSndpMexMnxCzp+/8t/+ksVtVqEKpjJsCDzg1jO
T5cb6+51N9x2tmTImr3GW2uVaYAqW/11+y48oa8LC3DVrjEWLmBmxKfcAbg1iXTtI2E3NCJOJPt5
pAbc2X21SqZM107xtw48Jk+h2ggTdBVRyJI0lGzgHGRwPURZ6AOKOkyDesEIOZR02w6l0flWOoQU
UFEaPCw4eBJatjjHjs6qKlS21NpH2xtd6GIN6Xu5/+O9oTNvO9qbHAl32/MrZ03fhY9qwvrjroNA
4PbeKDTmJXnrjPJ1S5/j4gzMz6XJR5U2IfJJrWjisMpEGgHqlebMXEQ/bo6CAuI4piXBMG9xS4l2
KmdXsD9pTUkapxhNyjH0xx4v5HelfCXFoegGtVkaQjVGhHuJ6pmTr4Bap50uH1w9bcf+Knm2AJkY
LBJdjDKDYUSKN4DokStRyjNxS2IlL5A8lEv//Ya/urJ2ZHil3tR+wIWhjJO98uP8PyIgaH8j5X4W
Lnas8cLP6zPoHeyxoR4ohw402eX2VRfeACNzfhegH7y9img+I6MuFVROEHod2Fv/oK6u9gbWC37R
ACoH+f5QRSIeF8ECfXLdRbHMG6Ee4TLcRAjvctLMJTlDJFdYiyuqf3cHtLmNFyf7ljSxxRCSnIbV
TUauR+liZK8px84ifinWZH8WMAjgqI9dY3exRbvO88XTlZj6TWQdg/3uYbpj8A9yrwqRP29h8r8m
LMLj2YVfiuEzumRD9rLjhi9R5+RP1Lk56h7b2xBZ3tC9UygFcPVuqZRJHQGd4sHrzMkQY9rE9Og1
gqh0CXFyCmcKdd6q73SBXPZOOfet6i1SugadZxDZpJ15uvpm2VlJCSaqgTQKrEBEBKjv8tLikJXA
D07iRO6R3kg37thKpG7ln0y+V9f++yUSgq3ao6kdNcXN7jzv6XJicwk6Ha6x7lFSAyfquGhbx4Sd
SYOe91KWEnfIyejSB0g2NmXTDnEiSuL+XFN1z/BzWoHcTvekE2J1M5/DLPGnTqENYGEV0KKWx86E
22BpUlk+3xeDdk+UPAvAGlekBHsjdPolTrwiD4As34lH/TvUupoy+lXrPhMyaL1mf7u3i9h/UcOl
b7/59HaLgYB1OjX5iISucpJ7hVucUy9BwZahOb7AwN/6nHefM583uyJqsqstgGtsnsyvwHwfb7+E
paDv7aPIFY2VOYYpKcbysBXjBFn2NX4r8M5b7rQqzxZNliMkhMkjbuD1f7ps6i65rKH+1q/S+7BK
PUoG0vqxULxzVnqDAiolZ+m3ojTuUQmaKNN63JtXqug8m8moERunjFEmJPiMurgQ4NxUn6LOoNct
94C9Y3hD1aWRqiJKvpK0NEX4y6aqSiHDpzx0xXYBEIboH4n54Cr+qye9X9OQu0b6bL9sbCPVL7Ma
nG6WU6QbFwqIAuBxxoF+LGq+Zf8LJ26YU+s1sdr79COY3DMXeRcWtmlv7q3zl6VtqV6j6hXXjtIS
JNVdlCzZ7/NNhYRF4XlAw1ic+WsHxHsw8+eCS46SR1E3pUjCTpWtWDenkLNBSmZxlZukR1D8tAYU
UFC4TfCbW056LkZ91GRj4HrwkGuCdqcYeut9HAeo0qqbEfGrvIqgxM0XUIq42mvDKSSvXdIMUMea
uUGyOuyfjkBrQSnEq8iXB+Zpgh54Md2VRiNy1qvC93RGr+kK7t2BFd95t0wrFohlTzrQXRcCSi5g
lTyR8x6yQOq1Crf0jjg5hc3wT2uusT8aIIQ0SOlIFPSQ+0Zx7LUFUv4nA7cbSTk4E5aN7VoClEKU
dGsciBKtiJtUARp0G0clgx1r/UJlEUj2bV5JpIQ4RbsLWzGCyKAcF2NVLoV+4AzWwVUMjiJYNVop
tvQEFSq/3xVVj0Sfz2ojWKI8oFmFHqxQuxw8QORqcA6Mzo/F+sfeAjYF2liY1+iJY/6EIxaHT6W7
GPycKjnP890Wr5IlbbNjrrNBt//lWHmzjzDf3N9T6j0vDLQgXB2U9zew5ukyX5tljhE9burntLIr
FEsk23NiDXmogYh5+/M/A8pWN3vmIAvlXuwSEoOZOjpF917x6H6kzn3VwXEORAQVHYrlu2pTpp7E
4sA9U1QwEKoHMsX+O+rPtTGvSZHSSKRtYTi95PtG7a4BsTS1Op1/5YW17l9nIeNtGO+jVHm0Mo2A
0RWO0LJ78iLa0M+4US9VaO0diYt8vs/052XcGhvP1aPnOZG7uqugi2nNk+m8e8Lr8zZwNVLX3OJR
p4hP9KAx9zLAJ02pFFFtNyfKi6zaJ+Rj9wuTKS8o7ZoxbM/kzdgY5eb2BBPtvSFI3UR9g9k4aqRj
Qd5DdeC13tA/v8rgCH04YfBh9cAAXqrODnY/fgclW8nS3+h9sJgKFD+EqzhqcX/7Rld4E1pIpQbl
s+xyRlJZgbwk9e6CikfJ7nq2NHsiu7l9L3J9piQBzgfA/exkNfIKu+Kuzn3DJE59MgsPQ38kAona
hUgyyu7PFHXZDG/jD1d0JEvPOrfmY9RknBE8488F+JCGDTSXAaIZQoq2MCTy/7L122ciyS33r0y8
sbz+ceowbFIZZu5qisAy14m6rB7LgSqyYufQe0N6kybzV1rEloNvdgQirs09HpwnIen+UjSBTW0l
jmSFpUvnow8oFLmg3O5paBMDjmRZZrinKpIYgLfeF0ZJFBwS3Fv1dIjKhS9vdYoGKC/BkKYDZ8Bb
APJCYcWNE/oX2zyGF2HhU1r8798tZDMoNFNXch/3UGXAdxNyLZheG5/dK/wRXdC4Zb+Nj4RIx1C1
deIbyexMNJl4ZvITqaYAUbDixar+vz5LKIRSeETASTJI95Hy0GRjF5jXMqz9aAUUqSD2RPwfC7wf
eFIClODcgHiLoId1Q2gEI4m4Lop2uV8J0GJ4QX3v0uZ2PBdsKcO7/JZHkUoNS+OlV/lxIYSLkZWJ
ub1vzfyQ1OQx9oS1VGGm6EZPcHKUdWkpcP0xiAyRmu56nwJpcK5cC16Lk99SRVTSUYg8dATGmPm7
9gbeB2xAQrfYoob9yv16nuMflTCHSiEOIB/qutF4UOE3DKxzIYtBM7dE5u2j4QbjagX3v34aSN+q
Ae0HkmqxlavZldMWjmz6xWwOyURBwTSf2lCw+yER+nCp587lrcLuBOgYx8iap+EHk3cv+89DLrAy
n721DfWJMCCjJ6NBrrWTWe60/rJ0SxMOCd6GAA0exeAFANPkqYAhwSrTJfWOUpahz3/a5OmEkqJe
kJXHm/4ahXYuACko4+cRSPhdumRgIBAYP0CIn4j5LdMEefoagBkkAGwjVCkPf5txyfgy5BXxAovm
bYVxAHOr6dCNOp52w503+q/HIoQBIrnznklEC0Wz1uE+1/KZRc7AQpGLtmAe2mMPV7Hkcwa5UexD
D2aVOFRgVND4ltz6Gnvg1EO0V97rO+D+Eu7PpJC6BnRXgYWBX8SfPVaIXxaMKHKXlvmZwro7eaPe
gm1dCpttABosFiXs6so1pn/UbWRthLqDnmOzl/yj0gypx7Hnup/OM/BRSqIn2y38yenHcr6oRvlr
06iwXULS0bwdK2jOst1yBNC2lT0yZPyfMk3oGtkMS0OQBzXkpH7jCNWObJHgb6NbfBkF4k7II2bA
t2J8lrgGWiAI9U3jjXUCmXidxIj7Soxq1N6Up6WmHzuim7VPHhn/IRFS8qdhS589beBNJQYkoj/m
O5hScptbIdsHanSTV/wsQC5uGu107bne3wnxiDNhQXdUZu36i8nYk+Sla/7l5m1JE41BmG0Njitf
Q7xqScaaeuRZIJtykx1XAobZCDkTYXEQ9yHl8QnOyTDEUOXxfvTnyCf2q5WN3nHQ6cB6SpPsFg5W
fwuo21CNNimkhwixY1bP4dfe6EYhh66LMx6IoGA0YUI8MNq/WYc8P9hKNQDIzOcQaGEzjG2pxJpq
IbQp47dqMu7+VsPfZpGhkOBZ/xh9NWKGPOffxZzFB4AE1o5F1k0C2+xGSartzzUKB3kuqktfTutQ
zf/3vPZekVKCY3PB8LSSxFoPCoS9K76ODLdP1kZjmqbS7MJCCisE2CobIhqiRswTn4hA/rZHT2hy
h6yUv2i/E/dteKLW+lmuBMHkB0vV5AWqKNmKXkHqXqnYy1lGVSfTUnsDdXvzq4nyNYqn8OjGupF+
/JHDTqoyZSD484kwkf59TVyGgUN5ofK7XYd3Wfi6ZvKyIZEEk+30iV7yysk11ftSCGza0Nx6AdnQ
ATAHEFaNOWmZ/4RkNz9+g6AU3sV3I9/VqgzSQSoN4jtAsgxT8D1DUBtK4lzIqTsgoujNyEztNDse
gctiEPDodaUBIvz9Gyijyzx698GMGF4CuK9C34ZVgmVjvcfmc4QMD4yan7ezgOrcW3pislgoKZK/
dgOya0nCGCSrGq5B1bUjuU435VmLec07MjrGOXIZrYlpuj9at7rWP4mAyuwhw5TX4foNJj4JAACq
6UUVExlqqvP7rWJ85r1dfNFXvSbADXrQVKGw76IXoEyanw2BNkw5qKBhNQlLZOWKRWu1Wd+ABbji
ZHo0zqTV9l/jO9ElSzCBOsuwa7aAWAxka9Wx+zRgLIbqqX0Ohj4ZFxjSn4UxiSROTYmfhEL2f59I
HmF69ruJC0fXyt5oBp77WitUs+j360JQVI7LCwIbnH02vXcrImH8j4ZaT6y/VLnlfbOyBnrWRc/6
qT4sXNrFTdnQEK56JQVSqA6OvYUqFpiobppLJxYtD7TZ/QzgWk5eyos6IIKfb2ogcf27erZG7ppS
WXvyyXVuilU8IJ9N6c1jdVoCyirHQzg+SfhdZTrt65whAKEOlWJ3ZGqsuH8u1ZG3r4SQDD3L0GoF
WIWjBsGd356cmZAeS4eHYPcaLYL1gmzF5HN9s+g3TOyfQRSsHz0y+QusScgDw8MiwmRufDw8t6WD
BVITzFeQozWVh5PZtClDdMny1P23es7pdOLV6gDglLD+hOcuaq+eY2J/2qtSytavBceqjB62WiXD
XChwei9Kd/0+AtwgFRyCUwJ6PDuMSKnjMMKZcsp7bngDdjnC1sMVAuT7FDFXKHsxfkGqEhTxubIF
QB26Ud5DwNr0O1MN9Bhuikh7DcewOQ3ytWNJL/oBc9jBCxZmfNFzDBbsDDk4gbHD
Z9x9Xn8=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
|
-------------------------------------------------------------------------------
--! @file fifoRead-rtl-ea.vhd
--
--! @brief FIFO read controller
--
--! @details This is a FIFO read controller.
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2013
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
--! use global library
use work.global.all;
entity fifoRead is
generic (
gAddrWidth : natural := 4
);
port (
iClk : in std_logic;
iRst : in std_logic;
iRead : in std_logic;
iWrPointer : in std_logic_vector(gAddrWidth downto 0);
oEmpty : out std_logic;
oFull : out std_logic;
oPointer : out std_logic_vector(gAddrWidth downto 0);
oAddress : out std_logic_vector(gAddrWidth-1 downto 0);
oUsedWord : out std_logic_vector(gAddrWidth-1 downto 0)
);
end fifoRead;
architecture rtl of fifoRead is
signal r_ptr_reg : std_logic_vector(gAddrWidth downto 0);
signal r_ptr_next : std_logic_vector(gAddrWidth downto 0);
signal gray1 : std_logic_vector(gAddrWidth downto 0);
signal bin : std_logic_vector(gAddrWidth downto 0);
signal bin1 : std_logic_vector(gAddrWidth downto 0);
signal raddr_all : std_logic_vector(gAddrWidth-1 downto 0);
signal raddr_msb : std_logic;
signal waddr_msb : std_logic;
signal empty_flag : std_logic;
signal full_flag : std_logic;
signal r_elements_wr : std_logic_vector(gAddrWidth downto 0);
signal r_elements_rd : std_logic_vector(gAddrWidth downto 0);
signal r_elements_diff : std_logic_vector(gAddrWidth downto 0);
signal r_elements_reg : std_logic_vector(gAddrWidth-1 downto 0);
signal r_elements_next : std_logic_vector(gAddrWidth-1 downto 0);
begin
--! Clock process for registers.
regProc : process(iRst, iClk)
begin
if iRst = cActivated then
r_ptr_reg <= (others => cInactivated);
r_elements_reg <= (others => cInactivated);
elsif rising_edge(iClk) then
r_ptr_reg <= r_ptr_next;
r_elements_reg <= r_elements_next;
end if;
end process;
-- (gAddrWidth+1)-bit Gray counter
bin <= r_ptr_reg xor (cInactivated & bin(gAddrWidth downto 1));
bin1 <= std_logic_vector(unsigned(bin) + 1);
gray1 <= bin1 xor (cInactivated & bin1(gAddrWidth downto 1));
-- update read pointer
r_ptr_next <= gray1 when iRead = cActivated and empty_flag = cInactivated else
r_ptr_reg;
-- gAddrWidth-bit Gray counter
raddr_msb <= r_ptr_reg(gAddrWidth) xor r_ptr_reg(gAddrWidth-1);
raddr_all <= raddr_msb & r_ptr_reg(gAddrWidth-2 downto 0);
waddr_msb <= iWrPointer(gAddrWidth) xor iWrPointer(gAddrWidth-1);
-- check for FIFO read empty
empty_flag <= cActivated when iWrPointer(gAddrWidth) = r_ptr_reg(gAddrWidth) and
iWrPointer(gAddrWidth-2 downto 0) = r_ptr_reg(gAddrWidth-2 downto 0) and
raddr_msb = waddr_msb else
cInactivated;
-- check for FIFO read full
full_flag <= cActivated when iWrPointer(gAddrWidth) /= r_ptr_reg(gAddrWidth) and
iWrPointer(gAddrWidth-2 downto 0) = r_ptr_reg(gAddrWidth-2 downto 0) and
raddr_msb = waddr_msb else
cInactivated;
-- convert gray value to bin and obtain difference
r_elements_wr <= bin;
r_elements_rd <= iWrPointer xor (cInactivated & r_elements_rd(gAddrWidth downto 1));
r_elements_diff <= std_logic_vector(unsigned(r_elements_rd) - unsigned(r_elements_wr));
r_elements_next <= r_elements_diff(r_elements_next'range);
-- output
oAddress <= raddr_all;
oPointer <= r_ptr_reg;
oUsedWord <= r_elements_reg;
oEmpty <= empty_flag;
oFull <= full_flag;
end rtl;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00661
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 4.3.3 (18)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00661(ARCH00661)
-- ENT00661_Test_Bench(ARCH00661_Test_Bench)
--
-- REVISION HISTORY:
--
-- 26-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00661 is
port ( Pt1 : buffer Integer ) ;
end ENT00661 ;
--
architecture ARCH00661 of ENT00661 is
function To_Integer ( P : Real ) return Integer is
begin
if P = -1.0 then
return -1 ;
else
return -2 ;
end if ;
end To_Integer ;
function To_Real ( P : Integer ) return Real is
begin
if P = -1 then
return -1.0 ;
else
return -2.0 ;
end if ;
end To_Real ;
begin
P1 :
process ( Pt1 )
variable First_Time : boolean := True ;
begin
if First_Time then
First_Time := false ;
else
test_report ( "ARCH00661" ,
"Entity formal ports of mode 'buffer' may be read" ,
Pt1 = -1 ) ;
end if ;
end process P1 ;
L1 :
block
port ( Pt1 : buffer Real := -2.0) ;
port map ( To_Integer(Pt1) => To_Real(Pt1) ) ; -- Check block 'buffer' po
begin
BP1 :
process
variable Var : Integer := -2 ;
begin
test_report ( "ARCH00661" ,
"Block ports of mode 'buffer' may "&
"be read" ,
Pt1 = -2.0 ) ;
Pt1 <= transport -1.0 after 10 ns;
wait ;
end process BP1 ;
end block L1 ;
end ARCH00661 ;
--
use WORK.STANDARD_TYPES.all;
entity ENT00661_Test_Bench is
end ENT00661_Test_Bench ;
architecture ARCH00661_Test_Bench of ENT00661_Test_Bench is
begin
L1:
block
component UUT
end component ;
signal S1 : Integer := -2 ;
for CIS1 : UUT use entity WORK.ENT00661 ( ARCH00661 )
port map ( S1 ) ; -- Check entity 'buffer' port
begin
CIS1 : UUT ;
process
begin
wait for 11 ns ;
test_report ( "ARCH00661" ,
"Ports on blocks and entities "&
"of mode 'buffer' may be updated" ,
S1 = -1 ) ;
wait ;
end process ;
end block L1 ;
end ARCH00661_Test_Bench ;
--
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc166.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b03x00p01n01i00166ent IS
END c04s03b03x00p01n01i00166ent;
ARCHITECTURE c04s03b03x00p01n01i00166arch OF c04s03b03x00p01n01i00166ent IS
BEGIN
TESTING: PROCESS
variable V1 : INTEGER := 1;
alias V1_A1 : INTEGER is V1;
variable pass : integer := 0;
BEGIN
assert V1 = 1;
assert V1_A1 = 1;
if (V1 /= 1 or V1_A1 /= 1) then
pass := 1;
end if;
V1 := 2; -- change value...
assert V1 = 2;
assert V1_A1 = 2; -- ... check read
if (V1 /= 2 or V1_A1 /= 2) then
pass := 1;
end if;
V1_A1 := 3; -- change value using alias
assert V1 = 3; -- ... check that value changed
assert V1_A1 = 3;
if (V1 /= 3 or V1_A1 /= 3) then
pass := 1;
end if;
wait for 5 ns;
assert NOT( pass = 0 )
report "***PASSED TEST: c04s03b03x00p01n01i00166"
severity NOTE;
assert ( pass = 0 )
report "***FAILED TEST: c04s03b03x00p01n01i00166 - Alias for variable object test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b03x00p01n01i00166arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc166.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b03x00p01n01i00166ent IS
END c04s03b03x00p01n01i00166ent;
ARCHITECTURE c04s03b03x00p01n01i00166arch OF c04s03b03x00p01n01i00166ent IS
BEGIN
TESTING: PROCESS
variable V1 : INTEGER := 1;
alias V1_A1 : INTEGER is V1;
variable pass : integer := 0;
BEGIN
assert V1 = 1;
assert V1_A1 = 1;
if (V1 /= 1 or V1_A1 /= 1) then
pass := 1;
end if;
V1 := 2; -- change value...
assert V1 = 2;
assert V1_A1 = 2; -- ... check read
if (V1 /= 2 or V1_A1 /= 2) then
pass := 1;
end if;
V1_A1 := 3; -- change value using alias
assert V1 = 3; -- ... check that value changed
assert V1_A1 = 3;
if (V1 /= 3 or V1_A1 /= 3) then
pass := 1;
end if;
wait for 5 ns;
assert NOT( pass = 0 )
report "***PASSED TEST: c04s03b03x00p01n01i00166"
severity NOTE;
assert ( pass = 0 )
report "***FAILED TEST: c04s03b03x00p01n01i00166 - Alias for variable object test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b03x00p01n01i00166arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc166.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b03x00p01n01i00166ent IS
END c04s03b03x00p01n01i00166ent;
ARCHITECTURE c04s03b03x00p01n01i00166arch OF c04s03b03x00p01n01i00166ent IS
BEGIN
TESTING: PROCESS
variable V1 : INTEGER := 1;
alias V1_A1 : INTEGER is V1;
variable pass : integer := 0;
BEGIN
assert V1 = 1;
assert V1_A1 = 1;
if (V1 /= 1 or V1_A1 /= 1) then
pass := 1;
end if;
V1 := 2; -- change value...
assert V1 = 2;
assert V1_A1 = 2; -- ... check read
if (V1 /= 2 or V1_A1 /= 2) then
pass := 1;
end if;
V1_A1 := 3; -- change value using alias
assert V1 = 3; -- ... check that value changed
assert V1_A1 = 3;
if (V1 /= 3 or V1_A1 /= 3) then
pass := 1;
end if;
wait for 5 ns;
assert NOT( pass = 0 )
report "***PASSED TEST: c04s03b03x00p01n01i00166"
severity NOTE;
assert ( pass = 0 )
report "***FAILED TEST: c04s03b03x00p01n01i00166 - Alias for variable object test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b03x00p01n01i00166arch;
|
-- Top level file for midi ram. Support a simple write interface and a more
-- complex read interface.
--
-- The write interface is a single-clock interface. When enqueue is strobed,
-- data present on that clock cycle will be written into ram.
--
-- The read interface supports the reading of multiple bytes of data. A start
-- address and a num
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library virtual_button_lib;
use virtual_button_lib.constants.all;
use virtual_button_lib.utils.all;
entity midi_ram_top is
generic (
max_read_bytes : integer;
queue_width : integer
);
port(
-- clocking interfaces
ctrl : in ctrl_t;
-- write interface
enqueue : in std_logic;
write_in_data : in std_logic_vector(queue_width - 1 downto 0);
-- ram statuses
empty : out std_logic;
full : out std_logic;
contents_count : out natural range 0 to midi_file_rx_bram_depth;
-- read interface
read_start_addr : in unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0);
read_num_bytes : in integer range 0 to max_read_bytes;
read_en : in std_logic;
read_busy : out std_logic;
midi_ram_out : out std_logic_vector((max_read_bytes * 8) - 1 downto 0)
);
end;
architecture rtl of midi_ram_top is
signal read_addr : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0);
signal current_read_addr : unsigned(integer(ceil(log2(real(midi_file_rx_bram_depth)))) - 1 downto 0);
signal byte_counter : integer range 0 to max_read_bytes;
signal read_en_d1 : std_logic;
signal midi_ram_out_int : std_logic_vector((max_read_bytes * 8) - 1 downto 0);
signal read_out_data : std_logic_vector(7 downto 0);
type state_t is (idle, read_1, read_2);
signal state : state_t;
begin
midi_ram_1 : entity virtual_button_lib.midi_ram
generic map (
queue_depth => midi_file_rx_bram_depth,
queue_width => queue_width)
port map (
ctrl => ctrl,
enqueue => enqueue,
write_in_data => write_in_data,
read_addr => read_addr,
read_out_data => read_out_data,
empty => empty,
full => full,
contents_count => contents_count);
set_read_en_d1 : process(ctrl.clk)
begin
if rising_edge(ctrl.clk) then
read_en_d1 <= read_en;
end if;
end process;
go : process(ctrl.clk)
begin
if rising_edge(ctrl.clk) then
if ctrl.reset_n = '0' then
byte_counter <= 0;
state <= idle;
read_addr <= (others => '0');
else
case state is
when idle =>
if read_en = '1' and read_en_d1 = '0' then
read_addr <= read_start_addr;
byte_counter <= read_num_bytes;
state <= read_1;
end if;
when read_1 =>
state <= read_2;
byte_counter <= byte_counter - 1;
when read_2 =>
midi_ram_out_int <= midi_ram_out_int(midi_ram_out'left - 8 downto 0) & read_out_data;
if byte_counter = 0 then
state <= idle;
else
read_addr <= read_addr + 1;
state <= read_1;
end if;
end case;
end if;
end if;
end process;
read_busy <= '0' when state = idle else
'1';
midi_ram_out <= midi_ram_out_int;
end;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNZEH3JAKA is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "000000000000000000001111";
width : natural := 24);
port(
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is
Begin
-- Constant
output <= "000000000000000000001111";
end architecture; |
-- -------------------------------------------------------------
--
-- Generated Configuration for di_tnr
--
-- Generated
-- by: lutscher
-- on: Tue Jun 23 14:19:39 2009
-- cmd: /home/lutscher/work/MIX/mix_1.pl di_tnr.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author$
-- $Id$
-- $Date$
-- $Log$
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.109 2008/04/01 12:48:34 wig Exp
--
-- Generator: mix_1.pl Version: Revision: 1.3 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration di_tnr_struct_conf_mix / di_tnr
--
configuration di_tnr_struct_conf_mix of di_tnr is
for struct
-- Generated Configuration
for ctrl : di_tnr_ctrl
use configuration work.di_tnr_ctrl_rtl_conf;
end for;
for tnrc : di_tnrc
use configuration work.di_tnrc_struct_conf;
end for;
for tnry : di_tnry
use configuration work.di_tnry_struct_conf;
end for;
end for;
end di_tnr_struct_conf_mix;
--
-- End of Generated Configuration di_tnr_struct_conf_mix
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
-- Copyright (c) University of Florida
--
-- This file is part of window_gen.
--
-- window_gen is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- window_gen is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with window_gen. If not, see <http://www.gnu.org/licenses/>.
-- Greg Stitt
-- University of Florida
-- This file contains custom math functions.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
package math_custom is
-- Function: clog2()
-- Description: Takes the ceil(log2) of a positive integer.
-- Useful for avoiding all the casting that takes place in math_real.
function clog2(input : positive) return natural;
-- Function: clog2_pos()
-- Description: Computes ceil(log2(input)), but with clog2(1)=1 instead of 0
-- Useful for getting minimum bits needed to index "input" number of
-- distinct values, such as for addresses.
function clog2_pos(input : positive) return positive;
-- Function: bitsNeeded
-- Description: returns the number of bits required to store a specified
-- value. Can also be used to determine the bits required for
-- a specific number of unique values by substracting one from
-- the inputs.
-- e.g. 1, when trying to determine how many bits are needed to store a
-- value X, call bitsNeeded(X)
--
-- e.g. 2, when trying to determine how many bits are needed for an address
-- space with X elements, call bitsNeeded(X-1). For example, an address
-- space for 8 elements would require bitsNeeded(7) because 7 would be the
-- highest address.
function bitsNeeded(value : positive) return positive;
-- Function: bitsForValue
-- Description: An alias for bitsNeeded to provide a more consistent naming
-- convention that matches bitsForAmount()
function bitsForValue(value : positive) return positive;
-- Function: bitsForAmount
-- Description: returns the number of bits required to store "amount"
-- distinct values. This is useful when determining the number
-- of bits for an address space. It is also equivalent to
-- bitsNeeded(amount-1).
-- e.g. 1, when trying to determine how many bits are needed for an address
-- space with X elements, call bitsForAmount(X). For example, an address
-- space for 8 elements would require bitsForAmount(8) bits. Alternatively,
-- bitsNeeded(8-1) also works.
function bitsForAmount(amount : positive) return positive;
end package;
package body math_custom is
function clog2(input : positive) return natural is
begin
return natural(ceil(log2(real(input))));
end function;
function clog2_pos(input : positive) return positive is
variable temp : natural;
variable logVal : natural;
begin
if (input = 1) then
return 1;
else
return positive(ceil(log2(real(input))));
end if;
end function;
function bitsNeeded(value : positive) return positive is
begin
return clog2_pos(value+1);
end function;
function bitsForValue(value : positive) return positive is
begin
return bitsNeeded(value);
end function;
function bitsForAmount(amount : positive) return positive is
begin
return clog2_pos(amount);
end function;
end package body;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.