content
stringlengths 1
1.04M
⌀ |
---|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- Entity: ahbrom
-- File: ahbrom.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB rom. 0/1-waitstate read
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
entity ahbrom is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
pipe : integer := 0;
tech : integer := 0;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbrom is
constant abits : integer := 9;
constant bytes : integer := 288;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0),
4 => ahb_membar(haddr, '1', '1', hmask), others => zero32);
signal romdata : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(abits-1 downto 2);
signal hsel, hready : std_ulogic;
begin
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
reg : process (clk)
begin
if rising_edge(clk) then
addr <= ahbsi.haddr(abits-1 downto 2);
end if;
end process;
p0 : if pipe = 0 generate
ahbso.hrdata <= ahbdrivedata(romdata);
ahbso.hready <= '1';
end generate;
p1 : if pipe = 1 generate
reg2 : process (clk)
begin
if rising_edge(clk) then
hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1);
hready <= ahbsi.hready;
ahbso.hready <= (not rst) or (hsel and hready) or
(ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready);
ahbso.hrdata <= ahbdrivedata(romdata);
end if;
end process;
end generate;
comb : process (addr)
begin
case conv_integer(addr) is
when 16#00000# => romdata <= X"81D82000";
when 16#00001# => romdata <= X"03000004";
when 16#00002# => romdata <= X"821060C0";
when 16#00003# => romdata <= X"81884000";
when 16#00004# => romdata <= X"81900000";
when 16#00005# => romdata <= X"81980000";
when 16#00006# => romdata <= X"81800000";
when 16#00007# => romdata <= X"01000000";
when 16#00008# => romdata <= X"03000040";
when 16#00009# => romdata <= X"8210600F";
when 16#0000A# => romdata <= X"C2A00040";
when 16#0000B# => romdata <= X"87444000";
when 16#0000C# => romdata <= X"8608E01F";
when 16#0000D# => romdata <= X"88100000";
when 16#0000E# => romdata <= X"8A100000";
when 16#0000F# => romdata <= X"8C100000";
when 16#00010# => romdata <= X"8E100000";
when 16#00011# => romdata <= X"A0100000";
when 16#00012# => romdata <= X"A2100000";
when 16#00013# => romdata <= X"A4100000";
when 16#00014# => romdata <= X"A6100000";
when 16#00015# => romdata <= X"A8100000";
when 16#00016# => romdata <= X"AA100000";
when 16#00017# => romdata <= X"AC100000";
when 16#00018# => romdata <= X"AE100000";
when 16#00019# => romdata <= X"90100000";
when 16#0001A# => romdata <= X"92100000";
when 16#0001B# => romdata <= X"94100000";
when 16#0001C# => romdata <= X"96100000";
when 16#0001D# => romdata <= X"98100000";
when 16#0001E# => romdata <= X"9A100000";
when 16#0001F# => romdata <= X"9C100000";
when 16#00020# => romdata <= X"9E100000";
when 16#00021# => romdata <= X"86A0E001";
when 16#00022# => romdata <= X"16BFFFEF";
when 16#00023# => romdata <= X"81E00000";
when 16#00024# => romdata <= X"82102002";
when 16#00025# => romdata <= X"81904000";
when 16#00026# => romdata <= X"03000004";
when 16#00027# => romdata <= X"821060E0";
when 16#00028# => romdata <= X"81884000";
when 16#00029# => romdata <= X"01000000";
when 16#0002A# => romdata <= X"01000000";
when 16#0002B# => romdata <= X"01000000";
when 16#0002C# => romdata <= X"03200000";
when 16#0002D# => romdata <= X"84102233";
when 16#0002E# => romdata <= X"C4204000";
when 16#0002F# => romdata <= X"0539AE13";
when 16#00030# => romdata <= X"8410A260";
when 16#00031# => romdata <= X"C4206004";
when 16#00032# => romdata <= X"050003FC";
when 16#00033# => romdata <= X"C4206008";
when 16#00034# => romdata <= X"3D1003FF";
when 16#00035# => romdata <= X"BC17A3E0";
when 16#00036# => romdata <= X"9C27A060";
when 16#00037# => romdata <= X"03100000";
when 16#00038# => romdata <= X"81C04000";
when 16#00039# => romdata <= X"01000000";
when 16#0003A# => romdata <= X"01000000";
when 16#0003B# => romdata <= X"01000000";
when 16#0003C# => romdata <= X"01000000";
when 16#0003D# => romdata <= X"01000000";
when 16#0003E# => romdata <= X"01000000";
when 16#0003F# => romdata <= X"01000000";
when 16#00040# => romdata <= X"00000004";
when 16#00041# => romdata <= X"00000000";
when 16#00042# => romdata <= X"00000004";
when 16#00043# => romdata <= X"00000000";
when 16#00044# => romdata <= X"FFFFFFFC";
when 16#00045# => romdata <= X"00000000";
when 16#00046# => romdata <= X"FFFFFFFC";
when 16#00047# => romdata <= X"00000000";
when 16#00048# => romdata <= X"00000000";
when others => romdata <= (others => '-');
end case;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbrom" & tost(hindex) &
": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" );
-- pragma translate_on
end;
|
-- Translated to vhdl from verilog module decode_8b10b.v
-- by Kurtis Nishimura, 2015
-- from source obtained at:
--
-- http://asics.chuckbenz.com/decode.v
--
-- Original copyright information:
-- // Chuck Benz, Hollis, NH Copyright (c)2002
-- //
-- // The information and description contained herein is the
-- // property of Chuck Benz.
-- //
-- // Permission is granted for any reuse of this information
-- // and description as long as this copyright notice is
-- // preserved. Modifications may be made as long as this
-- // notice is preserved.
--
-- // per Widmer and Franaszek
--
--
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.UtilityPkg.all;
entity Decode8b10b is
generic (
GATE_DELAY_G : time := 1 ns
);
port (
clk : in sl;
clkEn : in sl := '1';
rst : in sl := '0';
dataIn : in slv(9 downto 0);
dispIn : in sl;
dataOut : out slv(7 downto 0);
dataKOut : out sl;
dispOut : out sl;
codeErr : out sl;
dispErr : out sl
);
end Decode8b10b;
architecture rtl of Decode8b10b is
signal ai, bi, ci, di, ei, fi, gi, hi, ii, ji : sl;
signal aeqb, ceqd, p22, p40, p04, p13, p31 : sl;
signal disp6a, disp6a2, disp6a0, disp6b : sl;
signal p22bceeqi, p22bncneeqi, p13in, p3li, p13dei : sl;
signal p22aceeqi, p22ancneeqi, p13en, anbnenin : sl;
signal abei, cdei, cndnenin : sl;
signal p22enin, p22ei, p31dnenin, p31i, p31e : sl;
signal compa, compb, compc, compd, compe : sl;
signal ao, bo, co, do, eo : sl;
signal feqg, heqj, fghj22, fghjp13, fghjp31, dispoutRaw : sl;
signal ko, alt7, k28, k28p, fo, go, ho : sl;
signal disp6p, disp6n, disp4p, disp4n : sl;
signal code_err : sl;
signal disp_err : sl;
signal dataOutRaw : slv(7 downto 0);
signal dataKOutRaw : sl;
begin
-- Combinatorial logic
ai <= dataIn(0);
bi <= dataIn(1);
ci <= dataIn(2);
di <= dataIn(3);
ei <= dataIn(4);
ii <= dataIn(5);
fi <= dataIn(6);
gi <= dataIn(7);
hi <= dataIn(8);
ji <= dataIn(9);
aeqb <= (ai and bi) or (not(ai) and not(bi));
ceqd <= (ci and di) or (not(ci) and not(di));
p22 <= (ai and bi and not(ci) and not(di)) or
(ci and di and not(ai) and not(bi)) or
(not(aeqb) and not(ceqd));
p13 <= (not(aeqb) and not(ci) and not(di)) or
(not(ceqd) and not(ai) and not(bi));
p31 <= (not(aeqb) and ci and di) or
(not(ceqd) and ai and bi);
p40 <= ai and bi and ci and di;
p04 <= not(ai) and not(bi) and not(ci) and not(di);
disp6a <= p31 or (p22 and dispin); -- pos disp if p22 and was pos, or p31.
disp6a2 <= p31 and dispin; -- disp is ++ after 4 bits
disp6a0 <= p13 and not(dispin); -- -- disp after 4 bits
disp6b <= (((ei and ii and not(disp6a0)) or (disp6a and (ei or ii)) or disp6a2 or
(ei and ii and di)) and (ei or ii or di));
-- The 5B/6B decoding special cases where ABCDE != abcde
p22bceeqi <= p22 and bi and ci when (ei = ii) else '0';
p22bncneeqi <= p22 and not(bi) and not(ci) when (ei = ii) else '0';
p13in <= p13 and not(ii);
p31i <= p31 and ii;
p13dei <= p13 and di and ei and ii;
p22aceeqi <= p22 and ai and ci when (ei = ii) else '0';
p22ancneeqi <= p22 and not(ai) and not(ci) when (ei = ii) else '0';
p13en <= p13 and not(ei);
anbnenin <= not(ai) and not(bi) and not(ei) and not(ii);
abei <= ai and bi and ei and ii;
cdei <= ci and di and ei and ii;
cndnenin <= not(ci) and not(di) and not(ei) and not(ii);
-- non-zero disparity cases:
p22enin <= p22 and not(ei) and not(ii);
p22ei <= p22 and ei and ii;
p31dnenin <= p31 and not(di) and not(ei) and not(ii);
p31e <= p31 and ei;
compa <= p22bncneeqi or p31i or p13dei or p22ancneeqi or
p13en or abei or cndnenin ;
compb <= p22bceeqi or p31i or p13dei or p22aceeqi or
p13en or abei or cndnenin ;
compc <= p22bceeqi or p31i or p13dei or p22ancneeqi or
p13en or anbnenin or cndnenin ;
compd <= p22bncneeqi or p31i or p13dei or p22aceeqi or
p13en or abei or cndnenin ;
compe <= p22bncneeqi or p13in or p13dei or p22ancneeqi or
p13en or anbnenin or cndnenin ;
ao <= ai xor compa;
bo <= bi xor compb;
co <= ci xor compc;
do <= di xor compd;
eo <= ei xor compe;
feqg <= (fi and gi) or (not(fi) and not(gi));
heqj <= (hi and ji) or (not(hi) and not(ji));
fghj22 <= (fi and gi and not(hi) and not(ji)) or
(not(fi) and not(gi) and hi and ji) or
(not(feqg) and not(heqj));
fghjp13 <= (not(feqg) and not(hi) and not(ji)) or
(not(heqj) and not(fi) and not(gi));
fghjp31 <= ( not(feqg) and hi and ji) or
(not(heqj) and fi and gi);
dispoutRaw <= (fghjp31 or (disp6b and fghj22) or (hi and ji)) and (hi or ji);
ko <= ( (ci and di and ei and ii) or (not(ci) and not(di) and not(ei) and not(ii)) or
(p13 and not(ei) and ii and gi and hi and ji) or
(p31 and ei and not(ii) and not(gi) and not(hi) and not(ji)));
alt7 <= (fi and not(gi) and not(hi) and -- 1000 cases, where disp6b is 1
((dispin and ci and di and not(ei) and not(ii)) or ko or
(dispin and not(ci) and di and not(ei) and not(ii)))) or
(not(fi) and gi and hi and -- 0111 cases, where disp6b is 0
((not(dispin) and not(ci) and not(di) and ei and ii) or ko or
(not(dispin) and ci and not(di) and ei and ii)));
k28 <= (ci and di and ei and ii) or not(ci or di or ei or ii);
-- k28 with positive disp into fghi - .1, .2, .5, and .6 special cases
k28p <= not(ci or di or ei or ii);
fo <= (ji and not(fi) and (hi or not(gi) or k28p)) or
(fi and not(ji) and (not(hi) or gi or not(k28p))) or
(k28p and gi and hi) or
(not(k28p) and not(gi) and not(hi));
go <= (ji and not(fi) and (hi or not(gi) or not(k28p))) or
(fi and not(ji) and (not(hi) or gi or k28p)) or
(not(k28p) and gi and hi) or
(k28p and not(gi) and not(hi));
ho <= ((ji xor hi) and not((not(fi) and gi and not(hi) and ji and not(k28p)) or (not(fi) and gi and hi and not(ji) and k28p) or
(fi and not(gi) and not(hi) and ji and not(k28p)) or (fi and not(gi) and hi and not(ji) and k28p))) or
(not(fi) and gi and hi and ji) or (fi and not(gi) and not(hi) and not(ji));
disp6p <= (p31 and (ei or ii)) or (p22 and ei and ii);
disp6n <= (p13 and not(ei and ii)) or (p22 and not(ei) and not(ii));
disp4p <= fghjp31;
disp4n <= fghjp13;
code_err <= p40 or p04 or (fi and gi and hi and ji) or (not(fi) and not(gi) and not(hi) and not(ji)) or
(p13 and not(ei) and not(ii)) or (p31 and ei and ii) or
(ei and ii and fi and gi and hi) or (not(ei) and not(ii) and not(fi) and not(gi) and not(hi)) or
(ei and not(ii) and gi and hi and ji) or (not(ei) and ii and not(gi) and not(hi) and not(ji)) or
(not(p31) and ei and not(ii) and not(gi) and not(hi) and not(ji)) or
(not(p13) and not(ei) and ii and gi and hi and ji) or
(((ei and ii and not(gi) and not(hi) and not(ji)) or
(not(ei) and not(ii) and gi and hi and ji)) and
not((ci and di and ei) or (not(ci) and not(di) and not(ei)))) or
(disp6p and disp4p) or (disp6n and disp4n) or
(ai and bi and ci and not(ei) and not(ii) and ((not(fi) and not(gi)) or fghjp13)) or
(not(ai) and not(bi) and not(ci) and ei and ii and ((fi and gi) or fghjp31)) or
(fi and gi and not(hi) and not(ji) and disp6p) or
(not(fi) and not(gi) and hi and ji and disp6n) or
(ci and di and ei and ii and not(fi) and not(gi) and not(hi)) or
(not(ci) and not(di) and not(ei) and not(ii) and fi and gi and hi) ;
dataKOutRaw <= ko;
dataOutRaw(7) <= ho;
dataOutRaw(6) <= go;
dataOutRaw(5) <= fo;
dataOutRaw(4) <= eo;
dataOutRaw(3) <= do;
dataOutRaw(2) <= co;
dataOutRaw(1) <= bo;
dataOutRaw(0) <= ao;
-- my disp err fires for any legal codes that violate disparity, may fire for illegal codes
disp_err <= ((dispin and disp6p) or (disp6n and not(dispin)) or
(dispin and not(disp6n) and fi and gi) or
(dispin and ai and bi and ci) or
(dispin and not(disp6n) and disp4p) or
(not(dispin) and not(disp6p) and not(fi) and not(gi)) or
(not(dispin) and not(ai) and not(bi) and not(ci)) or
(not(dispin) and not(disp6p) and disp4n) or
(disp6p and disp4p) or (disp6n and disp4n)) ;
process(clk) begin
if rising_edge(clk) then
if rst = '1' then
dataOut <= (others => '0');
dataKOut <= '0';
dispOut <= '0';
codeErr <= '0';
dispErr <= '0';
elsif clkEn = '1' then
dataOut <= dataOutRaw;
dataKOut <= dataKOutRaw;
dispOut <= dispoutRaw;
codeErr <= code_err;
dispErr <= disp_err;
end if;
end if;
end process;
end rtl;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: rgmii
-- File: rgmii.vhd
-- Author: Fredrik Ringhage - Aeroflex Gaisler
-- Description: GMII to RGMII interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library gaisler;
use gaisler.net.all;
use gaisler.misc.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library eth;
use eth.grethpkg.all;
entity rgmii is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
tech : integer := 0;
gmii : integer := 0;
debugmem : integer := 0;
abits : integer := 8;
no_clk_mux : integer := 0;
pirq : integer := 0;
use90degtxclk : integer := 0
);
port (
rstn : in std_ulogic;
gmiii : out eth_in_type;
gmiio : in eth_out_type;
rgmiii : in eth_in_type;
rgmiio : out eth_out_type;
-- APB Status bus
apb_clk : in std_logic;
apb_rstn : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type
);
end ;
architecture rtl of rgmii is
constant REVISION : integer := 1;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_RGMII, 0, REVISION, pirq),
1 => apb_iobar(paddr, pmask));
type status_vector_type is array(1 downto 0) of std_logic_vector(15 downto 0);
type rgmiiregs is record
clk25_wrap : unsigned(5 downto 0);
clk25_first_edge : unsigned(5 downto 0);
clk25_second_edge : unsigned(5 downto 0);
clk2_5_wrap : unsigned(5 downto 0);
clk2_5_first_edge : unsigned(5 downto 0);
clk2_5_second_edge : unsigned(5 downto 0);
irq : std_logic_vector(15 downto 0); -- interrupt
mask : std_logic_vector(15 downto 0); -- interrupt enable
clkedge : std_logic_vector(23 downto 0);
rxctrl_q1_delay : std_logic_vector(1 downto 0);
rxctrl_q2_delay : std_logic_vector(1 downto 0);
rxctrl_q1_sel : std_logic;
rxctrl_delay : std_logic;
rxctrl_c_delay : std_logic;
status_vector : status_vector_type;
end record;
-- Global signal
signal vcc, gnd : std_ulogic;
signal tx_en, tx_ctl : std_ulogic;
signal txd : std_logic_vector(7 downto 0);
signal rxd, rxd_pre, rxd_int, rxd_int0, rxd_int1, rxd_int2,rxd_q1,rxd_q2 : std_logic_vector(7 downto 0);
signal rx_clk, nrx_clk : std_ulogic;
signal rx_dv, rx_dv_pre, rx_dv_int, rx_dv0 , rx_ctl, rx_ctl_pre, rx_ctl_int, rx_ctl0, rx_error : std_logic;
signal rx_dv_int0, rx_dv_int1, rx_dv_int2 : std_logic;
signal rx_ctl_int0, rx_ctl_int1, rx_ctl_int2 : std_logic;
signal clk25i, clk25ni, clk2_5i, clk2_5ni : std_ulogic;
signal txp, txn, txp_sync, txn_sync, tx_clk_ddr, tx_clk, tx_clki, ntx_clk : std_ulogic;
signal cnt2_5, cnt25 : unsigned(5 downto 0);
signal rsttxclkn,rsttxclk,rsttxclk90n,rsttxclk90 : std_logic;
-- RGMII Inband status signals
signal inbandopt,inbandreq : std_logic;
signal link_status : std_logic;
signal clock_speed : std_logic_vector(1 downto 0);
signal duplex_status : std_logic;
signal false_carrier_ind : std_logic;
signal carrier_ext : std_logic;
signal carrier_ext_error : std_logic;
signal carrier_sense : std_logic;
-- Status signals and Clock domain crossing
signal line_status_vector : std_logic_vector(3 downto 0);
signal status_vector : std_logic_vector(15 downto 0);
signal status_vector_sync : std_logic_vector(15 downto 0);
-- APB and RGMII control register
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
-- notech default settings
constant RES : rgmiiregs :=
( clk25_wrap => to_unsigned(4,6), clk25_first_edge => to_unsigned(1,6), clk25_second_edge => to_unsigned(2,6),
clk2_5_wrap => to_unsigned(49,6), clk2_5_first_edge => to_unsigned(23,6), clk2_5_second_edge => to_unsigned(24,6),
irq => (others => '0'), mask => (others => '0'), clkedge => "000000100011100000111000",
rxctrl_q1_delay => (others => '0'), rxctrl_q2_delay => (others => '0'), rxctrl_q1_sel => '0', rxctrl_delay => '0',
rxctrl_c_delay => '0', status_vector => (others => (others => '0')) );
-- Kintex7 settings for KC705 Dev Board
constant RES_kintex7 : rgmiiregs :=
( clk25_wrap => to_unsigned(4,6), clk25_first_edge => to_unsigned(1,6), clk25_second_edge => to_unsigned(2,6),
clk2_5_wrap => to_unsigned(49,6), clk2_5_first_edge => to_unsigned(23,6), clk2_5_second_edge => to_unsigned(24,6),
irq => (others => '0'), mask => (others => '0'), clkedge => "000000100011100000111000",
rxctrl_q1_delay => (others => '0'), rxctrl_q2_delay => (others => '0'), rxctrl_q1_sel => '1', rxctrl_delay => '0',
rxctrl_c_delay => '0', status_vector => (others => (others => '0')) );
-- Spartan6 settings for GR-XC6 Dev Board
constant RES_spartan6 : rgmiiregs :=
( clk25_wrap => to_unsigned(4,6), clk25_first_edge => to_unsigned(1,6), clk25_second_edge => to_unsigned(2,6),
clk2_5_wrap => to_unsigned(49,6), clk2_5_first_edge => to_unsigned(23,6), clk2_5_second_edge => to_unsigned(24,6),
irq => (others => '0'), mask => (others => '0'), clkedge => "000000100011100000111000",
rxctrl_q1_delay => (others => '0'), rxctrl_q2_delay => "01", rxctrl_q1_sel => '1', rxctrl_delay => '0',
rxctrl_c_delay => '0', status_vector => (others => (others => '0')) );
-- Artix7 settings for AC701 Dev Board
constant RES_artix7 : rgmiiregs :=
( clk25_wrap => to_unsigned(4,6), clk25_first_edge => to_unsigned(1,6), clk25_second_edge => to_unsigned(2,6),
clk2_5_wrap => to_unsigned(49,6), clk2_5_first_edge => to_unsigned(23,6), clk2_5_second_edge => to_unsigned(24,6),
irq => (others => '0'), mask => (others => '0'), clkedge => "000000100011100000111000",
rxctrl_q1_delay => (others => '0'), rxctrl_q2_delay => (others => '0'), rxctrl_q1_sel => '1', rxctrl_delay => '0',
rxctrl_c_delay => '1', status_vector => (others => (others => '0')) );
signal r, rin : rgmiiregs;
signal clk_tx_90_n : std_logic;
signal sync_gbit : std_logic;
signal sync_speed : std_logic;
signal cnt2_5_en, cnt25_en : std_logic;
signal clkedge_sync : std_logic_vector(23 downto 0);
signal sync_rxctrl_q1_delay : std_logic_vector(1 downto 0);
signal sync_rxctrl_q2_delay : std_logic_vector(1 downto 0);
signal sync_rxctrl_q1_sel : std_logic;
signal sync_rxctrl_delay : std_logic;
signal sync_rxctrl_c_delay : std_logic;
signal cnt_en : std_logic;
signal clk10_100 : std_logic;
signal clk25_wrap_sync : unsigned(5 downto 0);
signal clk25_first_edge_sync : unsigned(5 downto 0);
signal clk25_second_edge_sync : unsigned(5 downto 0);
signal clk2_5_wrap_sync : unsigned(5 downto 0);
signal clk2_5_first_edge_sync : unsigned(5 downto 0);
signal clk2_5_second_edge_sync : unsigned(5 downto 0);
-- debug signal
signal WMemRgmiioData : std_logic_vector(15 downto 0);
signal RMemRgmiioData : std_logic_vector(15 downto 0);
signal RMemRgmiioAddr : std_logic_vector(9 downto 0);
signal WMemRgmiioAddr : std_logic_vector(9 downto 0);
signal WMemRgmiioWrEn : std_logic;
signal WMemRgmiiiData : std_logic_vector(15 downto 0);
signal RMemRgmiiiData : std_logic_vector(15 downto 0);
signal RMemRgmiiiAddr : std_logic_vector(9 downto 0);
signal WMemRgmiiiAddr : std_logic_vector(9 downto 0);
signal WMemRgmiiiWrEn : std_logic;
signal RMemRgmiiiRead : std_logic;
signal RMemRgmiioRead : std_logic;
begin -- rtl
vcc <= '1'; gnd <= '0';
---------------------------------------------------------------------------------------
-- MDIO path
---------------------------------------------------------------------------------------
gmiii.mdint <= rgmiii.mdint;
gmiii.mdio_i <= rgmiii.mdio_i;
rgmiio.mdio_o <= gmiio.mdio_o;
rgmiio.mdio_oe <= gmiio.mdio_oe;
rgmiio.mdc <= gmiio.mdc;
---------------------------------------------------------------------------------------
-- TX path
---------------------------------------------------------------------------------------
useclkmux0 : if no_clk_mux = 0 generate
process (apb_clk)
begin -- process
if rising_edge(apb_clk) then
clk25i <= not clk25i;
if cnt2_5 = "001001" then cnt2_5 <= "000000"; clk2_5i <= not clk2_5i;
else cnt2_5 <= cnt2_5 + 1; end if;
if apb_rstn = '0' then clk25i <= '0'; clk2_5i <= '0'; cnt2_5 <= "000000"; end if;
end if;
end process;
notecclkmux : if (has_clkmux(tech) = 0) generate
tx_clki <= rgmiii.gtx_clk when ((gmii = 1) and (gmiio.gbit = '1')) else
clk25i when gmiio.speed = '1' else clk2_5i;
end generate;
tecclkmux : if (has_clkmux(tech) = 1) generate
-- Select 2.5 or 25 Mhz clockL
clkmux10_100 : clkmux generic map (tech => tech) port map (clk2_5i,clk25i,gmiio.speed,clk10_100);
clkmux1000 : clkmux generic map (tech => tech) port map (clk10_100,rgmiii.gtx_clk,gmiio.gbit,tx_clki);
end generate;
clkbuf0: techbuf generic map (buftype => 2, tech => tech)
port map (i => tx_clki, o => tx_clk);
end generate;
noclkmux0 : if no_clk_mux = 1 generate
-- Generate transmit clocks.
tx_clk <= rgmiii.gtx_clk;
-- CDC
syncreg7 : syncreg port map (tx_clk, gmiio.gbit , sync_gbit );
syncreg8 : syncreg port map (tx_clk, gmiio.speed, sync_speed );
syncreg_clkedge : for i in 0 to r.clkedge'length-1 generate
syncreg9 : syncreg port map (tx_clk, r.clkedge(i), clkedge_sync(i));
end generate;
syncreg_clk25_wrap_sync : for i in 0 to r.clk25_wrap'length-1 generate
syncreg_clk25_wrap_sync : syncreg port map (tx_clk, r.clk25_wrap(i), clk25_wrap_sync(i));
end generate;
syncreg_clk25_first_edge : for i in 0 to r.clk25_first_edge'length-1 generate
syncreg_clk25_first_edge : syncreg port map (tx_clk, r.clk25_first_edge(i), clk25_first_edge_sync(i));
end generate;
syncreg_clk25_second_edge : for i in 0 to r.clk25_second_edge'length-1 generate
syncreg_clk25_second_edge : syncreg port map (tx_clk, r.clk25_second_edge(i), clk25_second_edge_sync(i));
end generate;
syncreg_clk2_5_wrap_sync : for i in 0 to r.clk2_5_wrap'length-1 generate
syncreg_clk2_5_wrap_sync : syncreg port map (tx_clk, r.clk2_5_wrap(i), clk2_5_wrap_sync(i));
end generate;
syncreg_clk2_5_first_edge : for i in 0 to r.clk2_5_first_edge'length-1 generate
syncreg_clk2_5_first_edge : syncreg port map (tx_clk, r.clk2_5_first_edge(i), clk2_5_first_edge_sync(i));
end generate;
syncreg_clk2_5_second_edge : for i in 0 to r.clk2_5_second_edge'length-1 generate
syncreg_clk2_5_second_edge : syncreg port map (tx_clk, r.clk2_5_second_edge(i), clk2_5_second_edge_sync(i));
end generate;
process (tx_clk)
begin -- process
if rising_edge(tx_clk) then
if cnt25 >= clk25_wrap_sync then
cnt25 <= to_unsigned(0,cnt25'length);
cnt25_en <= '1';
else
cnt25_en <= '0';
cnt25 <= cnt25 + 1;
end if;
if (cnt25 >= clk25_wrap_sync) then
clk25ni <= clkedge_sync(0);
clk25i <= clkedge_sync(1);
elsif (cnt25 = clk25_first_edge_sync) then
clk25ni <= clkedge_sync(2);
clk25i <= clkedge_sync(3);
elsif (cnt25 = clk25_second_edge_sync) then
clk25ni <= clkedge_sync(4);
clk25i <= clkedge_sync(5);
end if;
if cnt2_5 >= clk2_5_wrap_sync then
cnt2_5 <= to_unsigned(0,cnt2_5'length);
cnt2_5_en <= '1';
else
cnt2_5 <= cnt2_5 + 1;
cnt2_5_en <= '0';
end if;
if (cnt2_5 >= clk2_5_wrap_sync) then
clk2_5ni <= clkedge_sync(8);
clk2_5i <= clkedge_sync(9);
elsif (cnt25 = clk2_5_first_edge_sync) then
clk2_5ni <= clkedge_sync(10);
clk2_5i <= clkedge_sync(11);
elsif (cnt2_5 = clk2_5_second_edge_sync) then
clk2_5ni <= clkedge_sync(12);
clk2_5i <= clkedge_sync(13);
end if;
if rsttxclkn = '0' then
cnt2_5_en <= '0'; cnt25_en <= '0'; clk25i <= '0'; clk25ni <= '0';
clk2_5i <= '0'; clk2_5ni <= '0'; cnt2_5 <= to_unsigned(0,cnt2_5'length);
cnt25 <= to_unsigned(0,cnt25'length);
end if;
end if;
end process;
end generate;
ntx_clk <= not tx_clk;
gmiii.gtx_clk <= tx_clk;
gmiii.tx_clk <= tx_clk;
noclkmux1 : if no_clk_mux = 1 generate
cnt_en <= '1' when ((gmii = 1) and (sync_gbit = '1')) else
cnt25_en when sync_speed = '1' else cnt2_5_en;
end generate;
useclkmux1 : if no_clk_mux = 0 generate
cnt_en <= '1';
end generate;
gmiii.tx_dv <= cnt_en when gmiio.tx_en = '1' else '1';
-- Generate RGMII control signal and check data rate
process (tx_clk)
begin -- process
if rising_edge(tx_clk) then
if (gmii = 1) and (sync_gbit = '1') then
txd(7 downto 0) <= gmiio.txd(7 downto 0);
else
txd(3 downto 0) <= gmiio.txd(3 downto 0);
txd(7 downto 4) <= gmiio.txd(3 downto 0);
end if;
tx_en <= gmiio.tx_en;
tx_ctl <= gmiio.tx_en xor gmiio.tx_er;
end if;
if (gmii = 1) and (sync_gbit = '1') then
txp <= clkedge_sync(17);
txn <= clkedge_sync(16);
else
if sync_speed = '1' then
txp <= clk25ni;
txn <= clk25i;
else
txp <= clk2_5ni;
txn <= clk2_5i;
end if;
end if;
end process;
clk_tx_rst : rstgen
generic map(syncin => 1, syncrst => 1)
port map(rstn, tx_clk, vcc, rsttxclkn, open);
rsttxclk <= not rsttxclkn;
-- DDR outputs
rgmii_txd : for i in 0 to 3 generate
ddr_oreg0 : ddr_oreg generic map (tech, arch => 1)
port map (q => rgmiio.txd(i), c1 => tx_clk, c2 => ntx_clk, ce => vcc,
d1 => txd(i), d2 => txd(i+4), r => rsttxclk, s => gnd);
end generate;
rgmii_tx_ctl : ddr_oreg generic map (tech, arch => 1)
port map (q => rgmiio.tx_en, c1 => tx_clk, c2 => ntx_clk, ce => vcc,
d1 => tx_en, d2 => tx_ctl, r => rsttxclk, s => gnd);
no_clk_mux1 : if no_clk_mux = 1 generate
use90degtxclk1 : if use90degtxclk = 1 generate
clk_tx90_rst : rstgen
generic map(syncin => 1, syncrst => 1)
port map(rstn, rgmiii.tx_clk_90, vcc, rsttxclk90n, open);
rsttxclk90 <= not rsttxclk90n;
clk_tx_90_n <= not rgmiii.tx_clk_90;
syncreg_txp : syncreg port map (rgmiii.tx_clk_90, txp, txp_sync);
syncreg_txn : syncreg port map (rgmiii.tx_clk_90, txn, txn_sync);
rgmii_tx_clk : ddr_oreg generic map (tech, arch => 1)
port map (q => tx_clk_ddr, c1 => rgmiii.tx_clk_90, c2 => clk_tx_90_n, ce => vcc,
d1 => txp_sync, d2 => txn_sync, r => rsttxclk90, s => gnd);
end generate;
use90degtxclk0 : if use90degtxclk = 0 generate
rgmii_tx_clk : ddr_oreg generic map (tech, arch => 1)
port map (q => tx_clk_ddr, c1 => tx_clk, c2 => ntx_clk, ce => vcc,
d1 => txp, d2 => txn, r => rsttxclk, s => gnd);
end generate;
end generate;
no_clk_mux0 : if no_clk_mux = 0 generate
rgmii_tx_clk : ddr_oreg generic map (tech, arch => 1)
port map (q => tx_clk_ddr, c1 => tx_clk, c2 => ntx_clk, ce => vcc,
d1 => '1', d2 => '0', r => rsttxclk, s => gnd);
end generate;
rgmiio.tx_er <= '0';
rgmiio.tx_clk <= tx_clk_ddr;
rgmiio.reset <= rstn;
rgmiio.gbit <= gmiio.gbit;
rgmiio.speed <= gmiio.speed when (gmii = 1) else '0';
-- Not used in RGMII mode
rgmiio.txd(7 downto 4) <= (others => '0');
---------------------------------------------------------------------------------------
-- RX path
---------------------------------------------------------------------------------------
-- CDC (RX Control signal)
syncreg_q1_delay : for i in 0 to r.rxctrl_q1_delay'length-1 generate
syncreg0 : syncreg port map (rx_clk, r.rxctrl_q1_delay(i), sync_rxctrl_q1_delay(i));
end generate;
syncreg_q2_delay : for i in 0 to r.rxctrl_q2_delay'length-1 generate
syncreg1 : syncreg port map (rx_clk, r.rxctrl_q2_delay(i) , sync_rxctrl_q2_delay(i));
end generate;
syncreg_q1_sel : syncreg port map (rx_clk, r.rxctrl_q1_sel, sync_rxctrl_q1_sel);
syncreg_delay_sel : syncreg port map (rx_clk, r.rxctrl_delay, sync_rxctrl_delay);
syncreg_delay_c_sel : syncreg port map (rx_clk, r.rxctrl_c_delay, sync_rxctrl_c_delay);
-- Rx Clocks
rx_clk <= rgmiii.rx_clk;
nrx_clk <= not rgmiii.rx_clk;
-- DDR inputs
rgmii_rxd : for i in 0 to 3 generate
ddr_ireg0 : ddr_ireg generic map (tech, arch => 1)
port map (q1 => rxd_pre(i), q2 => rxd_pre(i+4), c1 => rx_clk, c2 => nrx_clk,
ce => vcc, d => rgmiii.rxd(i), r => gnd, s => gnd);
process (rx_clk)
begin
if rising_edge(rx_clk) then
rxd_int <= rxd_pre;
rxd_int0(i) <= rxd_int(i);
rxd_int0(i+4) <= rxd_int(i+4);
rxd_int1(i) <= rxd_int0(i);
rxd_int1(i+4) <= rxd_int0(i+4);
rxd_int2(i) <= rxd_int1(i);
rxd_int2(i+4) <= rxd_int1(i+4);
end if;
end process;
end generate;
rgmii_rxd0 : for i in 0 to 3 generate
process (rx_clk)
begin
if (sync_rxctrl_q1_delay = "00") then
if (sync_rxctrl_delay = '1') then
rxd_q1(i) <= rxd_int(i+4);
else
rxd_q1(i) <= rxd_int(i);
end if;
elsif (sync_rxctrl_q1_delay = "01") then
rxd_q1(i) <= rxd_int0(i);
elsif (sync_rxctrl_q1_delay = "10") then
rxd_q1(i) <= rxd_int1(i);
else
rxd_q1(i) <= rxd_int2(i);
end if;
end process;
end generate;
rgmii_rxd1 : for i in 4 to 7 generate
process (rx_clk)
begin
if (sync_rxctrl_q2_delay = "00") then
if (sync_rxctrl_delay = '1') then
rxd_q2(i) <= rxd_int0(i-4);
else
rxd_q2(i) <= rxd_int(i);
end if;
elsif (sync_rxctrl_q2_delay = "01") then
rxd_q2(i) <= rxd_int0(i);
elsif (sync_rxctrl_q2_delay = "10") then
rxd_q2(i) <= rxd_int1(i);
else
rxd_q2(i) <= rxd_int2(i);
end if;
end process;
end generate;
rxd(3 downto 0) <= rxd_q1(3 downto 0) when (sync_rxctrl_q1_sel = '0') else rxd_q2(7 downto 4);
rxd(7 downto 4) <= rxd_q2(7 downto 4) when (sync_rxctrl_q1_sel = '0') else rxd_q1(3 downto 0);
ddr_dv0 : ddr_ireg generic map (tech, arch => 1)
port map (q1 => rx_dv_pre, q2 => rx_ctl_pre, c1 => rx_clk, c2 => nrx_clk,
ce => vcc, d => rgmiii.rx_dv, r => gnd, s => gnd);
process (rx_clk)
begin
if rising_edge(rx_clk) then
rx_ctl_int <= rx_ctl_pre;
rx_dv_int <= rx_dv_pre;
rx_ctl_int0 <= rx_ctl_int;
rx_ctl_int1 <= rx_ctl_int0;
rx_ctl_int2 <= rx_ctl_int1;
rx_dv_int0 <= rx_dv_int;
rx_dv_int1 <= rx_dv_int0;
rx_dv_int2 <= rx_dv_int2;
end if;
end process;
process (rx_clk)
begin
if (sync_rxctrl_q1_delay = "00") then
--rx_dv0 <= rx_dv_int;
if (sync_rxctrl_c_delay = '1') then
rx_dv0 <= rx_ctl_int;
else
rx_dv0 <= rx_dv_int;
end if;
elsif (sync_rxctrl_q1_delay = "01") then
rx_dv0 <= rx_dv_int0;
elsif (sync_rxctrl_q1_delay = "10") then
rx_dv0 <= rx_dv_int1;
else
rx_dv0 <= rx_dv_int2;
end if;
if (sync_rxctrl_q2_delay = "00") then
--rx_ctl0 <= rx_ctl_int;
if (sync_rxctrl_c_delay = '1') then
rx_ctl0 <= rx_dv_int0;
else
rx_ctl0 <= rx_ctl_int;
end if;
elsif (sync_rxctrl_q2_delay = "01") then
rx_ctl0 <= rx_ctl_int0;
elsif (sync_rxctrl_q2_delay = "10") then
rx_ctl0 <= rx_ctl_int1;
else
rx_ctl0 <= rx_ctl_int2;
end if;
end process;
rx_dv <= rx_dv0 when (sync_rxctrl_q1_sel = '0') else rx_ctl0;
rx_ctl <= rx_ctl0 when (sync_rxctrl_q1_sel = '0') else rx_dv0;
-- Decode GMII error signal
rx_error <= rx_dv xor rx_ctl;
-- Enable inband status registers during Interframe Gap
inbandopt <= not ( rx_dv or rx_error );
inbandreq <= rx_error and not rx_dv;
-- Sample RGMII inband information
process (rx_clk)
begin
if rising_edge(rx_clk) then
if (inbandopt = '1') then
link_status <= rxd(0);
clock_speed <= rxd(2 downto 1);
duplex_status <= rxd(3);
end if;
if (inbandreq = '1') then
if (rxd = x"0E") then false_carrier_ind <= '1'; else false_carrier_ind <= '0'; end if;
if (rxd = x"0F") then carrier_ext <= '1'; else carrier_ext <= '0'; end if;
if (rxd = x"1F") then carrier_ext_error <= '1'; else carrier_ext_error <= '0'; end if;
if (rxd = x"FF") then carrier_sense <= '1'; else carrier_sense <= '0'; end if;
end if;
end if;
end process;
-- GMII output
gmiii.rxd <= rxd;
gmiii.rx_dv <= rx_dv;
gmiii.rx_er <= rx_error;
gmiii.rx_clk <= rx_clk;
gmiii.rx_col <= '0';
gmiii.rx_crs <= rx_dv;
gmiii.rmii_clk <= '0';
gmiii.rx_en <= '1';
-- GMII output controlled via generics
gmiii.edclsepahb <= '0';
gmiii.edcldisable <= '0';
gmiii.phyrstaddr <= (others => '0');
gmiii.edcladdr <= (others => '0');
---------------------------------------------------------------------------------------
-- APB Section
---------------------------------------------------------------------------------------
apbo.pindex <= pindex;
apbo.pconfig <= pconfig;
-- Status Register
status_vector_sync(15) <= '1' when (no_clk_mux = 1) else '0';
status_vector_sync(14) <= '1' when (debugmem = 1 ) else '0';
status_vector_sync(13) <= '1' when (gmii = 1 ) else '0';
status_vector_sync(12 downto 10) <= (others => '0');
status_vector_sync(9) <= gmiio.gbit;
status_vector_sync(8) <= gmiio.speed;
status_vector_sync(7) <= carrier_sense;
status_vector_sync(6) <= carrier_ext_error;
status_vector_sync(5) <= carrier_ext;
status_vector_sync(4) <= false_carrier_ind;
status_vector_sync(3) <= duplex_status;
status_vector_sync(2) <= clock_speed(1);
status_vector_sync(1) <= clock_speed(0);
status_vector_sync(0) <= link_status;
-- CDC clock domain crossing
syncreg_status : for i in 0 to status_vector'length-1 generate
syncreg3 : syncreg port map (tx_clk, status_vector_sync(i), status_vector(i));
end generate;
rgmiiapb : process(apb_rstn, r, apbi, RMemRgmiiiData, RMemRgmiiiRead, RMemRgmiioRead, status_vector )
variable rdata : std_logic_vector(31 downto 0);
variable paddress : std_logic_vector(7 downto 2);
variable v : rgmiiregs;
begin
v := r;
paddress := (others => '0');
paddress(abits-1 downto 2) := apbi.paddr(abits-1 downto 2);
rdata := (others => '0');
v.status_vector(1) := r.status_vector(0);
v.status_vector(0) := status_vector;
-- read/write registers
if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then
case paddress(7 downto 2) is
when "000000" =>
rdata(15 downto 0) := r.status_vector(0);
when "000001" =>
rdata(15 downto 0) := r.irq;
v.irq := (others => '0'); -- Interrupt is clear on read
when "000010" =>
rdata(15 downto 0) := r.mask;
when "000011" =>
rdata(5 downto 0) := std_logic_vector(r.clk25_wrap);
when "000100" =>
rdata(5 downto 0) := std_logic_vector(r.clk25_first_edge);
when "000101" =>
rdata(5 downto 0) := std_logic_vector(r.clk25_second_edge);
when "000110" =>
rdata(5 downto 0) := std_logic_vector(r.clk2_5_wrap);
when "000111" =>
rdata(5 downto 0) := std_logic_vector(r.clk2_5_first_edge);
when "001000" =>
rdata(5 downto 0) := std_logic_vector(r.clk2_5_second_edge);
when "001001" =>
rdata(23 downto 0) := r.clkedge;
when "001010" =>
rdata(1 downto 0) := v.rxctrl_q2_delay;
when "001011" =>
rdata(1 downto 0) := v.rxctrl_q1_delay;
when "001100" =>
rdata(0) := v.rxctrl_q1_sel;
when "001101" =>
rdata(0) := v.rxctrl_delay;
when "001110" =>
rdata(0) := v.rxctrl_c_delay;
when others =>
null;
end case;
end if;
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case paddress(7 downto 2) is
when "000000" =>
null;
when "000001" =>
null;
when "000010" =>
v.mask := apbi.pwdata(15 downto 0);
when "000011" =>
v.clk25_wrap := unsigned(apbi.pwdata(5 downto 0));
when "000100" =>
v.clk25_first_edge := unsigned(apbi.pwdata(5 downto 0));
when "000101" =>
v.clk25_second_edge := unsigned(apbi.pwdata(5 downto 0));
when "000110" =>
v.clk2_5_wrap := unsigned(apbi.pwdata(5 downto 0));
when "000111" =>
v.clk2_5_first_edge := unsigned(apbi.pwdata(5 downto 0));
when "001000" =>
v.clk2_5_second_edge := unsigned(apbi.pwdata(5 downto 0));
when "001001" =>
v.clkedge := apbi.pwdata(23 downto 0);
when "001010" =>
v.rxctrl_q2_delay := apbi.pwdata(1 downto 0);
when "001011" =>
v.rxctrl_q1_delay := apbi.pwdata(1 downto 0);
when "001100" =>
v.rxctrl_q1_sel := apbi.pwdata(0);
when "001101" =>
v.rxctrl_delay := apbi.pwdata(0);
when "001110" =>
v.rxctrl_c_delay := apbi.pwdata(0);
when others =>
null;
end case;
end if;
-- Check interrupts
for i in 0 to r.status_vector'length-1 loop
if ((r.status_vector(0)(i) xor r.status_vector(1)(i)) and r.mask(i)) = '1' then
v.irq(i) := '1';
end if;
end loop;
-- reset operation
if (not RESET_ALL) and (apb_rstn = '0') then
if (tech = kintex7) then
v := RES_kintex7;
elsif (tech = spartan6) then
v := RES_spartan6;
elsif (tech = artix7) then
v := RES_artix7;
else
v := RES;
end if;
end if;
-- update registers
rin <= v;
-- drive outputs
if apbi.psel(pindex) = '0' then
apbo.prdata <= (others => '0');
elsif RMemRgmiiiRead = '1' then
apbo.prdata(31 downto 16) <= (others => '0');
apbo.prdata(15 downto 0) <= RMemRgmiiiData;
elsif RMemRgmiioRead = '1' then
apbo.prdata(31 downto 16) <= (others => '0');
apbo.prdata(15 downto 0) <= RMemRgmiioData;
else
apbo.prdata <= rdata;
end if;
apbo.pirq <= (others => '0');
apbo.pirq(pirq) <= orv(v.irq);
end process;
regs : process(apb_clk)
begin
if rising_edge(apb_clk) then
r <= rin;
if RESET_ALL and apb_rstn = '0' then
if (tech = kintex7) then
r <= RES_kintex7;
elsif (tech = spartan6) then
r <= RES_spartan6;
else
r <= RES;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------------------------
-- Debug Mem
---------------------------------------------------------------------------------------
debugmem1 : if (debugmem /= 0) generate
-- Write GMII IN data
process (tx_clk)
begin -- process
if rising_edge(tx_clk) then
WMemRgmiioData(15 downto 0) <= "000" & tx_en & "000" & tx_ctl & txd;
if (tx_en = '1') and ((WMemRgmiioAddr < "0111111110") or (WMemRgmiioAddr = "1111111111")) then
WMemRgmiioAddr <= WMemRgmiioAddr + 1;
WMemRgmiioWrEn <= '1';
else
if (tx_en = '0') then
WMemRgmiioAddr <= (others => '1');
else
WMemRgmiioAddr <= WMemRgmiioAddr;
end if;
WMemRgmiioWrEn <= '0';
end if;
end if;
end process;
-- Read
RMemRgmiioRead <= apbi.paddr(10) and apbi.psel(pindex);
RMemRgmiioAddr <= "00" & apbi.paddr(10-1 downto 2);
gmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map(
apb_clk, RMemRgmiioRead, RMemRgmiioAddr, RMemRgmiioData,
tx_clk, WMemRgmiioWrEn, WMemRgmiioAddr(10-1 downto 0), WMemRgmiioData);
-- Write GMII IN data
process (rx_clk)
begin -- process
if rising_edge(rx_clk) then
WMemRgmiiiData(15 downto 0) <= "000" & rx_dv & "000" & rx_ctl & rxd(7 downto 4) & rxd(3 downto 0);
if ((rx_dv = '1') or (rx_ctl = '1')) and ((WMemRgmiiiAddr < "0111111110") or (WMemRgmiiiAddr = "1111111111")) then
WMemRgmiiiAddr <= WMemRgmiiiAddr + 1;
WMemRgmiiiWrEn <= '1';
else
if (rx_dv = '0') then
WMemRgmiiiAddr <= (others => '1');
else
WMemRgmiiiAddr <= WMemRgmiiiAddr;
end if;
WMemRgmiiiWrEn <= '0';
end if;
end if;
end process;
-- Read
RMemRgmiiiRead <= apbi.paddr(11) and apbi.psel(pindex);
RMemRgmiiiAddr <= "00" & apbi.paddr(10-1 downto 2);
rgmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map(
apb_clk, RMemRgmiiiRead, RMemRgmiiiAddr, RMemRgmiiiData,
rx_clk, WMemRgmiiiWrEn, WMemRgmiiiAddr(10-1 downto 0), WMemRgmiiiData);
end generate;
-- pragma translate_off
bootmsg : report_version
generic map ("rgmii" & tost(pindex) &
": RGMII rev " & tost(REVISION) & ", irq " & tost(pirq));
-- pragma translate_on
end rtl;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity MemoryController is
Generic (
clock_frec : integer := 50 -- MHz
);
Port (
clk :in STD_LOGIC; -- 100MHz/50MHz
reset :in STD_LOGIC;
address_in :in STD_LOGIC_VECTOR (22 downto 0); -- RAM address
mem :in STD_LOGIC; -- if='1' Comienza la operacion
rw :in STD_LOGIC; -- if='0' => lectura if='1' => escritura
data_in :in STD_LOGIC_VECTOR (15 downto 0); -- data que va a ser escrita
data_out :out STD_LOGIC_VECTOR (15 downto 0); -- data que va a ser leida
read_ready_out :out STD_LOGIC; -- if='1' valida data_out
busy :out STD_LOGIC; -- if='1' RAM esta ocupada (mem no tiene efecto)
clock_out :out STD_LOGIC;
ADDRESS :out STD_LOGIC_VECTOR (22 downto 0);
ADV :out STD_LOGIC;
CRE :out STD_LOGIC;
CE :out STD_LOGIC;
OE :out STD_LOGIC;
WE :out STD_LOGIC;
LB :out STD_LOGIC;
UB :out STD_LOGIC;
DATA :inout STD_LOGIC_VECTOR (15 downto 0)
);
end entity MemoryController;
architecture Behavioral of MemoryController is
type state_t is (INIT, IDLE, WRITING, READING); --Estados en los que se encuentra el controlador
signal state : state_t := INIT;
constant clock_period_ns : integer := (1000/clock_frec); -- nanosegundos (50MHz => 20ns, 100MHz => 10ns)
constant init_period_us : integer := 151; -- microsegundos (151 us)
constant init_counter : integer := (init_period_us * 1000 / clock_period_ns); -- 151 microsegundos
constant timing_counter : integer := (80 / clock_period_ns); -- 80 nanosegundos (70ns)
signal counter : integer range 0 to init_counter := 0;
-- Controla la data de entrada a escribir en la RAM
signal writing_out : STD_LOGIC := '0';
signal address_aux : std_logic_vector(22 downto 0) := (others => '0');
signal data_in_aux : std_logic_vector(15 downto 0) := (others => '0');
begin
ADDRESS <= address_aux ;
--Estado y registro de datos
address_process: process (clk, reset)
begin
if reset = '1' then
address_aux <= (others => '0');
data_in_aux <= (others => '0');
elsif rising_edge(clk) then ---elsif (clk'event and clk = 'l') then
if mem = '1' then
address_aux <= address_in;
data_in_aux <= data_in;
end if;
end if;
end process;
--
clock_out <= '0'; -- El control opera asincronicamente
CRE <= '0'; -- El controlador usa la configuracion default
-- Señal auxiliar para poder inicializar correctamente
-- de modo que no quede indefinido su valor antes del primer mem
DATA <= data_in_aux when writing_out='1' else (others => 'Z');
--
-- Señales de control
busy <= '1' when (state = WRITING OR state = READING OR state = INIT) else '0';
ADV <= '1' when state = INIT else '0';
CE <= '0' when (state = WRITING OR state = READING) else '1'; --Habilita o deshabilita el chip
LB <= '0' when (state = WRITING OR state = READING) else '1'; --Habilita o deshabilita el lower byte del bus de datos
UB <= '0' when (state = WRITING OR state = READING) else '1'; --Habilita o deshabilita el upper byte del bus de datos
WE <= '0' when state = WRITING else '1'; --Habilita o deshabilita la operación de escritura
OE <= '0' when state = READING else '1'; --Habilita o deshabilita la salida
writing_out <= '1' when state = WRITING else '0';
-- FSM process
FSM: process (clk, reset)
begin
-- RESET
if reset = '1' then
state <= INIT;
elsif rising_edge(clk) then ---elsif (clk'event and clk = 'l') then
case state is
-- INIT
when INIT =>
read_ready_out <= '0';
data_out <= (others => '0');
if (counter >= init_counter) then
counter <= 0;
state <= IDLE;
else
counter <= counter + 1;
end if;
-- IDLE
when IDLE =>
read_ready_out <= '0';
data_out <= (others => '0');
if mem = '1' then -- Si esta habilitado el controlador, entonces entra en estado de lectura o escritura
if rw = '1' then
state <= WRITING;
else
state <= READING;
end if;
end if;
-- WRITING
when WRITING =>
if (counter >= timing_counter - 1) then
counter <= 0;
state <= IDLE;
else
counter <= counter + 1;
end if;
-- READING
when READING =>
-- En el último ciclo de la cuenta
if (counter = timing_counter - 2) then
data_out <= DATA;
counter <= counter + 1;
-- Adelanto el read_ready_out para leer en el 5to flanco
read_ready_out <= '1';
-- Cuando termina de contar
elsif (counter >= timing_counter - 1) then
counter <= 0;
state <= IDLE;
data_out <= DATA;
read_ready_out <= '0';
else
counter <= counter + 1;
end if;
when others =>
state <= IDLE;
end case;
end if;
end process; -- FSM
end;
|
------------------------------------------------------------------------------------------------------------------------
-- read controller of the fifo
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: A general implementation of a asynchronous fifo which is
-- using a dual port ram. This file is the read controler.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-22 V0.01 mairt first version
-- 2011-10-14 V0.02 zelenkaj element calculation buggy
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_read_ctrl is
generic(N: natural:=4);
port(
clkr, resetr: in std_logic;
w_ptr_in: in std_logic_vector(N downto 0);
rd: in std_logic;
r_empty: out std_logic;
r_full: out std_logic;
r_ptr_out: out std_logic_vector(N downto 0);
r_addr: out std_logic_vector(N-1 downto 0);
r_elements: out std_logic_vector(N-1 downto 0)
);
end fifo_read_ctrl;
architecture gray_arch of fifo_read_ctrl is
signal r_ptr_reg, r_ptr_next: std_logic_vector(N downto 0);
signal w_ptr_reg, w_ptr_next : std_logic_vector(N downto 0) := (others => '0');
signal gray1, bin, bin1: std_logic_vector(N downto 0);
signal raddr_all: std_logic_vector(N-1 downto 0);
signal raddr_msb,waddr_msb: std_logic;
signal empty_flag, full_flag: std_logic;
signal r_elements_wr, r_elements_rd, r_elements_diff : std_logic_vector(N downto 0);
signal r_elements_reg, r_elements_next : std_logic_vector(N-1 downto 0);
begin
-- register
process(clkr,resetr)
begin
if (resetr='1') then
r_ptr_reg <= (others=>'0');
--w_ptr_reg <= (others => '0');
r_elements_reg <= (others => '0');
elsif (clkr'event and clkr='1') then
r_ptr_reg <= r_ptr_next;
--w_ptr_reg <= w_ptr_next;
r_elements_reg <= r_elements_next;
end if;
end process;
-- (N+1)-bit Gray counter
bin <= r_ptr_reg xor ('0' & bin(N downto 1));
bin1 <= std_logic_vector(unsigned(bin) + 1);
gray1 <= bin1 xor ('0' & bin1(N downto 1));
-- update read pointer
r_ptr_next <= gray1 when rd='1' and empty_flag='0' else
r_ptr_reg;
-- save write pointer
w_ptr_next <= w_ptr_in;
-- N-bit Gray counter
raddr_msb <= r_ptr_reg(N) xor r_ptr_reg(N-1);
raddr_all <= raddr_msb & r_ptr_reg(N-2 downto 0);
waddr_msb <= w_ptr_in(N) xor w_ptr_in(N-1);
-- check for FIFO read empty
empty_flag <=
'1' when w_ptr_in(N)=r_ptr_reg(N) and
w_ptr_in(N-2 downto 0)=r_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- check for FIFO read full
full_flag <=
'1' when w_ptr_in(N)/=r_ptr_reg(N) and
w_ptr_in(N-2 downto 0)=r_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- convert gray value to bin and obtain difference
r_elements_wr <= bin;
r_elements_rd <= w_ptr_in xor ('0' & r_elements_rd(N downto 1));
r_elements_diff <= std_logic_vector(unsigned(r_elements_rd) - unsigned(r_elements_wr));
r_elements_next <= r_elements_diff(r_elements_next'range);
-- output
r_addr <= raddr_all;
r_ptr_out <= r_ptr_reg;
r_elements <= r_elements_reg;
r_empty <= empty_flag;
r_full <= full_flag;
end gray_arch; |
------------------------------------------------------------------------------------------------------------------------
-- read controller of the fifo
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: A general implementation of a asynchronous fifo which is
-- using a dual port ram. This file is the read controler.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-22 V0.01 mairt first version
-- 2011-10-14 V0.02 zelenkaj element calculation buggy
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_read_ctrl is
generic(N: natural:=4);
port(
clkr, resetr: in std_logic;
w_ptr_in: in std_logic_vector(N downto 0);
rd: in std_logic;
r_empty: out std_logic;
r_full: out std_logic;
r_ptr_out: out std_logic_vector(N downto 0);
r_addr: out std_logic_vector(N-1 downto 0);
r_elements: out std_logic_vector(N-1 downto 0)
);
end fifo_read_ctrl;
architecture gray_arch of fifo_read_ctrl is
signal r_ptr_reg, r_ptr_next: std_logic_vector(N downto 0);
signal w_ptr_reg, w_ptr_next : std_logic_vector(N downto 0) := (others => '0');
signal gray1, bin, bin1: std_logic_vector(N downto 0);
signal raddr_all: std_logic_vector(N-1 downto 0);
signal raddr_msb,waddr_msb: std_logic;
signal empty_flag, full_flag: std_logic;
signal r_elements_wr, r_elements_rd, r_elements_diff : std_logic_vector(N downto 0);
signal r_elements_reg, r_elements_next : std_logic_vector(N-1 downto 0);
begin
-- register
process(clkr,resetr)
begin
if (resetr='1') then
r_ptr_reg <= (others=>'0');
--w_ptr_reg <= (others => '0');
r_elements_reg <= (others => '0');
elsif (clkr'event and clkr='1') then
r_ptr_reg <= r_ptr_next;
--w_ptr_reg <= w_ptr_next;
r_elements_reg <= r_elements_next;
end if;
end process;
-- (N+1)-bit Gray counter
bin <= r_ptr_reg xor ('0' & bin(N downto 1));
bin1 <= std_logic_vector(unsigned(bin) + 1);
gray1 <= bin1 xor ('0' & bin1(N downto 1));
-- update read pointer
r_ptr_next <= gray1 when rd='1' and empty_flag='0' else
r_ptr_reg;
-- save write pointer
w_ptr_next <= w_ptr_in;
-- N-bit Gray counter
raddr_msb <= r_ptr_reg(N) xor r_ptr_reg(N-1);
raddr_all <= raddr_msb & r_ptr_reg(N-2 downto 0);
waddr_msb <= w_ptr_in(N) xor w_ptr_in(N-1);
-- check for FIFO read empty
empty_flag <=
'1' when w_ptr_in(N)=r_ptr_reg(N) and
w_ptr_in(N-2 downto 0)=r_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- check for FIFO read full
full_flag <=
'1' when w_ptr_in(N)/=r_ptr_reg(N) and
w_ptr_in(N-2 downto 0)=r_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- convert gray value to bin and obtain difference
r_elements_wr <= bin;
r_elements_rd <= w_ptr_in xor ('0' & r_elements_rd(N downto 1));
r_elements_diff <= std_logic_vector(unsigned(r_elements_rd) - unsigned(r_elements_wr));
r_elements_next <= r_elements_diff(r_elements_next'range);
-- output
r_addr <= raddr_all;
r_ptr_out <= r_ptr_reg;
r_elements <= r_elements_reg;
r_empty <= empty_flag;
r_full <= full_flag;
end gray_arch; |
----------------------------------------------------------------------------
-- This file is a part of the LEON VHDL model
-- Copyright (C) 1999 European Space Agency (ESA)
--
-- This library is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2 of the License, or (at your option) any later version.
--
-- See the file COPYING.LGPL for the full details of the license.
-----------------------------------------------------------------------------
-- Entity: fp
-- File: fp.vhd
-- Author: Jiri Gaisler - ESA/ESTEC
-- Description: Parallel floating-point and co-processor interface
-- The interface allows one execution unit
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.config.all;
use work.iface.all;
use work.sparcv8.all;
use work.tech_map.all;
use work.fpulib.all;
-- pragma translate_off
use STD.TEXTIO.all;
use work.debug.all;
-- pragma translate_on
entity fp1eu is
port (
rst : in std_logic; -- Reset
clk : in clkgen_out_type;
xholdn : in std_logic; -- pipeline hold
cpi : in cp_in_type;
cpo : out cp_out_type
);
end;
architecture rtl of fp1eu is
type cpins_type is (none, cpop, load, store);
type pl_ctrl is record -- pipeline control record
cpins : cpins_type; -- CP instruction
rreg1 : std_logic; -- using rs1
rreg2 : std_logic; -- using rs1
rs1d : std_logic; -- rs1 is double (64-bit)
rs2d : std_logic; -- rs2 is double (64-bit)
wreg : std_logic; -- write CP regfile
rdd : std_logic; -- rd is double (64-bit)
wrcc : std_logic; -- write CP condition codes
acsr : std_logic; -- access CP control register
end record;
type unit_status_type is (free, started, ready);
type unit_ctrl is record -- execution unit control record
status : unit_status_type; -- unit status
rs1 : std_logic_vector (4 downto 0); -- destination register
rs2 : std_logic_vector (4 downto 0); -- destination register
rd : std_logic_vector (4 downto 0); -- destination register
rreg1 : std_logic; -- using rs1
rreg2 : std_logic; -- using rs1
rs1d : std_logic; -- rs1 is double (64-bit)
rs2d : std_logic; -- rs2 is double (64-bit)
wreg : std_logic; -- will write CP regfile
rdd : std_logic; -- rd is double (64-bit)
wbok : std_logic; -- ok to write result
wrcc : std_logic; -- will write CP condition codes
rst : std_logic; -- reset register
pc : std_logic_vector (31 downto PCLOW); -- program counter
inst : std_logic_vector (31 downto 0); -- instruction
end record;
type csr_type is record -- CP status register
cc : std_logic_vector (1 downto 0); -- condition codes
aexc : std_logic_vector (4 downto 0); -- exception codes
cexc : std_logic_vector (4 downto 0); -- exception codes
tem : std_logic_vector (4 downto 0); -- trap enable mask
rd : std_logic_vector (1 downto 0); -- rounding mode
tt : std_logic_vector (2 downto 0); -- trap type
end record;
type execstate is (nominal, excpend, exception);
type reg_type is record -- registers clocked with pipeline
start : std_logic; -- start EU
end record;
type regx_type is record -- registers clocked continuously
res : std_logic_vector (63 downto 0); -- write stage result
waddr : std_logic_vector (3 downto 0); -- write stage dest
wren : std_logic_vector (1 downto 0); -- write stage regfile write enable
csr : csr_type; -- co-processor status register
start : std_logic; -- start EU
starty : std_logic; -- start EU
startx : std_logic; -- start EU
holdn : std_logic;
wbok : std_logic; -- ok to write result
state : execstate; -- FP/CP state
end record;
signal vcc, gnd, wb : std_logic;
signal rfi1, rfi2 : rf_cp_in_type;
signal rfo1, rfo2 : rf_cp_out_type;
signal ex, exin, me, mein, wr, wrin : pl_ctrl;
signal r, rin : reg_type;
signal rx, rxin : regx_type;
signal eui : cp_unit_in_type;
signal euo : cp_unit_out_type;
signal eu, euin : unit_ctrl;
function ldcheck (rdin : std_logic_vector; ldd : std_logic; eu : unit_ctrl)
return std_logic is
variable lock : std_logic;
variable rd : std_logic_vector(4 downto 0);
begin
lock := '0'; rd := rdin;
if (eu.status > free) then
if (eu.rdd = '0') then
if ((eu.wreg = '1') and (rd = eu.rd)) or
((eu.rreg1 = '1') and (rd = eu.rs1)) or
((eu.rreg2 = '1') and (rd = eu.rs2))
then lock := '1'; end if;
if (ldd = '1') then
if ((eu.wreg = '1') and ((rd(4 downto 1) & '1') = eu.rd)) or
((eu.rreg1 = '1') and ((rd(4 downto 1) & '1') = eu.rs1)) or
((eu.rreg2 = '1') and ((rd(4 downto 1) & '1') = eu.rs2))
then lock := '1'; end if;
end if;
else
if ((eu.wreg = '1') and (rd(4 downto 1) = eu.rd(4 downto 1))) or
((eu.rreg1 = '1') and (rd(4 downto 1) = eu.rs1(4 downto 1))) or
((eu.rreg2 = '1') and (rd(4 downto 1) = eu.rs2(4 downto 1)))
then lock := '1'; end if;
end if;
end if;
return(lock);
end;
function stcheck (rdin : std_logic_vector; std : std_logic; eu : unit_ctrl)
return std_logic is
variable lock : std_logic;
variable rd : std_logic_vector(4 downto 0);
begin
lock := '0'; rd := rdin;
if (eu.status > free) then
if (eu.rdd = '0') then
if ((eu.wreg = '1') and (rd = eu.rd)) then lock := '1'; end if;
if (std = '1') then
if ((eu.wreg = '1') and ((rd(4 downto 1) & '1') = eu.rd))
then lock := '1'; end if;
end if;
else
if ((eu.wreg = '1') and (rd(4 downto 1) = eu.rd(4 downto 1))) or
((eu.rreg1 = '1') and (rd(4 downto 1) = eu.rs1(4 downto 1))) or
((eu.rreg2 = '1') and (rd(4 downto 1) = eu.rs2(4 downto 1)))
then lock := '1'; end if;
end if;
end if;
return(lock);
end;
function srccheck (rsin : std_logic_vector; dbl : std_logic; eu : unit_ctrl)
return std_logic is
variable lock : std_logic;
variable rs : std_logic_vector(4 downto 0);
begin
lock := '0'; rs := rsin;
if (eu.wreg = '1') and (rs(4 downto 1) = eu.rd(4 downto 1)) then
if ((dbl or eu.rdd) = '1') or (rs(0) = eu.rd(0)) then lock := '1'; end if;
end if;
return(lock);
end;
begin
vcc <= '1'; gnd <= '1';
-- instruction decoding
pipeline : process(cpi, ex, me, wr, eu, euin, r, rx, rfi1, rfi2, rfo1, rfo2,
clk.holdn, xholdn,
euo, rst, wb)
variable op : std_logic_vector(1 downto 0);
variable op3 : std_logic_vector(5 downto 0);
variable opc : std_logic_vector(8 downto 0);
variable stdata : std_logic_vector(31 downto 0);
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable ctrl : pl_ctrl;
variable ldlock : std_logic;
variable wren : std_logic_vector(1 downto 0);
variable waddr : std_logic_vector(3 downto 0);
variable rtaddr : std_logic_vector(3 downto 0);
variable wrdata : std_logic_vector(63 downto 0);
variable rtdata : std_logic_vector(63 downto 0);
variable rv : reg_type;
variable rxv : regx_type;
variable euv : unit_ctrl;
variable euiv : cp_unit_in_type;
variable ddep : std_logic;
variable cpexc : std_logic;
variable fpill : std_logic;
variable ccv : std_logic;
variable qne : std_logic;
variable wbv : std_logic;
variable op1 : std_logic_vector (63 downto 0); -- operand1
variable op2 : std_logic_vector (63 downto 0); -- operand2
variable opcode : std_logic_vector (9 downto 0); -- FP opcode
begin
-------------------------------------------------------------
-- decode stage
-------------------------------------------------------------
op := cpi.dinst(31 downto 30);
op3 := cpi.dinst(24 downto 19);
opc := cpi.dinst(13 downto 5);
rs1 := cpi.dinst(18 downto 14);
rs2 := cpi.dinst(4 downto 0);
rd := cpi.dinst(29 downto 25);
rv := r; rxv := rx;
ctrl.cpins := none; ctrl.wreg := '0'; ctrl.rdd := '0';
ctrl.wrcc := '0'; ctrl.acsr := '0'; ldlock := '0';
ctrl.rreg1 := '0'; ctrl.rreg2 := '0';
ctrl.rs1d := '0'; ctrl.rs2d := '0'; fpill := '0';
stdata := (others => '-'); wren := "00"; cpexc := '0';
ccv := '0'; rv.start := '0'; rxv.wbok := '0';
rxv.start := '0';
euv := eu;
if eu.status /= free then qne := '1'; else qne := '0'; end if;
euiv.opcode := cpi.ex.inst(19) & cpi.ex.inst(13 downto 5);
euiv.start := '0'; euiv.load := '0';
euiv.flush := eu.rst or euin.rst;
wbv := '0';
euv.rst := not rst;
if (eu.status = started) and (euo.busy = '0') then
euv.status := ready;
end if;
if (eu.status > free) then ccv := ccv or eu.wrcc; end if;
-- decode CP instructions
case op is
when FMT3 =>
case op3 is
when FPOP1 =>
if rx.state = exception then rxv.state := excpend; rxv.csr.tt := "100";
elsif rx.state = nominal then
ctrl.cpins := cpop; ctrl.wreg := '1';
case opc is
when FMOVS | FABSS | FNEGS => ctrl.rreg2 := '1';
when FITOS | FSTOI => ctrl.rreg2 := '1';
when FITOD | FSTOD => ctrl.rreg2 := '1'; ctrl.rdd := '1';
when FDTOI | FDTOS => ctrl.rreg2 := '1'; ctrl.rs2d := '1';
when FSQRTS => ctrl.rreg2 := '1';
when FSQRTD => ctrl.rreg2 := '1'; ctrl.rs2d := '1'; ctrl.rdd := '1';
when FADDS | FSUBS | FMULS | FDIVS =>
ctrl.rreg1 := '1'; ctrl.rreg2 := '1';
when FADDD | FSUBD | FMULD | FDIVD =>
ctrl.rreg1 := '1'; ctrl.rreg2 := '1'; ctrl.rs1d := '1';
ctrl.rs2d := '1'; ctrl.rdd := '1';
when others => fpill := '1'; -- illegal instuction
end case;
end if;
when FPOP2 =>
if rx.state = exception then rxv.state := excpend; rxv.csr.tt := "100";
elsif rx.state = nominal then
ctrl.cpins := cpop; ctrl.wrcc := '1';
ctrl.rreg1 := '1'; ctrl.rreg2 := '1';
case opc is
when FCMPD | FCMPED =>
ctrl.rs1d := '1'; ctrl.rs2d := '1';
when others => fpill := '1'; -- illegal instuction
end case;
end if;
when others => null;
end case;
if (ex.cpins = load) and ((cpi.ex.annul or cpi.ex.trap) = '0') and
(ex.wreg = '1')
then
if (ctrl.rreg1 = '1') and
(rs1(4 downto 1) = cpi.ex.inst(29 downto 26)) and
(((ctrl.rs1d or ex.rdd) = '1') or (rs1(0) = cpi.ex.inst(25)))
then ldlock := '1'; end if;
if (ctrl.rreg2 = '1') and
(rs2(4 downto 1) = cpi.ex.inst(29 downto 26)) and
(((ctrl.rs2d or ex.rdd) = '1') or (rs2(0) = cpi.ex.inst(25)))
then ldlock := '1'; end if;
end if;
when LDST =>
case op3 is
when LDF | LDDF =>
if rx.state = exception then rxv.state := excpend; rxv.csr.tt := "100";
elsif rx.state = nominal then
ctrl.rdd := op3(1) and op3(0);
ctrl.cpins := load; ctrl.wreg := '1';
-- dst interlock
ldlock := ldlock or ldcheck(rd, ctrl.rdd, euin);
end if;
when STF | STDF =>
-- check for CP register dependencies
if (ex.cpins = load) and ((cpi.ex.annul or cpi.ex.trap) = '0') and
(cpi.ex.cnt = "00") and
((rd = cpi.ex.inst(29 downto 25)) or
((rd(4 downto 1) = cpi.ex.inst(29 downto 26)) and
(ex.rdd = '1')))
then ldlock := '1'; end if;
if rx.state = nominal then
ldlock := ldlock or stcheck(rd, (op3(1) and op3(0)), euin);
end if;
if (ldlock = '0') then ctrl.cpins := store; end if;
when STFSR | LDFSR =>
if (rx.state = exception) and (op3 = LDFSR) then
rxv.state := excpend; rxv.csr.tt := "100";
else
if (ex.cpins = load) and ((cpi.ex.annul or cpi.ex.trap) = '0') and
(cpi.ex.cnt = "00") and (op3 = STFSR) and (ex.acsr = '1')
then ldlock := '1'; end if;
if (rx.state = nominal) then
if (((cpi.ex.annul or cpi.ex.trap) = '0') and (ex.cpins = cpop))
or (eu.status > free)
then ldlock := '1'; end if;
end if;
end if;
if (ldlock = '0') then
ctrl.acsr := '1';
if op3 = STFSR then ctrl.cpins := store;
else ctrl.cpins := load; end if;
end if;
when STDFQ =>
if (rx.state = nominal) then
rxv.state := excpend; rxv.csr.tt := "100";
else ctrl.cpins := store; end if;
when others => null;
end case;
when others => null;
end case;
if ((cpi.flush or cpi.dtrap or cpi.dannul or ldlock) = '1') then
ctrl.cpins := none; ctrl.acsr := '0';
rxv.state := rx.state; rxv.csr.tt := rx.csr.tt;
end if;
if ((cpi.flush or cpi.dtrap or cpi.dannul) = '1') then
ldlock := '0';
end if;
-------------------------------------------------------------
-- execute stage
-------------------------------------------------------------
-- generate regfile addresses
if clk.holdn = '0' then
op := cpi.me.inst(31 downto 30);
rd := cpi.me.inst(29 downto 25);
op3 := cpi.me.inst(24 downto 19);
rs1 := cpi.me.inst(18 downto 14);
rs2 := cpi.me.inst(4 downto 0);
else
op := cpi.ex.inst(31 downto 30);
rd := cpi.ex.inst(29 downto 25);
op3 := cpi.ex.inst(24 downto 19);
rs1 := cpi.ex.inst(18 downto 14);
rs2 := cpi.ex.inst(4 downto 0);
end if;
if (op = LDST) and (op3(2) = '1') then rs1 := rd; end if;
rfi1.rd1addr(3 downto 0) <= rs1(4 downto 1); rfi1.rd2addr(3 downto 0) <= rs2(4 downto 1);
rfi2.rd1addr(3 downto 0) <= rs1(4 downto 1); rfi2.rd2addr(3 downto 0) <= rs2(4 downto 1);
rfi1.ren1 <= '1'; rfi1.ren2 <= '1'; rfi2.ren1 <= '1'; rfi2.ren2 <= '1';
cpo.ldlock <= ldlock;
op1 := rfo1.data1(31 downto 0) & rfo2.data1(31 downto 0);
op2 := rfo1.data2(31 downto 0) & rfo2.data2(31 downto 0);
-- generate store data
if (cpi.ex.inst(20 downto 19) = "10") then -- STDFQ
if (cpi.ex.cnt /= "10") then stdata := eu.pc(31 downto 2) & "00";
else stdata := eu.inst; end if;
elsif ((cpi.ex.inst(25) = '0') and (cpi.ex.cnt /= "10")) then -- STF/STDF
stdata := op1(63 downto 32);
else stdata := op1(31 downto 0); end if;
if (ex.cpins = store) and (ex.acsr = '1') then -- STFSR
stdata := rx.csr.rd & "00" & rx.csr.tem & "000" &
std_logic_vector(FPUVER) & rx.csr.tt & qne & '0' & rx.csr.cc &
rx.csr.aexc & rx.csr.cexc;
end if;
cpo.data <= stdata;
-- check if an execution unit is available
if (ex.cpins = cpop) and (clk.holdn = '1') and (cpi.ex.annul = '0') then
ccv := ccv or ex.wrcc;
if (eu.status = free) or ((eu.status = ready) and (wb = '1')) then
rxv.start := '1';
euiv.start := '1';
if cpi.flush = '0' then euv.status := started; end if;
euv.rd := cpi.ex.inst(29 downto 25);
euv.rs1 := cpi.ex.inst(18 downto 14);
euv.rs2 := cpi.ex.inst(4 downto 0);
euv.wreg := ex.wreg;
euv.rreg1 := ex.rreg1;
euv.rreg2 := ex.rreg2;
euv.rs1d := ex.rs1d;
euv.rs2d := ex.rs2d;
euv.rdd := ex.rdd;
euv.wrcc := ex.wrcc;
else rxv.holdn := '0'; rv.start := '1'; end if;
end if;
if cpi.flush = '1' then
rxv.start := '0'; euiv.start := '0';
end if;
-------------------------------------------------------------
-- memory stage
-------------------------------------------------------------
euiv.load := rx.start or rx.starty;
if (rx.holdn = '0') and (xholdn = '1') and (cpi.flush = '0') and
(euo.busy = '0')
then
euiv.start := not rx.startx;
euiv.opcode := cpi.me.inst(19) & cpi.me.inst(13 downto 5);
end if;
if (rx.holdn = '0') and ((eu.status <= free) or (wb = '1'))
then
euiv.load := rx.starty;
euiv.start := not (rx.starty or rx.startx);
euv.status := started;
euv.rs1 := cpi.me.inst(18 downto 14);
euv.rs2 := cpi.me.inst(4 downto 0);
euv.rd := cpi.me.inst(29 downto 25);
euv.wreg := me.wreg;
euv.rreg1 := me.rreg1;
euv.rreg2 := me.rreg2;
euv.rs1d := me.rs1d;
euv.rs2d := me.rs2d;
euv.rdd := me.rdd;
euv.wrcc := me.wrcc;
euiv.opcode := cpi.me.inst(19) & cpi.me.inst(13 downto 5);
rxv.holdn := '1';
end if;
euiv.start := euiv.start and not cpi.flush;
rxv.starty := euiv.start;
rxv.startx := (rx.startx or euiv.start) and (not clk.holdn) and not cpi.flush;
ccv := ccv or me.wrcc;
if (cpi.flush = '1') or (rx.state /= nominal) then rxv.holdn := '1'; end if;
if clk.holdn = '0' then rxv.wbok := rx.wbok; end if;
if (me.cpins = cpop) and (clk.holdn = '1') then
if ((cpi.flush and not eu.wbok) = '1') then euv.rst := '1';
else rxv.wbok := not cpi.me.annul; end if;
end if;
-- regfile bypass
if (rx.waddr = cpi.me.inst(18 downto 15)) then
if (rx.wren(0) = '1') then op1(63 downto 32) := rx.res(63 downto 32); end if;
if (rx.wren(1) = '1') then op1(31 downto 0) := rx.res(31 downto 0); end if;
end if;
if (rx.waddr = cpi.me.inst(4 downto 1)) then
if (rx.wren(0) = '1') then op2(63 downto 32) := rx.res(63 downto 32); end if;
if (rx.wren(1) = '1') then op2(31 downto 0) := rx.res(31 downto 0); end if;
end if;
-- optionally forward data from write stage
if rfi1.wren = '1' then
if cpi.me.inst(18 downto 15) = rfi1.wraddr(3 downto 0) then
op1(63 downto 32) := rfi1.wrdata(31 downto 0);
end if;
if cpi.me.inst(4 downto 1) = rfi1.wraddr(3 downto 0) then
op2(63 downto 32) := rfi1.wrdata(31 downto 0);
end if;
end if;
if rfi2.wren = '1' then
if cpi.me.inst(18 downto 15) = rfi2.wraddr(3 downto 0) then
op1(31 downto 0) := rfi2.wrdata(31 downto 0);
end if;
if cpi.me.inst(4 downto 1) = rfi2.wraddr(3 downto 0) then
op2(31 downto 0) := rfi2.wrdata(31 downto 0);
end if;
end if;
-- align single operands
if me.rs1d = '0' then
if cpi.me.inst(14) = '0' then op1 := op1(63 downto 32) & op1(63 downto 32);
else op1 := op1(31 downto 0) & op1(31 downto 0); end if;
end if;
if me.rs2d = '0' then
if cpi.me.inst(0) = '0' then op2 := op2(63 downto 32) & op2(63 downto 32);
else op2 := op2(31 downto 0) & op2(31 downto 0); end if;
end if;
-- drive EU operand inputs
euiv.op1 := op1; euiv.op2 := op2;
cpo.holdn <= rx.holdn;
-------------------------------------------------------------
-- write stage
-------------------------------------------------------------
wrdata := cpi.lddata & cpi.lddata;
if (cpi.wr.annul or cpi.flush) = '0' then
case wr.cpins is
when load =>
if (wr.wreg = '1') then
if cpi.wr.cnt = "00" then
wren(0) := not cpi.wr.inst(25);
wren(1) := cpi.wr.inst(25);
else wren(1) := '1'; end if;
end if;
if (wr.acsr and clk.holdn) = '1' then
rxv.csr.cexc := cpi.lddata(4 downto 0);
rxv.csr.aexc := cpi.lddata(9 downto 5);
rxv.csr.cc := cpi.lddata(11 downto 10);
rxv.csr.tem := cpi.lddata(27 downto 23);
rxv.csr.rd := cpi.lddata(31 downto 30);
end if;
when store =>
if wr.acsr = '1' then rxv.csr.tt := (others => '0'); end if;
if (cpi.wr.inst(20 downto 19) = "10") then -- STDFQ
if qne = '1'then
euv.status := free; euv.rst := '1'; euv.wbok := '0';
else
rxv.state := nominal;
end if;
end if;
when cpop =>
-- dont assign PC and inst until here in case previous cpop trapped
if clk.holdn = '1' then euv.wbok := rx.wbok; end if;
euv.inst := cpi.wr.inst;
euv.pc := cpi.wr.pc;
when others => null;
end case;
end if;
if (wr.cpins = cpop) and (clk.holdn = '1') and (eu.wbok = '0') and
((cpi.flush or cpi.wr.annul) = '1')
then
if rx.state = nominal then euv.status := free; end if;
euv.rst := '1'; euv.wbok := '0';
end if;
waddr := cpi.wr.inst(29 downto 26);
-------------------------------------------------------------
-- retire stage
-------------------------------------------------------------
rtaddr := eu.rd(4 downto 1);
if eu.rdd = '1' then rtdata := euo.res;
else
rtdata(63 downto 32) := euo.res(63) &
euo.res(59 downto 29);
rtdata(31 downto 0) := rtdata(63 downto 32);
end if;
wren := wren and (clk.holdn & clk.holdn);
if ((euo.exc(4 downto 0) and rx.csr.tem) /= "00000") or
(euo.exc(5) = '1')
then
cpexc := '1';
end if;
if (wren = "00") and (eu.status = ready) and (rx.state = nominal) and
((eu.wbok = '1') or ((cpi.flush = '0') and (rx.wbok = '1')))
then
waddr := rtaddr; wrdata := rtdata;
euv.wbok := '0';
if (clk.holdn = '0') then rxv.wbok := '0'; end if;
if cpexc = '0' then
if (eu.wreg) = '1' then
if (eu.rdd) = '1' then wren := "11";
else
wren(0) := not eu.rd(0);
wren(1) := eu.rd(0);
end if;
end if;
if eu.wrcc = '1' then
rxv.csr.cc := euo.cc;
end if;
rxv.csr.aexc := rx.csr.aexc or euo.exc(4 downto 0);
if euv.status = ready then
euv.status := free;
end if;
wbv := '1';
rxv.csr.cexc := euo.exc(4 downto 0);
else
rxv.state := excpend;
if (euo.exc(5) = '1') then rxv.csr.tt := "011";
else rxv.csr.tt := "001"; end if;
end if;
end if;
if cpi.exack = '1' then rxv.state := exception; end if;
if rxv.state = excpend then cpo.exc <= '1'; else cpo.exc <= '0'; end if;
cpo.ccv <= not ccv;
cpo.cc <= rx.csr.cc;
rxv.res := wrdata;
rxv.waddr := waddr;
rxv.wren := wren;
rfi1.wraddr(3 downto 0) <= waddr;
rfi2.wraddr(3 downto 0) <= waddr;
rfi1.wren <= wren(0);
rfi2.wren <= wren(1);
rfi1.wrdata(31 downto 0) <= wrdata(63 downto 32);
rfi2.wrdata(31 downto 0) <= wrdata(31 downto 0);
-- reset
if rst = '0' then
rxv.holdn := '1'; rv.start := '0';
rxv.state := nominal; rxv.csr.tt := (others => '0');
rxv.startx := '0'; euv.status := free; euv.wbok := '0';
end if;
euin <= euv;
eui <= euiv;
exin <= ctrl;
rin <= rv;
rxin <= rxv;
wb <= wbv;
end process;
-- registers
regs : process(clk)
variable pc : std_logic_vector(31 downto 0);
begin
if rising_edge(clk.clk) then
if clk.holdn = '1' then
ex <= exin; me <= ex; wr <= me; r <= rin;
end if;
rx <= rxin; eu <= euin;
-- pragma translate_off
if DEBUGFPU then
if (rfi1.wren = '1') then
print("0x" & tosth(cpi.wr.pc(31 downto 2) & "00") & ": %f" &
tostd(rfi1.wraddr(3 downto 0) & '0') &
" = " & tosth(rfi1.wrdata(31 downto 0)));
end if;
if (rfi2.wren = '1') then
print("0x" & tosth(cpi.wr.pc(31 downto 2) & "00") & ": %f" &
tostd(rfi1.wraddr(3 downto 0) & '1') &
" = " & tosth(rfi2.wrdata(31 downto 0)));
end if;
end if;
-- pragma translate_on
end if;
end process;
-- regfile
rf0: regfile_cp generic map (4, 32, 16)
port map (rst, clk.clk, rfi1, rfo1);
rf1: regfile_cp generic map (4, 32, 16)
port map (rst, clk.clk, rfi2, rfo2);
fpu0 : fpu port map (
ss_clock => clk.clk,
FpInst => eui.opcode,
FpOp => eui.start,
FpLd => eui.load,
Reset => eui.flush,
fprf_dout1 => eui.op1,
fprf_dout2 => eui.op2,
RoundingMode => rx.csr.rd,
FpBusy => euo.busy,
FracResult => euo.res(51 downto 0),
ExpResult => euo.res(62 downto 52),
SignResult => euo.res(63),
SNnotDB => open,
Excep => euo.exc,
ConditionCodes => euo.cc,
ss_scan_mode => gnd,
fp_ctl_scan_in => gnd,
fp_ctl_scan_out => open);
end;
|
----------------------------------------------------------------------------
-- This file is a part of the LEON VHDL model
-- Copyright (C) 1999 European Space Agency (ESA)
--
-- This library is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2 of the License, or (at your option) any later version.
--
-- See the file COPYING.LGPL for the full details of the license.
-----------------------------------------------------------------------------
-- Entity: fp
-- File: fp.vhd
-- Author: Jiri Gaisler - ESA/ESTEC
-- Description: Parallel floating-point and co-processor interface
-- The interface allows one execution unit
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.config.all;
use work.iface.all;
use work.sparcv8.all;
use work.tech_map.all;
use work.fpulib.all;
-- pragma translate_off
use STD.TEXTIO.all;
use work.debug.all;
-- pragma translate_on
entity fp1eu is
port (
rst : in std_logic; -- Reset
clk : in clkgen_out_type;
xholdn : in std_logic; -- pipeline hold
cpi : in cp_in_type;
cpo : out cp_out_type
);
end;
architecture rtl of fp1eu is
type cpins_type is (none, cpop, load, store);
type pl_ctrl is record -- pipeline control record
cpins : cpins_type; -- CP instruction
rreg1 : std_logic; -- using rs1
rreg2 : std_logic; -- using rs1
rs1d : std_logic; -- rs1 is double (64-bit)
rs2d : std_logic; -- rs2 is double (64-bit)
wreg : std_logic; -- write CP regfile
rdd : std_logic; -- rd is double (64-bit)
wrcc : std_logic; -- write CP condition codes
acsr : std_logic; -- access CP control register
end record;
type unit_status_type is (free, started, ready);
type unit_ctrl is record -- execution unit control record
status : unit_status_type; -- unit status
rs1 : std_logic_vector (4 downto 0); -- destination register
rs2 : std_logic_vector (4 downto 0); -- destination register
rd : std_logic_vector (4 downto 0); -- destination register
rreg1 : std_logic; -- using rs1
rreg2 : std_logic; -- using rs1
rs1d : std_logic; -- rs1 is double (64-bit)
rs2d : std_logic; -- rs2 is double (64-bit)
wreg : std_logic; -- will write CP regfile
rdd : std_logic; -- rd is double (64-bit)
wbok : std_logic; -- ok to write result
wrcc : std_logic; -- will write CP condition codes
rst : std_logic; -- reset register
pc : std_logic_vector (31 downto PCLOW); -- program counter
inst : std_logic_vector (31 downto 0); -- instruction
end record;
type csr_type is record -- CP status register
cc : std_logic_vector (1 downto 0); -- condition codes
aexc : std_logic_vector (4 downto 0); -- exception codes
cexc : std_logic_vector (4 downto 0); -- exception codes
tem : std_logic_vector (4 downto 0); -- trap enable mask
rd : std_logic_vector (1 downto 0); -- rounding mode
tt : std_logic_vector (2 downto 0); -- trap type
end record;
type execstate is (nominal, excpend, exception);
type reg_type is record -- registers clocked with pipeline
start : std_logic; -- start EU
end record;
type regx_type is record -- registers clocked continuously
res : std_logic_vector (63 downto 0); -- write stage result
waddr : std_logic_vector (3 downto 0); -- write stage dest
wren : std_logic_vector (1 downto 0); -- write stage regfile write enable
csr : csr_type; -- co-processor status register
start : std_logic; -- start EU
starty : std_logic; -- start EU
startx : std_logic; -- start EU
holdn : std_logic;
wbok : std_logic; -- ok to write result
state : execstate; -- FP/CP state
end record;
signal vcc, gnd, wb : std_logic;
signal rfi1, rfi2 : rf_cp_in_type;
signal rfo1, rfo2 : rf_cp_out_type;
signal ex, exin, me, mein, wr, wrin : pl_ctrl;
signal r, rin : reg_type;
signal rx, rxin : regx_type;
signal eui : cp_unit_in_type;
signal euo : cp_unit_out_type;
signal eu, euin : unit_ctrl;
function ldcheck (rdin : std_logic_vector; ldd : std_logic; eu : unit_ctrl)
return std_logic is
variable lock : std_logic;
variable rd : std_logic_vector(4 downto 0);
begin
lock := '0'; rd := rdin;
if (eu.status > free) then
if (eu.rdd = '0') then
if ((eu.wreg = '1') and (rd = eu.rd)) or
((eu.rreg1 = '1') and (rd = eu.rs1)) or
((eu.rreg2 = '1') and (rd = eu.rs2))
then lock := '1'; end if;
if (ldd = '1') then
if ((eu.wreg = '1') and ((rd(4 downto 1) & '1') = eu.rd)) or
((eu.rreg1 = '1') and ((rd(4 downto 1) & '1') = eu.rs1)) or
((eu.rreg2 = '1') and ((rd(4 downto 1) & '1') = eu.rs2))
then lock := '1'; end if;
end if;
else
if ((eu.wreg = '1') and (rd(4 downto 1) = eu.rd(4 downto 1))) or
((eu.rreg1 = '1') and (rd(4 downto 1) = eu.rs1(4 downto 1))) or
((eu.rreg2 = '1') and (rd(4 downto 1) = eu.rs2(4 downto 1)))
then lock := '1'; end if;
end if;
end if;
return(lock);
end;
function stcheck (rdin : std_logic_vector; std : std_logic; eu : unit_ctrl)
return std_logic is
variable lock : std_logic;
variable rd : std_logic_vector(4 downto 0);
begin
lock := '0'; rd := rdin;
if (eu.status > free) then
if (eu.rdd = '0') then
if ((eu.wreg = '1') and (rd = eu.rd)) then lock := '1'; end if;
if (std = '1') then
if ((eu.wreg = '1') and ((rd(4 downto 1) & '1') = eu.rd))
then lock := '1'; end if;
end if;
else
if ((eu.wreg = '1') and (rd(4 downto 1) = eu.rd(4 downto 1))) or
((eu.rreg1 = '1') and (rd(4 downto 1) = eu.rs1(4 downto 1))) or
((eu.rreg2 = '1') and (rd(4 downto 1) = eu.rs2(4 downto 1)))
then lock := '1'; end if;
end if;
end if;
return(lock);
end;
function srccheck (rsin : std_logic_vector; dbl : std_logic; eu : unit_ctrl)
return std_logic is
variable lock : std_logic;
variable rs : std_logic_vector(4 downto 0);
begin
lock := '0'; rs := rsin;
if (eu.wreg = '1') and (rs(4 downto 1) = eu.rd(4 downto 1)) then
if ((dbl or eu.rdd) = '1') or (rs(0) = eu.rd(0)) then lock := '1'; end if;
end if;
return(lock);
end;
begin
vcc <= '1'; gnd <= '1';
-- instruction decoding
pipeline : process(cpi, ex, me, wr, eu, euin, r, rx, rfi1, rfi2, rfo1, rfo2,
clk.holdn, xholdn,
euo, rst, wb)
variable op : std_logic_vector(1 downto 0);
variable op3 : std_logic_vector(5 downto 0);
variable opc : std_logic_vector(8 downto 0);
variable stdata : std_logic_vector(31 downto 0);
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable ctrl : pl_ctrl;
variable ldlock : std_logic;
variable wren : std_logic_vector(1 downto 0);
variable waddr : std_logic_vector(3 downto 0);
variable rtaddr : std_logic_vector(3 downto 0);
variable wrdata : std_logic_vector(63 downto 0);
variable rtdata : std_logic_vector(63 downto 0);
variable rv : reg_type;
variable rxv : regx_type;
variable euv : unit_ctrl;
variable euiv : cp_unit_in_type;
variable ddep : std_logic;
variable cpexc : std_logic;
variable fpill : std_logic;
variable ccv : std_logic;
variable qne : std_logic;
variable wbv : std_logic;
variable op1 : std_logic_vector (63 downto 0); -- operand1
variable op2 : std_logic_vector (63 downto 0); -- operand2
variable opcode : std_logic_vector (9 downto 0); -- FP opcode
begin
-------------------------------------------------------------
-- decode stage
-------------------------------------------------------------
op := cpi.dinst(31 downto 30);
op3 := cpi.dinst(24 downto 19);
opc := cpi.dinst(13 downto 5);
rs1 := cpi.dinst(18 downto 14);
rs2 := cpi.dinst(4 downto 0);
rd := cpi.dinst(29 downto 25);
rv := r; rxv := rx;
ctrl.cpins := none; ctrl.wreg := '0'; ctrl.rdd := '0';
ctrl.wrcc := '0'; ctrl.acsr := '0'; ldlock := '0';
ctrl.rreg1 := '0'; ctrl.rreg2 := '0';
ctrl.rs1d := '0'; ctrl.rs2d := '0'; fpill := '0';
stdata := (others => '-'); wren := "00"; cpexc := '0';
ccv := '0'; rv.start := '0'; rxv.wbok := '0';
rxv.start := '0';
euv := eu;
if eu.status /= free then qne := '1'; else qne := '0'; end if;
euiv.opcode := cpi.ex.inst(19) & cpi.ex.inst(13 downto 5);
euiv.start := '0'; euiv.load := '0';
euiv.flush := eu.rst or euin.rst;
wbv := '0';
euv.rst := not rst;
if (eu.status = started) and (euo.busy = '0') then
euv.status := ready;
end if;
if (eu.status > free) then ccv := ccv or eu.wrcc; end if;
-- decode CP instructions
case op is
when FMT3 =>
case op3 is
when FPOP1 =>
if rx.state = exception then rxv.state := excpend; rxv.csr.tt := "100";
elsif rx.state = nominal then
ctrl.cpins := cpop; ctrl.wreg := '1';
case opc is
when FMOVS | FABSS | FNEGS => ctrl.rreg2 := '1';
when FITOS | FSTOI => ctrl.rreg2 := '1';
when FITOD | FSTOD => ctrl.rreg2 := '1'; ctrl.rdd := '1';
when FDTOI | FDTOS => ctrl.rreg2 := '1'; ctrl.rs2d := '1';
when FSQRTS => ctrl.rreg2 := '1';
when FSQRTD => ctrl.rreg2 := '1'; ctrl.rs2d := '1'; ctrl.rdd := '1';
when FADDS | FSUBS | FMULS | FDIVS =>
ctrl.rreg1 := '1'; ctrl.rreg2 := '1';
when FADDD | FSUBD | FMULD | FDIVD =>
ctrl.rreg1 := '1'; ctrl.rreg2 := '1'; ctrl.rs1d := '1';
ctrl.rs2d := '1'; ctrl.rdd := '1';
when others => fpill := '1'; -- illegal instuction
end case;
end if;
when FPOP2 =>
if rx.state = exception then rxv.state := excpend; rxv.csr.tt := "100";
elsif rx.state = nominal then
ctrl.cpins := cpop; ctrl.wrcc := '1';
ctrl.rreg1 := '1'; ctrl.rreg2 := '1';
case opc is
when FCMPD | FCMPED =>
ctrl.rs1d := '1'; ctrl.rs2d := '1';
when others => fpill := '1'; -- illegal instuction
end case;
end if;
when others => null;
end case;
if (ex.cpins = load) and ((cpi.ex.annul or cpi.ex.trap) = '0') and
(ex.wreg = '1')
then
if (ctrl.rreg1 = '1') and
(rs1(4 downto 1) = cpi.ex.inst(29 downto 26)) and
(((ctrl.rs1d or ex.rdd) = '1') or (rs1(0) = cpi.ex.inst(25)))
then ldlock := '1'; end if;
if (ctrl.rreg2 = '1') and
(rs2(4 downto 1) = cpi.ex.inst(29 downto 26)) and
(((ctrl.rs2d or ex.rdd) = '1') or (rs2(0) = cpi.ex.inst(25)))
then ldlock := '1'; end if;
end if;
when LDST =>
case op3 is
when LDF | LDDF =>
if rx.state = exception then rxv.state := excpend; rxv.csr.tt := "100";
elsif rx.state = nominal then
ctrl.rdd := op3(1) and op3(0);
ctrl.cpins := load; ctrl.wreg := '1';
-- dst interlock
ldlock := ldlock or ldcheck(rd, ctrl.rdd, euin);
end if;
when STF | STDF =>
-- check for CP register dependencies
if (ex.cpins = load) and ((cpi.ex.annul or cpi.ex.trap) = '0') and
(cpi.ex.cnt = "00") and
((rd = cpi.ex.inst(29 downto 25)) or
((rd(4 downto 1) = cpi.ex.inst(29 downto 26)) and
(ex.rdd = '1')))
then ldlock := '1'; end if;
if rx.state = nominal then
ldlock := ldlock or stcheck(rd, (op3(1) and op3(0)), euin);
end if;
if (ldlock = '0') then ctrl.cpins := store; end if;
when STFSR | LDFSR =>
if (rx.state = exception) and (op3 = LDFSR) then
rxv.state := excpend; rxv.csr.tt := "100";
else
if (ex.cpins = load) and ((cpi.ex.annul or cpi.ex.trap) = '0') and
(cpi.ex.cnt = "00") and (op3 = STFSR) and (ex.acsr = '1')
then ldlock := '1'; end if;
if (rx.state = nominal) then
if (((cpi.ex.annul or cpi.ex.trap) = '0') and (ex.cpins = cpop))
or (eu.status > free)
then ldlock := '1'; end if;
end if;
end if;
if (ldlock = '0') then
ctrl.acsr := '1';
if op3 = STFSR then ctrl.cpins := store;
else ctrl.cpins := load; end if;
end if;
when STDFQ =>
if (rx.state = nominal) then
rxv.state := excpend; rxv.csr.tt := "100";
else ctrl.cpins := store; end if;
when others => null;
end case;
when others => null;
end case;
if ((cpi.flush or cpi.dtrap or cpi.dannul or ldlock) = '1') then
ctrl.cpins := none; ctrl.acsr := '0';
rxv.state := rx.state; rxv.csr.tt := rx.csr.tt;
end if;
if ((cpi.flush or cpi.dtrap or cpi.dannul) = '1') then
ldlock := '0';
end if;
-------------------------------------------------------------
-- execute stage
-------------------------------------------------------------
-- generate regfile addresses
if clk.holdn = '0' then
op := cpi.me.inst(31 downto 30);
rd := cpi.me.inst(29 downto 25);
op3 := cpi.me.inst(24 downto 19);
rs1 := cpi.me.inst(18 downto 14);
rs2 := cpi.me.inst(4 downto 0);
else
op := cpi.ex.inst(31 downto 30);
rd := cpi.ex.inst(29 downto 25);
op3 := cpi.ex.inst(24 downto 19);
rs1 := cpi.ex.inst(18 downto 14);
rs2 := cpi.ex.inst(4 downto 0);
end if;
if (op = LDST) and (op3(2) = '1') then rs1 := rd; end if;
rfi1.rd1addr(3 downto 0) <= rs1(4 downto 1); rfi1.rd2addr(3 downto 0) <= rs2(4 downto 1);
rfi2.rd1addr(3 downto 0) <= rs1(4 downto 1); rfi2.rd2addr(3 downto 0) <= rs2(4 downto 1);
rfi1.ren1 <= '1'; rfi1.ren2 <= '1'; rfi2.ren1 <= '1'; rfi2.ren2 <= '1';
cpo.ldlock <= ldlock;
op1 := rfo1.data1(31 downto 0) & rfo2.data1(31 downto 0);
op2 := rfo1.data2(31 downto 0) & rfo2.data2(31 downto 0);
-- generate store data
if (cpi.ex.inst(20 downto 19) = "10") then -- STDFQ
if (cpi.ex.cnt /= "10") then stdata := eu.pc(31 downto 2) & "00";
else stdata := eu.inst; end if;
elsif ((cpi.ex.inst(25) = '0') and (cpi.ex.cnt /= "10")) then -- STF/STDF
stdata := op1(63 downto 32);
else stdata := op1(31 downto 0); end if;
if (ex.cpins = store) and (ex.acsr = '1') then -- STFSR
stdata := rx.csr.rd & "00" & rx.csr.tem & "000" &
std_logic_vector(FPUVER) & rx.csr.tt & qne & '0' & rx.csr.cc &
rx.csr.aexc & rx.csr.cexc;
end if;
cpo.data <= stdata;
-- check if an execution unit is available
if (ex.cpins = cpop) and (clk.holdn = '1') and (cpi.ex.annul = '0') then
ccv := ccv or ex.wrcc;
if (eu.status = free) or ((eu.status = ready) and (wb = '1')) then
rxv.start := '1';
euiv.start := '1';
if cpi.flush = '0' then euv.status := started; end if;
euv.rd := cpi.ex.inst(29 downto 25);
euv.rs1 := cpi.ex.inst(18 downto 14);
euv.rs2 := cpi.ex.inst(4 downto 0);
euv.wreg := ex.wreg;
euv.rreg1 := ex.rreg1;
euv.rreg2 := ex.rreg2;
euv.rs1d := ex.rs1d;
euv.rs2d := ex.rs2d;
euv.rdd := ex.rdd;
euv.wrcc := ex.wrcc;
else rxv.holdn := '0'; rv.start := '1'; end if;
end if;
if cpi.flush = '1' then
rxv.start := '0'; euiv.start := '0';
end if;
-------------------------------------------------------------
-- memory stage
-------------------------------------------------------------
euiv.load := rx.start or rx.starty;
if (rx.holdn = '0') and (xholdn = '1') and (cpi.flush = '0') and
(euo.busy = '0')
then
euiv.start := not rx.startx;
euiv.opcode := cpi.me.inst(19) & cpi.me.inst(13 downto 5);
end if;
if (rx.holdn = '0') and ((eu.status <= free) or (wb = '1'))
then
euiv.load := rx.starty;
euiv.start := not (rx.starty or rx.startx);
euv.status := started;
euv.rs1 := cpi.me.inst(18 downto 14);
euv.rs2 := cpi.me.inst(4 downto 0);
euv.rd := cpi.me.inst(29 downto 25);
euv.wreg := me.wreg;
euv.rreg1 := me.rreg1;
euv.rreg2 := me.rreg2;
euv.rs1d := me.rs1d;
euv.rs2d := me.rs2d;
euv.rdd := me.rdd;
euv.wrcc := me.wrcc;
euiv.opcode := cpi.me.inst(19) & cpi.me.inst(13 downto 5);
rxv.holdn := '1';
end if;
euiv.start := euiv.start and not cpi.flush;
rxv.starty := euiv.start;
rxv.startx := (rx.startx or euiv.start) and (not clk.holdn) and not cpi.flush;
ccv := ccv or me.wrcc;
if (cpi.flush = '1') or (rx.state /= nominal) then rxv.holdn := '1'; end if;
if clk.holdn = '0' then rxv.wbok := rx.wbok; end if;
if (me.cpins = cpop) and (clk.holdn = '1') then
if ((cpi.flush and not eu.wbok) = '1') then euv.rst := '1';
else rxv.wbok := not cpi.me.annul; end if;
end if;
-- regfile bypass
if (rx.waddr = cpi.me.inst(18 downto 15)) then
if (rx.wren(0) = '1') then op1(63 downto 32) := rx.res(63 downto 32); end if;
if (rx.wren(1) = '1') then op1(31 downto 0) := rx.res(31 downto 0); end if;
end if;
if (rx.waddr = cpi.me.inst(4 downto 1)) then
if (rx.wren(0) = '1') then op2(63 downto 32) := rx.res(63 downto 32); end if;
if (rx.wren(1) = '1') then op2(31 downto 0) := rx.res(31 downto 0); end if;
end if;
-- optionally forward data from write stage
if rfi1.wren = '1' then
if cpi.me.inst(18 downto 15) = rfi1.wraddr(3 downto 0) then
op1(63 downto 32) := rfi1.wrdata(31 downto 0);
end if;
if cpi.me.inst(4 downto 1) = rfi1.wraddr(3 downto 0) then
op2(63 downto 32) := rfi1.wrdata(31 downto 0);
end if;
end if;
if rfi2.wren = '1' then
if cpi.me.inst(18 downto 15) = rfi2.wraddr(3 downto 0) then
op1(31 downto 0) := rfi2.wrdata(31 downto 0);
end if;
if cpi.me.inst(4 downto 1) = rfi2.wraddr(3 downto 0) then
op2(31 downto 0) := rfi2.wrdata(31 downto 0);
end if;
end if;
-- align single operands
if me.rs1d = '0' then
if cpi.me.inst(14) = '0' then op1 := op1(63 downto 32) & op1(63 downto 32);
else op1 := op1(31 downto 0) & op1(31 downto 0); end if;
end if;
if me.rs2d = '0' then
if cpi.me.inst(0) = '0' then op2 := op2(63 downto 32) & op2(63 downto 32);
else op2 := op2(31 downto 0) & op2(31 downto 0); end if;
end if;
-- drive EU operand inputs
euiv.op1 := op1; euiv.op2 := op2;
cpo.holdn <= rx.holdn;
-------------------------------------------------------------
-- write stage
-------------------------------------------------------------
wrdata := cpi.lddata & cpi.lddata;
if (cpi.wr.annul or cpi.flush) = '0' then
case wr.cpins is
when load =>
if (wr.wreg = '1') then
if cpi.wr.cnt = "00" then
wren(0) := not cpi.wr.inst(25);
wren(1) := cpi.wr.inst(25);
else wren(1) := '1'; end if;
end if;
if (wr.acsr and clk.holdn) = '1' then
rxv.csr.cexc := cpi.lddata(4 downto 0);
rxv.csr.aexc := cpi.lddata(9 downto 5);
rxv.csr.cc := cpi.lddata(11 downto 10);
rxv.csr.tem := cpi.lddata(27 downto 23);
rxv.csr.rd := cpi.lddata(31 downto 30);
end if;
when store =>
if wr.acsr = '1' then rxv.csr.tt := (others => '0'); end if;
if (cpi.wr.inst(20 downto 19) = "10") then -- STDFQ
if qne = '1'then
euv.status := free; euv.rst := '1'; euv.wbok := '0';
else
rxv.state := nominal;
end if;
end if;
when cpop =>
-- dont assign PC and inst until here in case previous cpop trapped
if clk.holdn = '1' then euv.wbok := rx.wbok; end if;
euv.inst := cpi.wr.inst;
euv.pc := cpi.wr.pc;
when others => null;
end case;
end if;
if (wr.cpins = cpop) and (clk.holdn = '1') and (eu.wbok = '0') and
((cpi.flush or cpi.wr.annul) = '1')
then
if rx.state = nominal then euv.status := free; end if;
euv.rst := '1'; euv.wbok := '0';
end if;
waddr := cpi.wr.inst(29 downto 26);
-------------------------------------------------------------
-- retire stage
-------------------------------------------------------------
rtaddr := eu.rd(4 downto 1);
if eu.rdd = '1' then rtdata := euo.res;
else
rtdata(63 downto 32) := euo.res(63) &
euo.res(59 downto 29);
rtdata(31 downto 0) := rtdata(63 downto 32);
end if;
wren := wren and (clk.holdn & clk.holdn);
if ((euo.exc(4 downto 0) and rx.csr.tem) /= "00000") or
(euo.exc(5) = '1')
then
cpexc := '1';
end if;
if (wren = "00") and (eu.status = ready) and (rx.state = nominal) and
((eu.wbok = '1') or ((cpi.flush = '0') and (rx.wbok = '1')))
then
waddr := rtaddr; wrdata := rtdata;
euv.wbok := '0';
if (clk.holdn = '0') then rxv.wbok := '0'; end if;
if cpexc = '0' then
if (eu.wreg) = '1' then
if (eu.rdd) = '1' then wren := "11";
else
wren(0) := not eu.rd(0);
wren(1) := eu.rd(0);
end if;
end if;
if eu.wrcc = '1' then
rxv.csr.cc := euo.cc;
end if;
rxv.csr.aexc := rx.csr.aexc or euo.exc(4 downto 0);
if euv.status = ready then
euv.status := free;
end if;
wbv := '1';
rxv.csr.cexc := euo.exc(4 downto 0);
else
rxv.state := excpend;
if (euo.exc(5) = '1') then rxv.csr.tt := "011";
else rxv.csr.tt := "001"; end if;
end if;
end if;
if cpi.exack = '1' then rxv.state := exception; end if;
if rxv.state = excpend then cpo.exc <= '1'; else cpo.exc <= '0'; end if;
cpo.ccv <= not ccv;
cpo.cc <= rx.csr.cc;
rxv.res := wrdata;
rxv.waddr := waddr;
rxv.wren := wren;
rfi1.wraddr(3 downto 0) <= waddr;
rfi2.wraddr(3 downto 0) <= waddr;
rfi1.wren <= wren(0);
rfi2.wren <= wren(1);
rfi1.wrdata(31 downto 0) <= wrdata(63 downto 32);
rfi2.wrdata(31 downto 0) <= wrdata(31 downto 0);
-- reset
if rst = '0' then
rxv.holdn := '1'; rv.start := '0';
rxv.state := nominal; rxv.csr.tt := (others => '0');
rxv.startx := '0'; euv.status := free; euv.wbok := '0';
end if;
euin <= euv;
eui <= euiv;
exin <= ctrl;
rin <= rv;
rxin <= rxv;
wb <= wbv;
end process;
-- registers
regs : process(clk)
variable pc : std_logic_vector(31 downto 0);
begin
if rising_edge(clk.clk) then
if clk.holdn = '1' then
ex <= exin; me <= ex; wr <= me; r <= rin;
end if;
rx <= rxin; eu <= euin;
-- pragma translate_off
if DEBUGFPU then
if (rfi1.wren = '1') then
print("0x" & tosth(cpi.wr.pc(31 downto 2) & "00") & ": %f" &
tostd(rfi1.wraddr(3 downto 0) & '0') &
" = " & tosth(rfi1.wrdata(31 downto 0)));
end if;
if (rfi2.wren = '1') then
print("0x" & tosth(cpi.wr.pc(31 downto 2) & "00") & ": %f" &
tostd(rfi1.wraddr(3 downto 0) & '1') &
" = " & tosth(rfi2.wrdata(31 downto 0)));
end if;
end if;
-- pragma translate_on
end if;
end process;
-- regfile
rf0: regfile_cp generic map (4, 32, 16)
port map (rst, clk.clk, rfi1, rfo1);
rf1: regfile_cp generic map (4, 32, 16)
port map (rst, clk.clk, rfi2, rfo2);
fpu0 : fpu port map (
ss_clock => clk.clk,
FpInst => eui.opcode,
FpOp => eui.start,
FpLd => eui.load,
Reset => eui.flush,
fprf_dout1 => eui.op1,
fprf_dout2 => eui.op2,
RoundingMode => rx.csr.rd,
FpBusy => euo.busy,
FracResult => euo.res(51 downto 0),
ExpResult => euo.res(62 downto 52),
SignResult => euo.res(63),
SNnotDB => open,
Excep => euo.exc,
ConditionCodes => euo.cc,
ss_scan_mode => gnd,
fp_ctl_scan_in => gnd,
fp_ctl_scan_out => open);
end;
|
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2000 Richard Herveille ----
---- [email protected] ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- Package containing i2c master byte controller component. Component
-- declaration expanded and separated into this file by [email protected].
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package i2coc is
component i2c_master_byte_ctrl is
generic (filter : integer; dynfilt : integer);
port (
clk : in std_logic;
rst : in std_logic; -- active high reset
nReset : in std_logic; -- asynchornous active low reset
-- (not used in GRLIB)
ena : in std_logic; -- core enable signal
clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL
-- input signals
start,
stop,
read,
write,
ack_in : std_logic;
din : in std_logic_vector(7 downto 0);
filt : in std_logic_vector((filter-1)*dynfilt downto 0);
-- output signals
cmd_ack : out std_logic;
ack_out : out std_logic;
i2c_busy : out std_logic;
i2c_al : out std_logic;
dout : out std_logic_vector(7 downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end component i2c_master_byte_ctrl;
end;
|
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2000 Richard Herveille ----
---- [email protected] ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- Package containing i2c master byte controller component. Component
-- declaration expanded and separated into this file by [email protected].
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package i2coc is
component i2c_master_byte_ctrl is
generic (filter : integer; dynfilt : integer);
port (
clk : in std_logic;
rst : in std_logic; -- active high reset
nReset : in std_logic; -- asynchornous active low reset
-- (not used in GRLIB)
ena : in std_logic; -- core enable signal
clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL
-- input signals
start,
stop,
read,
write,
ack_in : std_logic;
din : in std_logic_vector(7 downto 0);
filt : in std_logic_vector((filter-1)*dynfilt downto 0);
-- output signals
cmd_ack : out std_logic;
ack_out : out std_logic;
i2c_busy : out std_logic;
i2c_al : out std_logic;
dout : out std_logic_vector(7 downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end component i2c_master_byte_ctrl;
end;
|
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2000 Richard Herveille ----
---- [email protected] ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- Package containing i2c master byte controller component. Component
-- declaration expanded and separated into this file by [email protected].
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package i2coc is
component i2c_master_byte_ctrl is
generic (filter : integer; dynfilt : integer);
port (
clk : in std_logic;
rst : in std_logic; -- active high reset
nReset : in std_logic; -- asynchornous active low reset
-- (not used in GRLIB)
ena : in std_logic; -- core enable signal
clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL
-- input signals
start,
stop,
read,
write,
ack_in : std_logic;
din : in std_logic_vector(7 downto 0);
filt : in std_logic_vector((filter-1)*dynfilt downto 0);
-- output signals
cmd_ack : out std_logic;
ack_out : out std_logic;
i2c_busy : out std_logic;
i2c_al : out std_logic;
dout : out std_logic_vector(7 downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end component i2c_master_byte_ctrl;
end;
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map(
G_GEN_1 => 3,
4,
G_GEN_3 => 5
)
port map (w_port_1,
PORT_2 => w_port_2,
w_port_3
);
U_INST1 : INST1
generic map (
3,
G_GEN_2 => 4,
5
)
port map (PORT_1 => w_port_1,
w_port_2,
w_port_3
);
end architecture ARCH;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fg_tb_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for fifo_generator_v8.4 core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE fg_tb_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT fg_tb_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT fg_tb_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT RD_FLASH_PRE_FIFO_top IS
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
VALID : OUT std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(64-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END fg_tb_pkg;
PACKAGE BODY fg_tb_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END fg_tb_pkg;
|
-- ram.vhd : RAM memory
-- Copyright (C) 2011 Brno University of Technology,
-- Faculty of Information Technology
-- Author(s): Zdenek Vasicek <vasicek AT fit.vutbr.cz>
--
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- ----------------------------------------------------------------------------
-- Entity declaration
-- ----------------------------------------------------------------------------
entity ram is
port (
CLK : in std_logic; -- hodiny
ADDR : in std_logic_vector(9 downto 0); -- adresa bunky
WDATA : in std_logic_vector(7 downto 0); -- data pro zapis
RDATA : out std_logic_vector(7 downto 0); -- nactena data (v dalsim taktu, pokud EN=1)
RDWR : in std_logic; -- 0 - cteni, 1 - zapis
EN : in std_logic -- 1 - povoleni prace s pameti
);
end ram;
-- ----------------------------------------------------------------------------
-- Architecture declaration
-- ----------------------------------------------------------------------------
architecture behavioral of ram is
type t_ram is array (0 to 2**10-1) of std_logic_vector (7 downto 0);
signal ram: t_ram := (others => X"00");
signal rd : std_logic_vector (7 downto 0) := (others => '0');
begin
RDATA <= rd;
-- RAM rd / wr
sram_mem: process (CLK)
begin
if (CLK'event) and (CLK = '1') then
if (EN = '1') then
if (RDWR = '1') then
ram(conv_integer(ADDR)) <= WDATA;
rd <= WDATA;
else
rd <= ram(conv_integer(ADDR));
end if;
end if;
end if;
end process;
end behavioral;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for pad_pads_e
--
-- Generated
-- by: wig
-- on: Mon Jul 18 15:56:34 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../padio.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: pad_pads_e-e.vhd,v 1.3 2005/07/19 07:13:11 wig Exp $
-- $Date: 2005/07/19 07:13:11 $
-- $Log: pad_pads_e-e.vhd,v $
-- Revision 1.3 2005/07/19 07:13:11 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity pad_pads_e
--
entity pad_pads_e is
-- Generics:
-- No Generated Generics for Entity pad_pads_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity pad_pads_e
p_mix_pad_di_12_go : out std_ulogic;
p_mix_pad_di_13_go : out std_ulogic;
p_mix_pad_di_14_go : out std_ulogic;
p_mix_pad_di_15_go : out std_ulogic;
p_mix_pad_di_16_go : out std_ulogic;
p_mix_pad_di_17_go : out std_ulogic;
p_mix_pad_di_18_go : out std_ulogic;
p_mix_pad_di_1_go : out std_ulogic;
p_mix_pad_di_31_go : out std_ulogic;
p_mix_pad_di_32_go : out std_ulogic;
p_mix_pad_di_33_go : out std_ulogic;
p_mix_pad_di_34_go : out std_ulogic;
p_mix_pad_di_39_go : out std_ulogic;
p_mix_pad_di_40_go : out std_ulogic;
p_mix_pad_do_12_gi : in std_ulogic;
p_mix_pad_do_13_gi : in std_ulogic;
p_mix_pad_do_14_gi : in std_ulogic;
p_mix_pad_do_15_gi : in std_ulogic;
p_mix_pad_do_16_gi : in std_ulogic;
p_mix_pad_do_17_gi : in std_ulogic;
p_mix_pad_do_18_gi : in std_ulogic;
p_mix_pad_do_2_gi : in std_ulogic;
p_mix_pad_do_31_gi : in std_ulogic;
p_mix_pad_do_32_gi : in std_ulogic;
p_mix_pad_do_35_gi : in std_ulogic;
p_mix_pad_do_36_gi : in std_ulogic;
p_mix_pad_do_39_gi : in std_ulogic;
p_mix_pad_do_40_gi : in std_ulogic;
p_mix_pad_en_12_gi : in std_ulogic;
p_mix_pad_en_13_gi : in std_ulogic;
p_mix_pad_en_14_gi : in std_ulogic;
p_mix_pad_en_15_gi : in std_ulogic;
p_mix_pad_en_16_gi : in std_ulogic;
p_mix_pad_en_17_gi : in std_ulogic;
p_mix_pad_en_18_gi : in std_ulogic;
p_mix_pad_en_2_gi : in std_ulogic;
p_mix_pad_en_31_gi : in std_ulogic;
p_mix_pad_en_32_gi : in std_ulogic;
p_mix_pad_en_35_gi : in std_ulogic;
p_mix_pad_en_36_gi : in std_ulogic;
p_mix_pad_en_39_gi : in std_ulogic;
p_mix_pad_en_40_gi : in std_ulogic;
p_mix_pad_pu_31_gi : in std_ulogic;
p_mix_pad_pu_32_gi : in std_ulogic
-- End of Generated Port for Entity pad_pads_e
);
end pad_pads_e;
--
-- End of Generated Entity pad_pads_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GXCM/5uxyLjqKaY7bnTgw0Fcmxm28jgM8r7DAmTpRJ0f98i20j726SDrJS+0kTS8J8EW+wuVxxvu
csu612gyLg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nUSPcgmyhSdBP4LF0b+EMMBIIgraDVW+GRa1f8phlZUq8Q/bQ6kbDQxA2OIzR3ZXBlE4psryPREa
yk3BU4V6/9anVXYip1BH9x/oHozXAmoP4bDcCTIQU8UkadnnXhOOp9xzDJwY1tQrozaGNs341RbU
HbvAS9AGngYvPk3yncQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
deYuaUiiVpJY/a/QlT7gGXYAf7JhD3Uk+OAF902rl8RmAWi0BMt6SMJmUHwq9Y0I+7LLsRoknq2I
7PbmSS79Vb4arExCkTBbAeIYGGIXf58/6yB5Zq9qAa2BxIjvilGWb82xh3mqLld86XBZYMDLKkxl
R80crDwcqM+ecJJ7EvsxAIAhB2mYB87DW5bfAIpmwEt2mwOJvY4f2aUe7UnfhetWCZQY7U4ziMpz
fdhBJpuTZ/ZHVHgQ53QeqryCNjSraVoyotjcJ/7sNstpt9KsRvNhG/DwUxLaLU5lQCrTOJ5fRCsY
MH4EZZ85F06E5Em42NbDiCjmNVhjR0LbNOnZ+g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bfgDAFoLYlhII0x5B6GxLb4NESKtEfF3N0oBe/xmSjSU/T8RETtzf5OKgYW2YlZO6+4E4lxLHmt0
g9kdD+wJhfbu2PvEj43nZgdY6nKi8qTbgD0jjjz1FSzEkaU6u7dPc3ZVd+GnZRqqks9GFK6kPzLW
+e2mQ6+XaTmoCLkOV/Q=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RFaKlOwKuIu7GhhGCex9krIoCOjS17jEvtsyvzUcyRZi+OBcDF2oDAIkEsTG9obQPsEogP9Kgysc
6grfLXqRfzdQmGWjuXtWJjJ3ZTxFaLBVskhA617wUk64imR66lRCDG4ouxsbAg0VOcZO66g2kelz
iJ21W8x1JdpHvkWXYS9kGSkmaE/buGdfv5Tsbw2YpApnpgHfM+9IUyIAjuyhdxm1yX1WT70VRp6C
9SCI1JphnogmhnH1zR2CqOvANC3cpANAEKAFWG9kYP9wYhqhuL3OH3AUGhRGQgvdph0s8NJ8PIJQ
g27v1pSItzOn1jMgg0OefnSOmQC/+DfeZ4Djbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 254880)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GXCM/5uxyLjqKaY7bnTgw0Fcmxm28jgM8r7DAmTpRJ0f98i20j726SDrJS+0kTS8J8EW+wuVxxvu
csu612gyLg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nUSPcgmyhSdBP4LF0b+EMMBIIgraDVW+GRa1f8phlZUq8Q/bQ6kbDQxA2OIzR3ZXBlE4psryPREa
yk3BU4V6/9anVXYip1BH9x/oHozXAmoP4bDcCTIQU8UkadnnXhOOp9xzDJwY1tQrozaGNs341RbU
HbvAS9AGngYvPk3yncQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
deYuaUiiVpJY/a/QlT7gGXYAf7JhD3Uk+OAF902rl8RmAWi0BMt6SMJmUHwq9Y0I+7LLsRoknq2I
7PbmSS79Vb4arExCkTBbAeIYGGIXf58/6yB5Zq9qAa2BxIjvilGWb82xh3mqLld86XBZYMDLKkxl
R80crDwcqM+ecJJ7EvsxAIAhB2mYB87DW5bfAIpmwEt2mwOJvY4f2aUe7UnfhetWCZQY7U4ziMpz
fdhBJpuTZ/ZHVHgQ53QeqryCNjSraVoyotjcJ/7sNstpt9KsRvNhG/DwUxLaLU5lQCrTOJ5fRCsY
MH4EZZ85F06E5Em42NbDiCjmNVhjR0LbNOnZ+g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bfgDAFoLYlhII0x5B6GxLb4NESKtEfF3N0oBe/xmSjSU/T8RETtzf5OKgYW2YlZO6+4E4lxLHmt0
g9kdD+wJhfbu2PvEj43nZgdY6nKi8qTbgD0jjjz1FSzEkaU6u7dPc3ZVd+GnZRqqks9GFK6kPzLW
+e2mQ6+XaTmoCLkOV/Q=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RFaKlOwKuIu7GhhGCex9krIoCOjS17jEvtsyvzUcyRZi+OBcDF2oDAIkEsTG9obQPsEogP9Kgysc
6grfLXqRfzdQmGWjuXtWJjJ3ZTxFaLBVskhA617wUk64imR66lRCDG4ouxsbAg0VOcZO66g2kelz
iJ21W8x1JdpHvkWXYS9kGSkmaE/buGdfv5Tsbw2YpApnpgHfM+9IUyIAjuyhdxm1yX1WT70VRp6C
9SCI1JphnogmhnH1zR2CqOvANC3cpANAEKAFWG9kYP9wYhqhuL3OH3AUGhRGQgvdph0s8NJ8PIJQ
g27v1pSItzOn1jMgg0OefnSOmQC/+DfeZ4Djbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 254880)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------------
-- Simple FIR filter using transposed direct form.
-- Initial version: Colm Ryan ([email protected])
-- Create Date: 05/05/2015
-- Dependencies:
--
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
library ieee_proposed;
use ieee_proposed.standard_additions.all;
use work.CoeffHelpers.all;
entity FIR_DirectTranspose is
generic(
--Default 1/4 band low-pass filter generated in Python with
-- import scipy.signal
-- br = scipy.signal.remez(16, [0,0.1,0.2,0.5], [1,0])
coeffs : real_vector := (0.01662606, -0.00696415, -0.03403663, -0.04855056, -0.01434685, 0.08048669, 0.20301046, 0.28957738, 0.28957738, 0.20301046, 0.08048669, -0.01434685, -0.04855056, -0.03403663, -0.00696415, 0.01662606);
data_in_width : natural := 16;
data_out_width : natural := 16
);
port (
rst : in std_logic;
clk : in std_logic;
data_in : in std_logic_vector(data_in_width-1 downto 0);
data_in_vld : std_logic;
data_in_last : std_logic;
data_out : out std_logic_vector(data_out_width-1 downto 0));
end FIR_DirectTranspose;
architecture Behavioral of FIR_DirectTranspose is
constant NUM_TAPS : natural := coeffs'length;
type chainedSum_t is array(0 to NUM_TAPS-1) of signed(47 downto 0);
signal chainedSum : chainedSum_t := (others => (others => '0'));
--Vivado does not infer DSP for constant multiplier so force DSP
-- see http://www.xilinx.com/support/answers/60913.html
attribute use_dsp48 : string;
attribute use_dsp48 of chainedSum : signal is "yes";
signal data_in_d : signed(data_in_width-1 downto 0) := (others => '0');
--We resize to 18 bits because the DSP slices offer 18x25 bit multipliers
constant COEFF_SCALE_BITS : integer := optimum_scaling(coeffs);
constant COEFF_SCALE : real := real(2 ** (17 - COEFF_SCALE_BITS));
constant SCALED_COEFFS : integer_vector := scale_coeffs(coeffs, COEFF_SCALE);
--we resize the sum to 48 bits because the DSP slices offer 48 bit adder accumulators
--If we assume the coefficients are normalized then we don't need to worry about overflow in the addition
constant SUM_NUM_BITS : natural := 48;
--The multiplication gives us 18 + data_in_width - 1 bits
--We also need to undo the coefficients' scaling
constant TOP_OUTPUT_BIT : natural := 18 + data_in_width - 2 - COEFF_SCALE_BITS;
constant BOTTOM_OUTPUT_BIT : natural := TOP_OUTPUT_BIT - data_out_width + 1;
begin
main : process(clk)
begin
if rising_edge(clk) then
--register input data and convert to signed for DSP slice
data_in_d <= signed(data_in);
--Multiply by coeffs and chain the sum
chainedSum(0) <= resize(data_in_d * to_signed(SCALED_COEFFS(SCALED_COEFFS'high),18), SUM_NUM_BITS);
sumLooper : for ct in 1 to NUM_TAPS-1 loop
chainedSum(ct) <= resize(data_in_d * to_signed(SCALED_COEFFS(SCALED_COEFFS'high-ct),18), SUM_NUM_BITS) + chainedSum(ct-1);
end loop;
end if;
end process;
--Slice out the appropriate portion of the output - for now just truncate LSB
data_out <= std_logic_vector(chainedSum(chainedSum'high)(TOP_OUTPUT_BIT downto BOTTOM_OUTPUT_BIT));
end Behavioral;
|
-- megafunction wizard: %FIFO%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: dcfifo_mixed_widths
-- ============================================================
-- File Name: FIFO_TO_USB.vhd
-- Megafunction Name(s):
-- dcfifo_mixed_widths
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY FIFO_TO_USB IS
PORT
(
aclr : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
rdclk : IN STD_LOGIC ;
rdreq : IN STD_LOGIC ;
wrclk : IN STD_LOGIC ;
wrreq : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
rdusedw : OUT STD_LOGIC_VECTOR (11 DOWNTO 0);
wrusedw : OUT STD_LOGIC_VECTOR (10 DOWNTO 0)
);
END FIFO_TO_USB;
ARCHITECTURE SYN OF fifo_to_usb IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (10 DOWNTO 0);
SIGNAL sub_wire2 : STD_LOGIC_VECTOR (11 DOWNTO 0);
COMPONENT dcfifo_mixed_widths
GENERIC (
add_usedw_msb_bit : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
lpm_widthu_r : NATURAL;
lpm_width_r : NATURAL;
overflow_checking : STRING;
rdsync_delaypipe : NATURAL;
read_aclr_synch : STRING;
underflow_checking : STRING;
use_eab : STRING;
write_aclr_synch : STRING;
wrsync_delaypipe : NATURAL
);
PORT (
rdclk : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
wrclk : IN STD_LOGIC ;
wrreq : IN STD_LOGIC ;
wrusedw : OUT STD_LOGIC_VECTOR (10 DOWNTO 0);
aclr : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
rdreq : IN STD_LOGIC ;
rdusedw : OUT STD_LOGIC_VECTOR (11 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(7 DOWNTO 0);
wrusedw <= sub_wire1(10 DOWNTO 0);
rdusedw <= sub_wire2(11 DOWNTO 0);
dcfifo_mixed_widths_component : dcfifo_mixed_widths
GENERIC MAP (
add_usedw_msb_bit => "ON",
intended_device_family => "Cyclone IV E",
lpm_hint => "MAXIMUM_DEPTH=512",
lpm_numwords => 1024,
lpm_showahead => "OFF",
lpm_type => "dcfifo_mixed_widths",
lpm_width => 16,
lpm_widthu => 11,
lpm_widthu_r => 12,
lpm_width_r => 8,
overflow_checking => "ON",
rdsync_delaypipe => 5,
read_aclr_synch => "OFF",
underflow_checking => "ON",
use_eab => "ON",
write_aclr_synch => "OFF",
wrsync_delaypipe => 5
)
PORT MAP (
rdclk => rdclk,
wrclk => wrclk,
wrreq => wrreq,
aclr => aclr,
data => data,
rdreq => rdreq,
q => sub_wire0,
wrusedw => sub_wire1,
rdusedw => sub_wire2
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
-- Retrieval info: PRIVATE: Clock NUMERIC "4"
-- Retrieval info: PRIVATE: Depth NUMERIC "1024"
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
-- Retrieval info: PRIVATE: Full NUMERIC "1"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: Optimize NUMERIC "2"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
-- Retrieval info: PRIVATE: Width NUMERIC "16"
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
-- Retrieval info: PRIVATE: diff_widths NUMERIC "1"
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "1"
-- Retrieval info: PRIVATE: output_width NUMERIC "8"
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: wsFull NUMERIC "0"
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ADD_USEDW_MSB_BIT STRING "ON"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMUM_DEPTH=512"
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024"
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo_mixed_widths"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "11"
-- Retrieval info: CONSTANT: LPM_WIDTHU_R NUMERIC "12"
-- Retrieval info: CONSTANT: LPM_WIDTH_R NUMERIC "8"
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "5"
-- Retrieval info: CONSTANT: READ_ACLR_SYNCH STRING "OFF"
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
-- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF"
-- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "5"
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
-- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk"
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
-- Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL "rdusedw[11..0]"
-- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk"
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
-- Retrieval info: USED_PORT: wrusedw 0 0 11 0 OUTPUT NODEFVAL "wrusedw[10..0]"
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
-- Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
-- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
-- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
-- Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0
-- Retrieval info: CONNECT: wrusedw 0 0 11 0 @wrusedw 0 0 11 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL FIFO_TO_USB.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL FIFO_TO_USB.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL FIFO_TO_USB.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL FIFO_TO_USB.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL FIFO_TO_USB_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- NEED RESULT: ARCH00291: TIME is predefined correctly passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00291
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 3.1.3.1 (1)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00291)
-- ENT00291_Test_Bench(ARCH00291_Test_Bench)
--
-- REVISION HISTORY:
--
-- 22-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00291 of E00000 is
begin
P :
process
begin
test_report ( "ARCH00291" ,
"TIME is predefined correctly" ,
(time'pos(fs) = 1) and
(ps = 1000 fs) and
(ns = 1000 ps) and
(us = 1000 ns) and
(ms = 1000 us) and
(sec = 1000 ms) and
(min = 60 sec) and
(hr = 60 min)
) ;
wait ;
end process P ;
end ARCH00291 ;
entity ENT00291_Test_Bench is
end ENT00291_Test_Bench ;
architecture ARCH00291_Test_Bench of ENT00291_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00291 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00291_Test_Bench ;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity DataPath is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
Data_Out : out STD_LOGIC_VECTOR(31 downto 0)
);
end DataPath;
architecture Behavioral of DataPath is
component PC is
port (
DAT_in : in std_logic_vector (31 downto 0);
rst : in std_logic;
clk : in std_logic;
DAT_out : out std_logic_vector (31 downto 0)
);
end component PC;
component Sumador is
port (
Operador1 : in std_logic_vector (31 downto 0);
Operador2 : in std_logic_vector (31 downto 0);
Resultado : out std_logic_vector (31 downto 0)
);
end component Sumador;
component IM is
port (
rst : in std_logic;
addr : in std_logic_vector(31 downto 0);
data : out std_logic_vector(31 downto 0)
);
end component IM;
signal addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal mid_addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal new_addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal aumento: std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
signal instruction: std_logic_vector(31 downto 0);
begin
-- Instantiate nPC
nPC : PC
port map (
rst => rst,
clk => clk,
DAT_in => new_addr,
DAT_out => mid_addr
);
-- Instantiate PC
ProgC : PC
port map (
rst => rst,
clk => clk,
DAT_in => mid_addr,
DAT_out => addr
);
-- Instantiate Sumador
Adder : Sumador
port map (
Operador1 => addr,
Operador2 => aumento,
Resultado => new_addr
);
-- Instantiate IM
Instruction_mem : IM
port map (
rst => rst,
addr => addr,
data => Data_out
);
process(clk)
begin
if rising_edge(clk) then
if (rst = '1') then
aumento <= "00000000000000000000000000000000";
else
aumento <= "00000000000000000000000000000001";
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity DataPath is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
Data_Out : out STD_LOGIC_VECTOR(31 downto 0)
);
end DataPath;
architecture Behavioral of DataPath is
component PC is
port (
DAT_in : in std_logic_vector (31 downto 0);
rst : in std_logic;
clk : in std_logic;
DAT_out : out std_logic_vector (31 downto 0)
);
end component PC;
component Sumador is
port (
Operador1 : in std_logic_vector (31 downto 0);
Operador2 : in std_logic_vector (31 downto 0);
Resultado : out std_logic_vector (31 downto 0)
);
end component Sumador;
component IM is
port (
rst : in std_logic;
addr : in std_logic_vector(31 downto 0);
data : out std_logic_vector(31 downto 0)
);
end component IM;
signal addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal mid_addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal new_addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal aumento: std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
signal instruction: std_logic_vector(31 downto 0);
begin
-- Instantiate nPC
nPC : PC
port map (
rst => rst,
clk => clk,
DAT_in => new_addr,
DAT_out => mid_addr
);
-- Instantiate PC
ProgC : PC
port map (
rst => rst,
clk => clk,
DAT_in => mid_addr,
DAT_out => addr
);
-- Instantiate Sumador
Adder : Sumador
port map (
Operador1 => addr,
Operador2 => aumento,
Resultado => new_addr
);
-- Instantiate IM
Instruction_mem : IM
port map (
rst => rst,
addr => addr,
data => Data_out
);
process(clk)
begin
if rising_edge(clk) then
if (rst = '1') then
aumento <= "00000000000000000000000000000000";
else
aumento <= "00000000000000000000000000000001";
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity DataPath is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
Data_Out : out STD_LOGIC_VECTOR(31 downto 0)
);
end DataPath;
architecture Behavioral of DataPath is
component PC is
port (
DAT_in : in std_logic_vector (31 downto 0);
rst : in std_logic;
clk : in std_logic;
DAT_out : out std_logic_vector (31 downto 0)
);
end component PC;
component Sumador is
port (
Operador1 : in std_logic_vector (31 downto 0);
Operador2 : in std_logic_vector (31 downto 0);
Resultado : out std_logic_vector (31 downto 0)
);
end component Sumador;
component IM is
port (
rst : in std_logic;
addr : in std_logic_vector(31 downto 0);
data : out std_logic_vector(31 downto 0)
);
end component IM;
signal addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal mid_addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal new_addr: std_logic_vector(31 downto 0):= "00000000000000000000000000000000";
signal aumento: std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
signal instruction: std_logic_vector(31 downto 0);
begin
-- Instantiate nPC
nPC : PC
port map (
rst => rst,
clk => clk,
DAT_in => new_addr,
DAT_out => mid_addr
);
-- Instantiate PC
ProgC : PC
port map (
rst => rst,
clk => clk,
DAT_in => mid_addr,
DAT_out => addr
);
-- Instantiate Sumador
Adder : Sumador
port map (
Operador1 => addr,
Operador2 => aumento,
Resultado => new_addr
);
-- Instantiate IM
Instruction_mem : IM
port map (
rst => rst,
addr => addr,
data => Data_out
);
process(clk)
begin
if rising_edge(clk) then
if (rst = '1') then
aumento <= "00000000000000000000000000000000";
else
aumento <= "00000000000000000000000000000001";
end if;
end if;
end process;
end Behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1633.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s12b00x00p05n01i01633ent IS
END c08s12b00x00p05n01i01633ent;
ARCHITECTURE c08s12b00x00p05n01i01633arch OF c08s12b00x00p05n01i01633ent IS
BEGIN
TESTING: PROCESS
type AR2 is array (0 to 2) of BIT;
function K return AR2 is
begin
return (1 => '1', others => '0');
end K;
variable kk : AR2;
BEGIN
kk := K;
assert (kk = "010")
report "***FAILED TEST: c08s12b00x00p05n01i01633 - The return type must be the same base tyep declared in the specification of the function."
severity ERROR;
assert NOT(kk = "010")
report "***PASSED TEST: c08s12b00x00p05n01i01633"
severity NOTE;
wait;
END PROCESS TESTING;
END c08s12b00x00p05n01i01633arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1633.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s12b00x00p05n01i01633ent IS
END c08s12b00x00p05n01i01633ent;
ARCHITECTURE c08s12b00x00p05n01i01633arch OF c08s12b00x00p05n01i01633ent IS
BEGIN
TESTING: PROCESS
type AR2 is array (0 to 2) of BIT;
function K return AR2 is
begin
return (1 => '1', others => '0');
end K;
variable kk : AR2;
BEGIN
kk := K;
assert (kk = "010")
report "***FAILED TEST: c08s12b00x00p05n01i01633 - The return type must be the same base tyep declared in the specification of the function."
severity ERROR;
assert NOT(kk = "010")
report "***PASSED TEST: c08s12b00x00p05n01i01633"
severity NOTE;
wait;
END PROCESS TESTING;
END c08s12b00x00p05n01i01633arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1633.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s12b00x00p05n01i01633ent IS
END c08s12b00x00p05n01i01633ent;
ARCHITECTURE c08s12b00x00p05n01i01633arch OF c08s12b00x00p05n01i01633ent IS
BEGIN
TESTING: PROCESS
type AR2 is array (0 to 2) of BIT;
function K return AR2 is
begin
return (1 => '1', others => '0');
end K;
variable kk : AR2;
BEGIN
kk := K;
assert (kk = "010")
report "***FAILED TEST: c08s12b00x00p05n01i01633 - The return type must be the same base tyep declared in the specification of the function."
severity ERROR;
assert NOT(kk = "010")
report "***PASSED TEST: c08s12b00x00p05n01i01633"
severity NOTE;
wait;
END PROCESS TESTING;
END c08s12b00x00p05n01i01633arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc763.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00763pkg is
--UNCONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
--CONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
subtype boolean_vector_st is boolean_vector(0 to 15);
subtype severity_level_vector_st is severity_level_vector(0 to 15);
subtype integer_vector_st is integer_vector(0 to 15);
subtype real_vector_st is real_vector(0 to 15);
subtype time_vector_st is time_vector(0 to 15);
subtype natural_vector_st is natural_vector(0 to 15);
subtype positive_vector_st is positive_vector(0 to 15);
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level:= note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st :=(others => C4);
constant C72 : integer_vector_st :=(others => C5);
constant C73 : real_vector_st :=(others => C6);
constant C74 : time_vector_st :=(others => C7);
constant C75 : natural_vector_st :=(others => C8);
constant C76 : positive_vector_st :=(others => C9);
end c01s01b01x01p05n02i00763pkg;
use work.c01s01b01x01p05n02i00763pkg.ALL;
ENTITY c01s01b01x01p05n02i00763ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three : integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven : integer := 7;
eight : integer := 8;
nine : integer := 9;
fifteen :integer:= 15;
Cgen1 : boolean := true;
Cgen2 : bit := '1';
Cgen3 : character := 's';
Cgen4 : severity_level := note;
Cgen5 : integer := 3;
Cgen6 : real := 3.0;
Cgen7 : time := 3 ns;
Cgen8 : natural := 1;
Cgen9 : positive := 1;
Cgen70 : boolean_vector_st :=(others => true);
Cgen71 : severity_level_vector_st :=(others => note);
Cgen72 : integer_vector_st :=(others => 3);
Cgen73 : real_vector_st :=(others => 3.0);
Cgen74 : time_vector_st :=(others => 3 ns);
Cgen75 : natural_vector_st :=(others => 1);
Cgen76 : positive_vector_st :=(others => 1)
);
END c01s01b01x01p05n02i00763ent;
ARCHITECTURE c01s01b01x01p05n02i00763arch OF c01s01b01x01p05n02i00763ent IS
signal Vgen1 : boolean := true;
signal Vgen2 : bit := '1';
signal Vgen3 : character := 's';
signal Vgen4 : severity_level:= note;
signal Vgen5 : integer := 3;
signal Vgen6 : real := 3.0;
signal Vgen7 : time := 3 ns;
signal Vgen8 : natural := 1;
signal Vgen9 : positive := 1;
signal Vgen70 : boolean_vector_st :=(others => Cgen1);
signal Vgen71 : severity_level_vector_st :=(others => Cgen4);
signal Vgen72 : integer_vector_st :=(others => Cgen5);
signal Vgen73 : real_vector_st :=(others => Cgen6);
signal Vgen74 : time_vector_st :=(others => Cgen7);
signal Vgen75 : natural_vector_st :=(others => Cgen8);
signal Vgen76 : positive_vector_st :=(others => Cgen9);
BEGIN
assert Vgen1 = C1 report "Initializing signal with generic Vgen1 does not work" severity error;
assert Vgen2 = C2 report "Initializing signal with generic Vgen2 does not work" severity error;
assert Vgen3 = C3 report "Initializing signal with generic Vgen3 does not work" severity error;
assert Vgen4 = C4 report "Initializing signal with generic Vgen4 does not work" severity error;
assert Vgen5 = C5 report "Initializing signal with generic Vgen5 does not work" severity error;
assert Vgen6 = C6 report "Initializing signal with generic Vgen6 does not work" severity error;
assert Vgen7 = C7 report "Initializing signal with generic Vgen7 does not work" severity error;
assert Vgen8 = C8 report "Initializing signal with generic Vgen8 does not work" severity error;
assert Vgen9 = C9 report "Initializing signal with generic Vgen9 does not work" severity error;
assert Vgen70 = C70 report "Initializing signal with generic Vgen70 does not work" severity error;
assert Vgen71 = C71 report "Initializing signal with generic Vgen71 does not work" severity error;
assert Vgen72 = C72 report "Initializing signal with generic Vgen72 does not work" severity error;
assert Vgen73 = C73 report "Initializing signal with generic Vgen73 does not work" severity error;
assert Vgen74 = C74 report "Initializing signal with generic Vgen74 does not work" severity error;
assert Vgen75 = C75 report "Initializing signal with generic Vgen75 does not work" severity error;
assert Vgen76 = C76 report "Initializing signal with generic Vgen76 does not work" severity error;
TESTING: PROCESS
BEGIN
assert NOT( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen70 = C70 and
Vgen71 = C71 and
Vgen72 = C72 and
Vgen73 = C73 and
Vgen74 = C74 and
Vgen75 = C75 and
Vgen76 = C76 )
report "***PASSED TEST: c01s01b01x01p05n02i00763"
severity NOTE;
assert( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen70 = C70 and
Vgen71 = C71 and
Vgen72 = C72 and
Vgen73 = C73 and
Vgen74 = C74 and
Vgen75 = C75 and
Vgen76 = C76 )
report "***FAILED TEST: c01s01b01x01p05n02i00763 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00763arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc763.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00763pkg is
--UNCONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
--CONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
subtype boolean_vector_st is boolean_vector(0 to 15);
subtype severity_level_vector_st is severity_level_vector(0 to 15);
subtype integer_vector_st is integer_vector(0 to 15);
subtype real_vector_st is real_vector(0 to 15);
subtype time_vector_st is time_vector(0 to 15);
subtype natural_vector_st is natural_vector(0 to 15);
subtype positive_vector_st is positive_vector(0 to 15);
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level:= note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st :=(others => C4);
constant C72 : integer_vector_st :=(others => C5);
constant C73 : real_vector_st :=(others => C6);
constant C74 : time_vector_st :=(others => C7);
constant C75 : natural_vector_st :=(others => C8);
constant C76 : positive_vector_st :=(others => C9);
end c01s01b01x01p05n02i00763pkg;
use work.c01s01b01x01p05n02i00763pkg.ALL;
ENTITY c01s01b01x01p05n02i00763ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three : integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven : integer := 7;
eight : integer := 8;
nine : integer := 9;
fifteen :integer:= 15;
Cgen1 : boolean := true;
Cgen2 : bit := '1';
Cgen3 : character := 's';
Cgen4 : severity_level := note;
Cgen5 : integer := 3;
Cgen6 : real := 3.0;
Cgen7 : time := 3 ns;
Cgen8 : natural := 1;
Cgen9 : positive := 1;
Cgen70 : boolean_vector_st :=(others => true);
Cgen71 : severity_level_vector_st :=(others => note);
Cgen72 : integer_vector_st :=(others => 3);
Cgen73 : real_vector_st :=(others => 3.0);
Cgen74 : time_vector_st :=(others => 3 ns);
Cgen75 : natural_vector_st :=(others => 1);
Cgen76 : positive_vector_st :=(others => 1)
);
END c01s01b01x01p05n02i00763ent;
ARCHITECTURE c01s01b01x01p05n02i00763arch OF c01s01b01x01p05n02i00763ent IS
signal Vgen1 : boolean := true;
signal Vgen2 : bit := '1';
signal Vgen3 : character := 's';
signal Vgen4 : severity_level:= note;
signal Vgen5 : integer := 3;
signal Vgen6 : real := 3.0;
signal Vgen7 : time := 3 ns;
signal Vgen8 : natural := 1;
signal Vgen9 : positive := 1;
signal Vgen70 : boolean_vector_st :=(others => Cgen1);
signal Vgen71 : severity_level_vector_st :=(others => Cgen4);
signal Vgen72 : integer_vector_st :=(others => Cgen5);
signal Vgen73 : real_vector_st :=(others => Cgen6);
signal Vgen74 : time_vector_st :=(others => Cgen7);
signal Vgen75 : natural_vector_st :=(others => Cgen8);
signal Vgen76 : positive_vector_st :=(others => Cgen9);
BEGIN
assert Vgen1 = C1 report "Initializing signal with generic Vgen1 does not work" severity error;
assert Vgen2 = C2 report "Initializing signal with generic Vgen2 does not work" severity error;
assert Vgen3 = C3 report "Initializing signal with generic Vgen3 does not work" severity error;
assert Vgen4 = C4 report "Initializing signal with generic Vgen4 does not work" severity error;
assert Vgen5 = C5 report "Initializing signal with generic Vgen5 does not work" severity error;
assert Vgen6 = C6 report "Initializing signal with generic Vgen6 does not work" severity error;
assert Vgen7 = C7 report "Initializing signal with generic Vgen7 does not work" severity error;
assert Vgen8 = C8 report "Initializing signal with generic Vgen8 does not work" severity error;
assert Vgen9 = C9 report "Initializing signal with generic Vgen9 does not work" severity error;
assert Vgen70 = C70 report "Initializing signal with generic Vgen70 does not work" severity error;
assert Vgen71 = C71 report "Initializing signal with generic Vgen71 does not work" severity error;
assert Vgen72 = C72 report "Initializing signal with generic Vgen72 does not work" severity error;
assert Vgen73 = C73 report "Initializing signal with generic Vgen73 does not work" severity error;
assert Vgen74 = C74 report "Initializing signal with generic Vgen74 does not work" severity error;
assert Vgen75 = C75 report "Initializing signal with generic Vgen75 does not work" severity error;
assert Vgen76 = C76 report "Initializing signal with generic Vgen76 does not work" severity error;
TESTING: PROCESS
BEGIN
assert NOT( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen70 = C70 and
Vgen71 = C71 and
Vgen72 = C72 and
Vgen73 = C73 and
Vgen74 = C74 and
Vgen75 = C75 and
Vgen76 = C76 )
report "***PASSED TEST: c01s01b01x01p05n02i00763"
severity NOTE;
assert( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen70 = C70 and
Vgen71 = C71 and
Vgen72 = C72 and
Vgen73 = C73 and
Vgen74 = C74 and
Vgen75 = C75 and
Vgen76 = C76 )
report "***FAILED TEST: c01s01b01x01p05n02i00763 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00763arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc763.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00763pkg is
--UNCONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
--CONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
subtype boolean_vector_st is boolean_vector(0 to 15);
subtype severity_level_vector_st is severity_level_vector(0 to 15);
subtype integer_vector_st is integer_vector(0 to 15);
subtype real_vector_st is real_vector(0 to 15);
subtype time_vector_st is time_vector(0 to 15);
subtype natural_vector_st is natural_vector(0 to 15);
subtype positive_vector_st is positive_vector(0 to 15);
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level:= note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st :=(others => C4);
constant C72 : integer_vector_st :=(others => C5);
constant C73 : real_vector_st :=(others => C6);
constant C74 : time_vector_st :=(others => C7);
constant C75 : natural_vector_st :=(others => C8);
constant C76 : positive_vector_st :=(others => C9);
end c01s01b01x01p05n02i00763pkg;
use work.c01s01b01x01p05n02i00763pkg.ALL;
ENTITY c01s01b01x01p05n02i00763ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three : integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven : integer := 7;
eight : integer := 8;
nine : integer := 9;
fifteen :integer:= 15;
Cgen1 : boolean := true;
Cgen2 : bit := '1';
Cgen3 : character := 's';
Cgen4 : severity_level := note;
Cgen5 : integer := 3;
Cgen6 : real := 3.0;
Cgen7 : time := 3 ns;
Cgen8 : natural := 1;
Cgen9 : positive := 1;
Cgen70 : boolean_vector_st :=(others => true);
Cgen71 : severity_level_vector_st :=(others => note);
Cgen72 : integer_vector_st :=(others => 3);
Cgen73 : real_vector_st :=(others => 3.0);
Cgen74 : time_vector_st :=(others => 3 ns);
Cgen75 : natural_vector_st :=(others => 1);
Cgen76 : positive_vector_st :=(others => 1)
);
END c01s01b01x01p05n02i00763ent;
ARCHITECTURE c01s01b01x01p05n02i00763arch OF c01s01b01x01p05n02i00763ent IS
signal Vgen1 : boolean := true;
signal Vgen2 : bit := '1';
signal Vgen3 : character := 's';
signal Vgen4 : severity_level:= note;
signal Vgen5 : integer := 3;
signal Vgen6 : real := 3.0;
signal Vgen7 : time := 3 ns;
signal Vgen8 : natural := 1;
signal Vgen9 : positive := 1;
signal Vgen70 : boolean_vector_st :=(others => Cgen1);
signal Vgen71 : severity_level_vector_st :=(others => Cgen4);
signal Vgen72 : integer_vector_st :=(others => Cgen5);
signal Vgen73 : real_vector_st :=(others => Cgen6);
signal Vgen74 : time_vector_st :=(others => Cgen7);
signal Vgen75 : natural_vector_st :=(others => Cgen8);
signal Vgen76 : positive_vector_st :=(others => Cgen9);
BEGIN
assert Vgen1 = C1 report "Initializing signal with generic Vgen1 does not work" severity error;
assert Vgen2 = C2 report "Initializing signal with generic Vgen2 does not work" severity error;
assert Vgen3 = C3 report "Initializing signal with generic Vgen3 does not work" severity error;
assert Vgen4 = C4 report "Initializing signal with generic Vgen4 does not work" severity error;
assert Vgen5 = C5 report "Initializing signal with generic Vgen5 does not work" severity error;
assert Vgen6 = C6 report "Initializing signal with generic Vgen6 does not work" severity error;
assert Vgen7 = C7 report "Initializing signal with generic Vgen7 does not work" severity error;
assert Vgen8 = C8 report "Initializing signal with generic Vgen8 does not work" severity error;
assert Vgen9 = C9 report "Initializing signal with generic Vgen9 does not work" severity error;
assert Vgen70 = C70 report "Initializing signal with generic Vgen70 does not work" severity error;
assert Vgen71 = C71 report "Initializing signal with generic Vgen71 does not work" severity error;
assert Vgen72 = C72 report "Initializing signal with generic Vgen72 does not work" severity error;
assert Vgen73 = C73 report "Initializing signal with generic Vgen73 does not work" severity error;
assert Vgen74 = C74 report "Initializing signal with generic Vgen74 does not work" severity error;
assert Vgen75 = C75 report "Initializing signal with generic Vgen75 does not work" severity error;
assert Vgen76 = C76 report "Initializing signal with generic Vgen76 does not work" severity error;
TESTING: PROCESS
BEGIN
assert NOT( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen70 = C70 and
Vgen71 = C71 and
Vgen72 = C72 and
Vgen73 = C73 and
Vgen74 = C74 and
Vgen75 = C75 and
Vgen76 = C76 )
report "***PASSED TEST: c01s01b01x01p05n02i00763"
severity NOTE;
assert( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen70 = C70 and
Vgen71 = C71 and
Vgen72 = C72 and
Vgen73 = C73 and
Vgen74 = C74 and
Vgen75 = C75 and
Vgen76 = C76 )
report "***FAILED TEST: c01s01b01x01p05n02i00763 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00763arch;
|
library ieee;
use ieee.std_logic_1164.all;
package subp_type_decl is
constant NBITS: natural := 6;
function mod5 (dividend: std_logic_vector) return std_logic;
end package;
package body subp_type_decl is
function mod5 (dividend: std_logic_vector) return std_logic is
type remains is (r0, r1, r2, r3, r4); -- remainder values
type remain_array is array (NBITS downto 0) of remains;
type branch is array (remains, bit) of remains;
constant br_table: branch := ( r0 => ('0' => r0, '1' => r1),
r1 => ('0' => r2, '1' => r3),
r2 => ('0' => r4, '1' => r0),
r3 => ('0' => r1, '1' => r2),
r4 => ('0' => r3, '1' => r4)
);
variable remaind: remain_array := (others => r0);
variable tbit: bit_vector (NBITS - 1 downto 0);
begin
tbit := to_bitvector(dividend); -- little endian
for i in dividend'length - 1 downto 0 loop
remaind(i) := br_table(remaind(i + 1),tbit(i));
end loop;
if remaind(0) = r0 then
return '1';
else
return '0';
end if;
end function;
end package body;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.subp_type_decl.all;
entity func_test1 is
end entity;
architecture fum of func_test1 is
signal dividend: std_logic_vector (NBITS - 1 downto 0);
begin
process
variable errors: natural;
begin
errors := 0;
for i in 0 to 2 ** NBITS - 1 loop
dividend <= std_logic_vector(to_unsigned(i, NBITS));
wait for 0 ns;
report "mod5 (" & integer'image(i) & ") = " &
std_ulogic'image(mod5(dividend));
end loop;
wait;
end process;
end architecture;
|
----------------------------------------------------------------------------------
-- Project Name: Frecuency Counter
-- Target Devices: Spartan 3
-- Engineers: Ángel Larrañaga Muro
-- Nicolás Jurado Jiménez
-- Gonzalo Matarrubia Gonzalez
-- License: All files included in this proyect are licensed under a Creative Commons Attribution-ShareAlike 4.0 International License
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ConversorBinToBCD_TB IS
END ConversorBinToBCD_TB;
ARCHITECTURE behavior OF ConversorBinToBCD_TB IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT bcd
PORT(
entrada_bin : IN std_logic_vector(15 downto 0);
millares : OUT std_logic_vector(3 downto 0);
centenas : OUT std_logic_vector(3 downto 0);
decenas : OUT std_logic_vector(3 downto 0);
unidades : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
--Inputs
signal entrada_bin : std_logic_vector(15 downto 0) := (others => '0');
--Outputs
signal millares : std_logic_vector(3 downto 0);
signal centenas : std_logic_vector(3 downto 0);
signal decenas : std_logic_vector(3 downto 0);
signal unidades : std_logic_vector(3 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: bcd PORT MAP (
entrada_bin => entrada_bin,
millares => millares,
centenas => centenas,
decenas => decenas,
unidades => unidades
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
entrada_bin<="0001111100101101";
-- insert stimulus here
wait;
end process;
END;
|
----------------------------------------------------------------------------------
-- Project Name: Frecuency Counter
-- Target Devices: Spartan 3
-- Engineers: Ángel Larrañaga Muro
-- Nicolás Jurado Jiménez
-- Gonzalo Matarrubia Gonzalez
-- License: All files included in this proyect are licensed under a Creative Commons Attribution-ShareAlike 4.0 International License
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ConversorBinToBCD_TB IS
END ConversorBinToBCD_TB;
ARCHITECTURE behavior OF ConversorBinToBCD_TB IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT bcd
PORT(
entrada_bin : IN std_logic_vector(15 downto 0);
millares : OUT std_logic_vector(3 downto 0);
centenas : OUT std_logic_vector(3 downto 0);
decenas : OUT std_logic_vector(3 downto 0);
unidades : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
--Inputs
signal entrada_bin : std_logic_vector(15 downto 0) := (others => '0');
--Outputs
signal millares : std_logic_vector(3 downto 0);
signal centenas : std_logic_vector(3 downto 0);
signal decenas : std_logic_vector(3 downto 0);
signal unidades : std_logic_vector(3 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: bcd PORT MAP (
entrada_bin => entrada_bin,
millares => millares,
centenas => centenas,
decenas => decenas,
unidades => unidades
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
entrada_bin<="0001111100101101";
-- insert stimulus here
wait;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.package0.max;
entity ent is
port(
sig : out std_logic_vector(max(10, 20) - 1 downto 0)
);
end entity ent;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sdrtestmod
-- File: sdrtestmod.vhd
-- Author: Magnus Hjorth - Aeroflex Gaisler
-- Description: Test report module with SDRAM interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.sim.all;
entity sdrtestmod is
generic (
width: integer := 32; -- 32-bit or 64-bit supported
bank: integer range 0 to 3 := 0;
row: integer := 0;
halt: integer range 0 to 1 := 1;
swwidth: integer := 32 -- Internal reportdev size, can be 32/64
);
port (
clk: in std_ulogic;
csn: in std_ulogic;
rasn: in std_ulogic;
casn: in std_ulogic;
wen: in std_ulogic;
ba: in std_logic_vector(1 downto 0);
addr: in std_logic_vector(12 downto 0);
dq: inout std_logic_vector(width-1 downto 0);
dqm: in std_logic_vector(width/8-1 downto 0)
);
end;
architecture sim of sdrtestmod is
begin
dq <= (others => 'Z');
p: process(clk)
variable modereg: std_logic_vector(12 downto 0);
variable myrow: boolean := false;
variable wrburst: integer := 0;
variable wrcol: integer;
variable i,j,k: integer;
variable d: std_logic_vector(31 downto 0);
variable errcnt, vendorid, deviceid : integer;
procedure write_main(addr: integer; d: std_logic_vector) is
variable errno, subtest : integer;
begin
case i is
when 0 =>
vendorid := conv_integer(d(31 downto 24));
deviceid := conv_integer(d(23 downto 12));
print(iptable(vendorid).device_table(deviceid));
when 1 =>
errno := conv_integer(d(15 downto 0));
if (halt = 1) then
assert false
report "test failed, error (" & tost(errno) & ")"
severity failure;
else
assert false
report "test failed, error (" & tost(errno) & ")"
severity warning;
end if;
when 2 =>
subtest := conv_integer(d(7 downto 0));
call_subtest(vendorid, deviceid, subtest);
when 4 =>
print ("");
print ("**** GRLIB system test starting ****");
errcnt := 0;
when 5 =>
if errcnt = 0 then
print ("Test passed, halting with IU error mode");
elsif errcnt = 1 then
print ("1 error detected, halting with IU error mode");
else
print (tost(errcnt) & " errors detected, halting with IU error mode");
end if;
print ("");
when 6 =>
grlib.testlib.print("Checkpoint " & tost(conv_integer(d(15 downto 0))));
when 7 =>
vendorid := 0; deviceid := 0;
print ("Basic memory test");
when others =>
end case;
end write_main;
begin
if rising_edge(clk) then
if csn='0' then
if rasn='0' and casn='0' and wen='0' then
modereg := addr;
elsif rasn='0' and casn='1' and wen='1' then
if ba=conv_std_logic_vector(bank,2) and addr=conv_std_logic_vector(row,13) then
myrow := true;
else
myrow := false;
end if;
elsif rasn='1' and casn='0' and wen='0' then
if myrow then
if modereg(9)='0' and modereg(2 downto 0)="001" then
wrburst := 2;
elsif modereg(9)='0' and modereg(2 downto 0)="010" then
wrburst := 4;
elsif modereg(9)='0' and (modereg(2 downto 0)="011" or modereg(2)='1') then
wrburst := 8;
else
wrburst := 1;
end if;
wrcol := conv_integer(addr(7 downto 0));
end if;
elsif rasn='0' and casn='1' and wen='0' then
if ba=conv_std_logic_vector(bank,2) or addr(10)='1' then
myrow := false;
wrburst := 0;
end if;
end if;
end if;
if wrburst > 0 then
for x in 0 to (width/32)-1 loop
if width=32 and swwidth=64 and (wrcol mod 2 < 1) then next; end if;
if width=64 and swwidth=64 and x=0 then next; end if;
if dqm(width/8-1-x*4 downto width/8-4-x*4) = "0000" then
i := (wrcol*width)/swwidth + (x*32)/swwidth;
d := dq(width-1-x*32 downto width-32-x*32);
if d /= x"DEADBEEF" then
write_main(i,d);
end if;
end if;
end loop;
wrburst := wrburst-1;
wrcol := wrcol+1;
end if;
end if;
end process;
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 20 13:53:00 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/ZyboIP/general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_ieee754_fp_multiplier_0_0/affine_block_ieee754_fp_multiplier_0_0_stub.vhdl
-- Design : affine_block_ieee754_fp_multiplier_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity affine_block_ieee754_fp_multiplier_0_0 is
Port (
x : in STD_LOGIC_VECTOR ( 31 downto 0 );
y : in STD_LOGIC_VECTOR ( 31 downto 0 );
z : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end affine_block_ieee754_fp_multiplier_0_0;
architecture stub of affine_block_ieee754_fp_multiplier_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "x[31:0],y[31:0],z[31:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "ieee754_fp_multiplier,Vivado 2016.4";
begin
end;
|
library ieee;
use ieee.std_logic_1164.all;
entity uart_peripheral is
generic (
tx_fifo : boolean := true;
divisor : natural := 417 );
port (
clock : in std_logic;
reset : in std_logic;
bus_select : in std_logic;
bus_write : in std_logic;
bus_addr : in std_logic_vector(1 downto 0);
bus_wdata : in std_logic_vector(7 downto 0);
bus_rdata : out std_logic_vector(7 downto 0);
uart_irq : out std_logic;
txd : out std_logic;
rxd : in std_logic );
end uart_peripheral;
architecture gideon of uart_peripheral is
signal dotx : std_logic;
signal done : std_logic;
signal rxchar : std_logic_vector(7 downto 0);
signal rx_ack : std_logic;
signal rxfifo_get : std_logic;
signal rxfifo_dout : std_logic_vector(7 downto 0);
signal rxfifo_full : std_logic;
signal rxfifo_dav : std_logic;
signal overflow : std_logic;
signal flags : std_logic_vector(7 downto 0);
signal imask : std_logic_vector(7 downto 6);
signal txfifo_get : std_logic;
signal txfifo_put : std_logic;
signal txfifo_dout : std_logic_vector(7 downto 0);
signal txfifo_full : std_logic := '1';
signal txfifo_dav : std_logic;
signal dotx_d : std_logic;
signal txchar : std_logic_vector(7 downto 0);
begin
my_tx: entity work.tx
generic map (divisor)
port map (
clk => clock,
reset => reset,
dotx => dotx,
txchar => txchar,
txd => txd,
done => done );
my_rx: entity work.rx
generic map (divisor)
port map (
clk => clock,
reset => reset,
rxd => rxd,
rxchar => rxchar,
rx_ack => rx_ack );
my_rxfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => rxfifo_get,
PutElement => rx_ack,
FlushFifo => '0',
DataIn => rxchar,
DataOut => rxfifo_dout,
SpaceInFifo => open,
AlmostFull => rxfifo_full,
DataInFifo => rxfifo_dav );
gentx: if tx_fifo generate
my_txfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => txfifo_get,
PutElement => txfifo_put,
FlushFifo => '0',
DataIn => bus_wdata,
DataOut => txfifo_dout,
SpaceInFifo => open,
AlmostFull => txfifo_full,
DataInFifo => txfifo_dav );
end generate;
process(bus_select, bus_write, bus_addr, txfifo_dav, bus_wdata, txfifo_dout, done)
begin
if not tx_fifo then
txfifo_put <= '0';
txchar <= bus_wdata;
if bus_select='1' and bus_write='1' and bus_addr="00" then
dotx <= '1';
else
dotx <= '0';
end if;
else -- there is a fifo
dotx <= txfifo_dav and done;
txchar <= txfifo_dout;
if bus_select='1' and bus_write='1' and bus_addr="00" then
txfifo_put <= '1';
else
txfifo_put <= '0';
end if;
end if;
end process;
process(clock)
begin
if rising_edge(clock) then
rxfifo_get <= '0';
dotx_d <= dotx;
txfifo_get <= dotx_d;
if rxfifo_full='1' and rx_ack='1' then
overflow <= '1';
end if;
if bus_select='1' and bus_write='1' then
case bus_addr is
when "00" => -- dout
null; -- covered by combi statement
when "01" => -- din
rxfifo_get <= '1';
when "10" => -- clear flags
overflow <= overflow and not bus_wdata(0);
when "11" => -- interrupt control
imask <= bus_wdata(7 downto 6);
when others =>
null;
end case;
end if;
if reset='1' then
overflow <= '0';
imask <= (others => '0');
end if;
end if;
end process;
flags(0) <= overflow;
flags(1) <= '0';
flags(2) <= '0';
flags(3) <= '0';
flags(4) <= txfifo_full;
flags(5) <= rxfifo_full;
flags(6) <= done;
flags(7) <= rxfifo_dav;
with bus_addr select bus_rdata <=
rxfifo_dout when "00",
flags when "10",
imask & "000000" when "11",
X"00" when others;
uart_irq <= '1' when (flags(7 downto 6) and imask) /= "00" else '0';
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
entity uart_peripheral is
generic (
tx_fifo : boolean := true;
divisor : natural := 417 );
port (
clock : in std_logic;
reset : in std_logic;
bus_select : in std_logic;
bus_write : in std_logic;
bus_addr : in std_logic_vector(1 downto 0);
bus_wdata : in std_logic_vector(7 downto 0);
bus_rdata : out std_logic_vector(7 downto 0);
uart_irq : out std_logic;
txd : out std_logic;
rxd : in std_logic );
end uart_peripheral;
architecture gideon of uart_peripheral is
signal dotx : std_logic;
signal done : std_logic;
signal rxchar : std_logic_vector(7 downto 0);
signal rx_ack : std_logic;
signal rxfifo_get : std_logic;
signal rxfifo_dout : std_logic_vector(7 downto 0);
signal rxfifo_full : std_logic;
signal rxfifo_dav : std_logic;
signal overflow : std_logic;
signal flags : std_logic_vector(7 downto 0);
signal imask : std_logic_vector(7 downto 6);
signal txfifo_get : std_logic;
signal txfifo_put : std_logic;
signal txfifo_dout : std_logic_vector(7 downto 0);
signal txfifo_full : std_logic := '1';
signal txfifo_dav : std_logic;
signal dotx_d : std_logic;
signal txchar : std_logic_vector(7 downto 0);
begin
my_tx: entity work.tx
generic map (divisor)
port map (
clk => clock,
reset => reset,
dotx => dotx,
txchar => txchar,
txd => txd,
done => done );
my_rx: entity work.rx
generic map (divisor)
port map (
clk => clock,
reset => reset,
rxd => rxd,
rxchar => rxchar,
rx_ack => rx_ack );
my_rxfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => rxfifo_get,
PutElement => rx_ack,
FlushFifo => '0',
DataIn => rxchar,
DataOut => rxfifo_dout,
SpaceInFifo => open,
AlmostFull => rxfifo_full,
DataInFifo => rxfifo_dav );
gentx: if tx_fifo generate
my_txfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => txfifo_get,
PutElement => txfifo_put,
FlushFifo => '0',
DataIn => bus_wdata,
DataOut => txfifo_dout,
SpaceInFifo => open,
AlmostFull => txfifo_full,
DataInFifo => txfifo_dav );
end generate;
process(bus_select, bus_write, bus_addr, txfifo_dav, bus_wdata, txfifo_dout, done)
begin
if not tx_fifo then
txfifo_put <= '0';
txchar <= bus_wdata;
if bus_select='1' and bus_write='1' and bus_addr="00" then
dotx <= '1';
else
dotx <= '0';
end if;
else -- there is a fifo
dotx <= txfifo_dav and done;
txchar <= txfifo_dout;
if bus_select='1' and bus_write='1' and bus_addr="00" then
txfifo_put <= '1';
else
txfifo_put <= '0';
end if;
end if;
end process;
process(clock)
begin
if rising_edge(clock) then
rxfifo_get <= '0';
dotx_d <= dotx;
txfifo_get <= dotx_d;
if rxfifo_full='1' and rx_ack='1' then
overflow <= '1';
end if;
if bus_select='1' and bus_write='1' then
case bus_addr is
when "00" => -- dout
null; -- covered by combi statement
when "01" => -- din
rxfifo_get <= '1';
when "10" => -- clear flags
overflow <= overflow and not bus_wdata(0);
when "11" => -- interrupt control
imask <= bus_wdata(7 downto 6);
when others =>
null;
end case;
end if;
if reset='1' then
overflow <= '0';
imask <= (others => '0');
end if;
end if;
end process;
flags(0) <= overflow;
flags(1) <= '0';
flags(2) <= '0';
flags(3) <= '0';
flags(4) <= txfifo_full;
flags(5) <= rxfifo_full;
flags(6) <= done;
flags(7) <= rxfifo_dav;
with bus_addr select bus_rdata <=
rxfifo_dout when "00",
flags when "10",
imask & "000000" when "11",
X"00" when others;
uart_irq <= '1' when (flags(7 downto 6) and imask) /= "00" else '0';
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
entity uart_peripheral is
generic (
tx_fifo : boolean := true;
divisor : natural := 417 );
port (
clock : in std_logic;
reset : in std_logic;
bus_select : in std_logic;
bus_write : in std_logic;
bus_addr : in std_logic_vector(1 downto 0);
bus_wdata : in std_logic_vector(7 downto 0);
bus_rdata : out std_logic_vector(7 downto 0);
uart_irq : out std_logic;
txd : out std_logic;
rxd : in std_logic );
end uart_peripheral;
architecture gideon of uart_peripheral is
signal dotx : std_logic;
signal done : std_logic;
signal rxchar : std_logic_vector(7 downto 0);
signal rx_ack : std_logic;
signal rxfifo_get : std_logic;
signal rxfifo_dout : std_logic_vector(7 downto 0);
signal rxfifo_full : std_logic;
signal rxfifo_dav : std_logic;
signal overflow : std_logic;
signal flags : std_logic_vector(7 downto 0);
signal imask : std_logic_vector(7 downto 6);
signal txfifo_get : std_logic;
signal txfifo_put : std_logic;
signal txfifo_dout : std_logic_vector(7 downto 0);
signal txfifo_full : std_logic := '1';
signal txfifo_dav : std_logic;
signal dotx_d : std_logic;
signal txchar : std_logic_vector(7 downto 0);
begin
my_tx: entity work.tx
generic map (divisor)
port map (
clk => clock,
reset => reset,
dotx => dotx,
txchar => txchar,
txd => txd,
done => done );
my_rx: entity work.rx
generic map (divisor)
port map (
clk => clock,
reset => reset,
rxd => rxd,
rxchar => rxchar,
rx_ack => rx_ack );
my_rxfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => rxfifo_get,
PutElement => rx_ack,
FlushFifo => '0',
DataIn => rxchar,
DataOut => rxfifo_dout,
SpaceInFifo => open,
AlmostFull => rxfifo_full,
DataInFifo => rxfifo_dav );
gentx: if tx_fifo generate
my_txfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => txfifo_get,
PutElement => txfifo_put,
FlushFifo => '0',
DataIn => bus_wdata,
DataOut => txfifo_dout,
SpaceInFifo => open,
AlmostFull => txfifo_full,
DataInFifo => txfifo_dav );
end generate;
process(bus_select, bus_write, bus_addr, txfifo_dav, bus_wdata, txfifo_dout, done)
begin
if not tx_fifo then
txfifo_put <= '0';
txchar <= bus_wdata;
if bus_select='1' and bus_write='1' and bus_addr="00" then
dotx <= '1';
else
dotx <= '0';
end if;
else -- there is a fifo
dotx <= txfifo_dav and done;
txchar <= txfifo_dout;
if bus_select='1' and bus_write='1' and bus_addr="00" then
txfifo_put <= '1';
else
txfifo_put <= '0';
end if;
end if;
end process;
process(clock)
begin
if rising_edge(clock) then
rxfifo_get <= '0';
dotx_d <= dotx;
txfifo_get <= dotx_d;
if rxfifo_full='1' and rx_ack='1' then
overflow <= '1';
end if;
if bus_select='1' and bus_write='1' then
case bus_addr is
when "00" => -- dout
null; -- covered by combi statement
when "01" => -- din
rxfifo_get <= '1';
when "10" => -- clear flags
overflow <= overflow and not bus_wdata(0);
when "11" => -- interrupt control
imask <= bus_wdata(7 downto 6);
when others =>
null;
end case;
end if;
if reset='1' then
overflow <= '0';
imask <= (others => '0');
end if;
end if;
end process;
flags(0) <= overflow;
flags(1) <= '0';
flags(2) <= '0';
flags(3) <= '0';
flags(4) <= txfifo_full;
flags(5) <= rxfifo_full;
flags(6) <= done;
flags(7) <= rxfifo_dav;
with bus_addr select bus_rdata <=
rxfifo_dout when "00",
flags when "10",
imask & "000000" when "11",
X"00" when others;
uart_irq <= '1' when (flags(7 downto 6) and imask) /= "00" else '0';
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
entity uart_peripheral is
generic (
tx_fifo : boolean := true;
divisor : natural := 417 );
port (
clock : in std_logic;
reset : in std_logic;
bus_select : in std_logic;
bus_write : in std_logic;
bus_addr : in std_logic_vector(1 downto 0);
bus_wdata : in std_logic_vector(7 downto 0);
bus_rdata : out std_logic_vector(7 downto 0);
uart_irq : out std_logic;
txd : out std_logic;
rxd : in std_logic );
end uart_peripheral;
architecture gideon of uart_peripheral is
signal dotx : std_logic;
signal done : std_logic;
signal rxchar : std_logic_vector(7 downto 0);
signal rx_ack : std_logic;
signal rxfifo_get : std_logic;
signal rxfifo_dout : std_logic_vector(7 downto 0);
signal rxfifo_full : std_logic;
signal rxfifo_dav : std_logic;
signal overflow : std_logic;
signal flags : std_logic_vector(7 downto 0);
signal imask : std_logic_vector(7 downto 6);
signal txfifo_get : std_logic;
signal txfifo_put : std_logic;
signal txfifo_dout : std_logic_vector(7 downto 0);
signal txfifo_full : std_logic := '1';
signal txfifo_dav : std_logic;
signal dotx_d : std_logic;
signal txchar : std_logic_vector(7 downto 0);
begin
my_tx: entity work.tx
generic map (divisor)
port map (
clk => clock,
reset => reset,
dotx => dotx,
txchar => txchar,
txd => txd,
done => done );
my_rx: entity work.rx
generic map (divisor)
port map (
clk => clock,
reset => reset,
rxd => rxd,
rxchar => rxchar,
rx_ack => rx_ack );
my_rxfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => rxfifo_get,
PutElement => rx_ack,
FlushFifo => '0',
DataIn => rxchar,
DataOut => rxfifo_dout,
SpaceInFifo => open,
AlmostFull => rxfifo_full,
DataInFifo => rxfifo_dav );
gentx: if tx_fifo generate
my_txfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => txfifo_get,
PutElement => txfifo_put,
FlushFifo => '0',
DataIn => bus_wdata,
DataOut => txfifo_dout,
SpaceInFifo => open,
AlmostFull => txfifo_full,
DataInFifo => txfifo_dav );
end generate;
process(bus_select, bus_write, bus_addr, txfifo_dav, bus_wdata, txfifo_dout, done)
begin
if not tx_fifo then
txfifo_put <= '0';
txchar <= bus_wdata;
if bus_select='1' and bus_write='1' and bus_addr="00" then
dotx <= '1';
else
dotx <= '0';
end if;
else -- there is a fifo
dotx <= txfifo_dav and done;
txchar <= txfifo_dout;
if bus_select='1' and bus_write='1' and bus_addr="00" then
txfifo_put <= '1';
else
txfifo_put <= '0';
end if;
end if;
end process;
process(clock)
begin
if rising_edge(clock) then
rxfifo_get <= '0';
dotx_d <= dotx;
txfifo_get <= dotx_d;
if rxfifo_full='1' and rx_ack='1' then
overflow <= '1';
end if;
if bus_select='1' and bus_write='1' then
case bus_addr is
when "00" => -- dout
null; -- covered by combi statement
when "01" => -- din
rxfifo_get <= '1';
when "10" => -- clear flags
overflow <= overflow and not bus_wdata(0);
when "11" => -- interrupt control
imask <= bus_wdata(7 downto 6);
when others =>
null;
end case;
end if;
if reset='1' then
overflow <= '0';
imask <= (others => '0');
end if;
end if;
end process;
flags(0) <= overflow;
flags(1) <= '0';
flags(2) <= '0';
flags(3) <= '0';
flags(4) <= txfifo_full;
flags(5) <= rxfifo_full;
flags(6) <= done;
flags(7) <= rxfifo_dav;
with bus_addr select bus_rdata <=
rxfifo_dout when "00",
flags when "10",
imask & "000000" when "11",
X"00" when others;
uart_irq <= '1' when (flags(7 downto 6) and imask) /= "00" else '0';
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
entity uart_peripheral is
generic (
tx_fifo : boolean := true;
divisor : natural := 417 );
port (
clock : in std_logic;
reset : in std_logic;
bus_select : in std_logic;
bus_write : in std_logic;
bus_addr : in std_logic_vector(1 downto 0);
bus_wdata : in std_logic_vector(7 downto 0);
bus_rdata : out std_logic_vector(7 downto 0);
uart_irq : out std_logic;
txd : out std_logic;
rxd : in std_logic );
end uart_peripheral;
architecture gideon of uart_peripheral is
signal dotx : std_logic;
signal done : std_logic;
signal rxchar : std_logic_vector(7 downto 0);
signal rx_ack : std_logic;
signal rxfifo_get : std_logic;
signal rxfifo_dout : std_logic_vector(7 downto 0);
signal rxfifo_full : std_logic;
signal rxfifo_dav : std_logic;
signal overflow : std_logic;
signal flags : std_logic_vector(7 downto 0);
signal imask : std_logic_vector(7 downto 6);
signal txfifo_get : std_logic;
signal txfifo_put : std_logic;
signal txfifo_dout : std_logic_vector(7 downto 0);
signal txfifo_full : std_logic := '1';
signal txfifo_dav : std_logic;
signal dotx_d : std_logic;
signal txchar : std_logic_vector(7 downto 0);
begin
my_tx: entity work.tx
generic map (divisor)
port map (
clk => clock,
reset => reset,
dotx => dotx,
txchar => txchar,
txd => txd,
done => done );
my_rx: entity work.rx
generic map (divisor)
port map (
clk => clock,
reset => reset,
rxd => rxd,
rxchar => rxchar,
rx_ack => rx_ack );
my_rxfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => rxfifo_get,
PutElement => rx_ack,
FlushFifo => '0',
DataIn => rxchar,
DataOut => rxfifo_dout,
SpaceInFifo => open,
AlmostFull => rxfifo_full,
DataInFifo => rxfifo_dav );
gentx: if tx_fifo generate
my_txfifo: entity work.srl_fifo
generic map (
Width => 8,
Threshold => 12 )
port map (
clock => clock,
reset => reset,
GetElement => txfifo_get,
PutElement => txfifo_put,
FlushFifo => '0',
DataIn => bus_wdata,
DataOut => txfifo_dout,
SpaceInFifo => open,
AlmostFull => txfifo_full,
DataInFifo => txfifo_dav );
end generate;
process(bus_select, bus_write, bus_addr, txfifo_dav, bus_wdata, txfifo_dout, done)
begin
if not tx_fifo then
txfifo_put <= '0';
txchar <= bus_wdata;
if bus_select='1' and bus_write='1' and bus_addr="00" then
dotx <= '1';
else
dotx <= '0';
end if;
else -- there is a fifo
dotx <= txfifo_dav and done;
txchar <= txfifo_dout;
if bus_select='1' and bus_write='1' and bus_addr="00" then
txfifo_put <= '1';
else
txfifo_put <= '0';
end if;
end if;
end process;
process(clock)
begin
if rising_edge(clock) then
rxfifo_get <= '0';
dotx_d <= dotx;
txfifo_get <= dotx_d;
if rxfifo_full='1' and rx_ack='1' then
overflow <= '1';
end if;
if bus_select='1' and bus_write='1' then
case bus_addr is
when "00" => -- dout
null; -- covered by combi statement
when "01" => -- din
rxfifo_get <= '1';
when "10" => -- clear flags
overflow <= overflow and not bus_wdata(0);
when "11" => -- interrupt control
imask <= bus_wdata(7 downto 6);
when others =>
null;
end case;
end if;
if reset='1' then
overflow <= '0';
imask <= (others => '0');
end if;
end if;
end process;
flags(0) <= overflow;
flags(1) <= '0';
flags(2) <= '0';
flags(3) <= '0';
flags(4) <= txfifo_full;
flags(5) <= rxfifo_full;
flags(6) <= done;
flags(7) <= rxfifo_dav;
with bus_addr select bus_rdata <=
rxfifo_dout when "00",
flags when "10",
imask & "000000" when "11",
X"00" when others;
uart_irq <= '1' when (flags(7 downto 6) and imask) /= "00" else '0';
end gideon;
|
--LIBRARY ieee;
--USE ieee.std_logic_1164.ALL;
--PACKAGE data_memory is
-- constant memsize: integer := 61;
-- type word is std_logic_vector(15 downto 0);
-- type memory is array(5 downto 0) of word;
-- type memory is array(5 downto 0) of std_logic_vector(15 downto 0);
--END data_memory;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
--USE data__memory.ALL;
entity PC104 is port
(
-- bus interface signals --
-- BALE: in STD_LOGIC;
-- TC: in STD_LOGIC;
-- BOSC: in STD_LOGIC;
-- RSTDRV: in STD_LOGIC; --System reset
-- REFRES: in STD_LOGIC;
-- SBHE: in STD_LOGIC;
-- MASTER: in STD_LOGIC;
-- SMEMR: in STD_LOGIC;
-- SMEMW: in STD_LOGIC;
-- IOCHRDY: inout STD_LOGIC;
-- MEMCS16: inout STD_LOGIC;
IOCS16: inout STD_LOGIC;
-- IRQ: out STD_LOGIC_VECTOR (15 downto 3); -- interrupts
-- DACK: in STD_LOGIC_VECTOR (7 downto 6); -- dma acknowledges
-- DRQ: out STD_LOGIC_VECTOR (7 downto 6); -- dma requests
LBE: out STD_LOGIC;
LBDIR: out STD_LOGIC;
-- SYSCLK: in STD_LOGIC; --PC104 bus clock PIN 185 GCK3
SynClk: in STD_LOGIC; --50 MHz local clock PIN 80 GCK0
DIS: out STD_LOGIC;
AEN: in STD_LOGIC;
IORD: in STD_LOGIC;
IOWR: in STD_LOGIC;
SA: in STD_LOGIC_VECTOR (9 downto 0); -- address bus
SD: out STD_LOGIC_VECTOR (15 downto 0); -- data bus
-- led bits
LEDS: out STD_LOGIC_VECTOR(5 downto 0);
strobe: in std_logic;
Hadvance: out STD_LOGIC;
Hreset: out STD_LOGIC;
Hdata: in STD_LOGIC;
HistBits: in std_logic_vector(5 downto 0);
pulse0: in std_logic;
pulse1: in std_logic;
latch_count: in std_logic;
alt_clock: in std_logic;
radar_alt: in std_logic;
-- ckout: out std_logic;
ldebug: out std_logic;
pdebug: out std_logic;
ndebug: out std_logic;
cdebug: out std_logic
);
end PC104;
Architecture behavior of PC104 is
-- 0x220 hex
constant Decode : STD_LOGIC_VECTOR (5 downto 0) := "100010";
-- misc global signals --
-- type memory is array(63 downto 0) of std_logic_vector(15 downto 0);
type ram_type is array(63 downto 0) of std_logic_vector(15 downto 0);
-- signal Histogram: memory; --:=
signal Histogram: ram_type:=
(X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",
X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000",X"0000");
signal index: integer:=0;
signal CardSelect: STD_LOGIC:='0';
signal HistAdd: std_logic_vector (15 downto 0):=X"0000";
signal strobes: std_logic_vector (15 downto 0):=X"0000";
signal count0: std_logic_vector(15 downto 0):=X"0000";
signal count1: std_logic_vector(15 downto 0):=X"0000";
signal count0_latch: std_logic_vector(15 downto 0):=X"0000";
signal count1_latch: std_logic_vector(15 downto 0):=X"0000";
signal count_clear: std_logic:='0';
signal altitude: std_logic_vector(23 downto 0):=X"000000";
signal clear_hist: std_logic:='0';
signal house_data: std_logic_vector(15 downto 0):=X"0000";
signal house_adv: std_logic:='0';
signal alt_clock_old1: std_logic:='0';
signal alt_clock_old2: std_logic:='0';
signal read_data: std_logic:='0';
signal read_data_old1: std_logic:='0';
signal read_data_old2: std_logic:='0';
signal pulse0_old1: std_logic:='0';
signal pulse1_old1: std_logic:='0';
signal pulse0_old2: std_logic:='0';
signal pulse1_old2: std_logic:='0';
signal latch_count_old1: std_logic:='0';
signal latch_count_old2: std_logic:='0';
signal strobe_old1: std_logic:='0';
signal strobe_old2: std_logic:='0';
signal Hdata_old1: std_logic:='0';
signal Hdata_old2: std_logic:='0';
signal ledcount: STD_LOGIC_VECTOR (28 downto 0);
--Components:
-- component ledblink is
-- port (
-- clk: in STD_LOGIC;
-- ledx: out STD_LOGIC_VECTOR (5 downto 0)
-- );
-- end component ledblink;
begin
-- gledblink: ledblink port map (
-- clk => SynClk,
-- ledx => LEDS
-- );
-- DRQ <= "ZZ";
-- IRQ <= "ZZZZZZZZZZZZZ";
DIS <= '0'; -- uncomment to leave configuration decode on
-- DIS <= '1'; -- uncomment to disable configuration decode
-- MEMCS16 <= 'Z';
-- IOCHRDY <= 'Z';
-- ckout <= SynClk;
HistAdd(15 downto 6) <= "0000000000";
HistAdd(5 downto 0) <= HistBits(5 downto 0);
-- leds(0) <= not ledcount(23);
leds(0) <= not(HistBits(0) and HistBits(1) and HistBits(2) and HistBits(3)
and HistBits(4) and HistBits(5));
leds(1) <= not ledcount(24);
leds(2) <= not ledcount(25);
leds(3) <= not ledcount(26);
leds(4) <= not ledcount(27);
leds(5) <= not ledcount(28);
ledblinker: process (SynClk)
begin
if rising_edge(SynClk) then
ledcount <= ledcount + 1;
end if;
end process ledblinker;
RadarAltimeter: process (SynClk)
begin
if rising_edge(SynClk) then
alt_clock_old2 <= alt_clock_old1;
alt_clock_old1 <= alt_clock;
if alt_clock_old2 = '0' and alt_clock_old1 = '1' then
-- altitude <= altitude(1 to 23) & radar_alt; --bit into msb
-- altitude <= radar_alt & altitude(0 to 22); --bit into lsb
-- altitude <= altitude(22 downto 0) & radar_alt; --bit into lsb
altitude <= radar_alt & altitude(23 downto 1) ; --bit into msb
ndebug <= radar_alt;
pdebug <= altitude(23);
end if;
end if;
end process RadarAltimeter;
PulseCounters: process (SynClk)
begin
if rising_edge(SynClk) then
pulse0_old1 <= pulse0;
pulse0_old2 <= pulse0_old1;
pulse1_old1 <= pulse1;
pulse1_old2 <= pulse1_old1;
if count_clear = '1' then
count0 <= X"0000";
count1 <= X"0000";
end if;
if pulse0_old2 = '0' and pulse0_old1 = '1' then
count0 <= count0 + 1;
end if;
if pulse1_old2 = '0' and pulse1_old1 = '1' then
count1 <= count1 + 1;
end if;
end if;
end process PulseCounters;
LatchCounts: process (SynCLk)
begin
if rising_edge(SynClk) then
latch_count_old1 <= latch_count;
latch_count_old2 <= latch_count_old1;
if latch_count_old2 = '0' and latch_count_old1 = '1' then
count_clear <= '1';
count0_latch <= count0;
count1_latch <= count1;
else
count_clear <= '0';
end if;
end if;
end process LatchCounts;
HouseCounter: process (SynClk,house_adv)
begin
if (house_adv = '1') then
house_data <= X"0000";
elsif rising_edge(SynClk) then
Hdata_old2 <= Hdata_old1;
Hdata_old1 <= Hdata;
if Hdata_old2 = '0' and Hdata_old1 = '1' then
house_data <= house_data + 1;
end if;
end if;
end process HouseCounter;
CSelect: process (AEN)
begin
if SA(9 downto 4) = Decode and AEN = '0' then
CardSelect <= '1';
LBE <= '0';
else
LBE <= '1';
CardSelect <= '0';
end if;
end process CSelect;
Localbuffer: process (CardSelect, IORD)
begin
if (CardSelect = '1') and (IORD = '0') then
LBDIR <= '0';
else
LBDIR <= '1';
end if;
end process Localbuffer;
AddDecode: process (SA, AEN, IORD)
-- variable i: integer;
begin
clear_hist <= '0';
read_data <= '0';
if SA(3 downto 0) = "0010" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= '0';
if IORD = '0' then
SD(15 downto 0) <= strobes(15 downto 0);
end if;
elsif SA(3 downto 0) = "0011" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= 'Z';
if IORD = '0' then
ldebug <= '1';
clear_hist <= '1';
end if;
elsif SA(3 downto 0) = "0100" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= '0';
if IORD = '0' then
ldebug <= '0';
SD(15 downto 0) <= Histogram(index);
read_data <= '1';
end if;
elsif SA(3 downto 0) = "0101" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= 'Z';
Hadvance <= '1';
house_adv <= '1';
elsif SA(3 downto 0) = "0110" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= '0';
if IORD = '0' then
SD <= house_data;
end if;
elsif SA(3 downto 0) = "0111" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= 'Z';
Hreset <= '1';
elsif SA(3 downto 0) = "1000" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= '0';
if IORD = '0' then
SD(15 downto 0) <= count0_latch(15 downto 0);
-- cdebug <='0';
end if;
elsif SA(3 downto 0) = "1010" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= '0';
if IORD = '0' then
SD(15 downto 0) <= count1_latch(15 downto 0);
end if;
elsif SA(3 downto 0) = "1100" and SA(9 downto 4) = Decode and AEN = '0' then
IOCS16 <= '0';
if IORD = '0' then
SD(15 downto 8) <= altitude(21 downto 14);
SD(7 downto 1) <= altitude(13 downto 7);
SD(0) <= altitude(1) or altitude(2) or altitude (4);
end if;
else
Hadvance <= '0';
house_adv <= '0';
Hreset <= '0';
SD(15 downto 0) <= "ZZZZZZZZZZZZZZZZ";
IOCS16 <= 'Z';
-- cdebug <= '1';
end if;
end process AddDecode;
histo: process(SynClk,clear_hist)
variable i: integer;
variable j: integer;
variable k: integer;
variable n: integer;
begin
if clear_hist = '1' then
i := 0;
cdebug <= '1';
strobes <= X"0000";
for k in 0 to 63 loop
Histogram(k) <= X"0000";
end loop;
-- i := 63;
-- while i>=0 loop
-- Histogram(i) <= X"0000";
-- i := i - 1;
-- end loop;
-- i := 31;
-- while i>=0 loop
-- Histogram(i) <= X"0000";
-- i := i - 1;
-- end loop;
elsif rising_edge(SynClk) then
j := conv_integer(HistAdd);
n := j;
cdebug <= '0';
strobe_old2 <= strobe_old1;
strobe_old1 <= strobe;
read_data_old2 <= read_data_old1;
read_data_old1 <= read_data;
if read_data_old2 = '0' and read_data_old1 = '1' then
index <= i;
i := i + 1;
elsif strobe_old2 = '0' and strobe_old1 = '1' then
strobes <= strobes + 1;
Histogram(n) <= Histogram(n) + 1;
end if;
end if;
end process histo;
end behavior;
|
-- $Id: tst_serloop_hiomap.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2011- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: tst_serloop_hiomap - syn
-- Description: default human I/O mapper
--
-- Dependencies: -
-- Test bench: -
--
-- Target Devices: generic
-- Tool versions: ise 13.1-14.7; viv 2014.4-2015.4; ghdl 0.29-0.33
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-12-09 437 1.0.2 rename serport stat->moni port
-- 2011-11-16 426 1.0.1 setup leds and dps
-- 2011-11-05 420 1.0 Initial version
------------------------------------------------------------------------------
--
-- Usage of Switches, Buttons, LEDs:
--
-- BTN(3): -- unused --
-- (2): -- unused --
-- (1): load enables from SWI(7:4)
-- SWI(7) -> ENAFTDI
-- SWI(6) -> ENATHROTTLE
-- SWI(5) -> ENAESC
-- SWI(4) -> ENAXON
-- (0): reset state [!! decoded by top level design !!]
--
-- SWI(7:4) select display or enable pattern (when BTN(1) pressed)
-- (3) -- unused --
-- (2:1): mode 00 idle
-- 01 rxblast
-- 10 txblast
-- 11 loop
-- SWI(0) 0 -> main board RS232 port
-- 1 -> Pmod1 RS232 port
--
-- LED(7) enaesc
-- (6) enaxon
-- (5) rxfecnt > 0 (frame error)
-- (4) rxoecnt > 0 (overrun error)
-- (3) rxsecnt > 0 (sequence error)
-- (2) abact (shows ab activity)
-- (1) (not rxok) or (not txok) (shows back pressure)
-- (0) rxact or txact (shows activity)
--
-- DSP data as selected by SWI(7:4)
-- 0000 -> rxfecnt
-- 0001 -> rxoecnt
-- 0010 -> rxsecnt
-- 0100 -> rxcnt.l
-- 0101 -> rxcnt.h
-- 0110 -> txcnt.l
-- 0111 -> txcnt.h
-- 1000 -> rxokcnt
-- 1001 -> txokcnt
-- 1010 -> rxuicnt,rxuidat
-- 1111 -> abclkdiv
--
-- DP(3): not SER_MONI.txok (shows tx back pressure)
-- (2): SER_MONI.txact (shows tx activity)
-- (1): not SER_MONI.rxok (shows rx back pressure)
-- (0): SER_MONI.rxact (shows rx activity)
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.serportlib.all;
use work.tst_serlooplib.all;
-- ----------------------------------------------------------------------------
entity tst_serloop_hiomap is -- default human I/O mapper
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
HIO_CNTL : out hio_cntl_type; -- tester controls from hio
HIO_STAT : in hio_stat_type; -- tester status to diaplay by hio
SER_MONI : in serport_moni_type; -- serport monitor to display by hio
SWI : in slv8; -- switch settings
BTN : in slv4; -- button settings
LED : out slv8; -- led data
DSP_DAT : out slv16; -- display data
DSP_DP : out slv4 -- display decimal points
);
end tst_serloop_hiomap;
architecture syn of tst_serloop_hiomap is
type regs_type is record
enaxon : slbit; -- enable xon/xoff handling
enaesc : slbit; -- enable xon/xoff escaping
enathrottle : slbit; -- enable 1 msec tx throttling
enaftdi : slbit; -- enable ftdi flush handling
dspdat : slv16; -- display data
end record regs_type;
constant regs_init : regs_type := (
'0','0','0','0', -- enaxon,enaesc,enathrottle,enaftdi
(others=>'0') -- dspdat
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
begin
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, HIO_STAT, SER_MONI, SWI, BTN)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable icntl : hio_cntl_type := hio_cntl_init;
variable iled : slv8 := (others=>'0');
variable idat : slv16 := (others=>'0');
variable idp : slv4 := (others=>'0');
begin
r := R_REGS;
n := R_REGS;
icntl := hio_cntl_init;
iled := (others=>'0');
idat := (others=>'0');
idp := (others=>'0');
-- handle BTN(1) "load enables" press
if BTN(1) = '1' then
n.enaxon := SWI(4);
n.enaesc := SWI(5);
n.enathrottle := SWI(6);
n.enaftdi := SWI(7);
end if;
-- setup tester controls
icntl.mode := SWI(2 downto 1);
icntl.enaxon := r.enaxon;
icntl.enaesc := r.enaesc;
icntl.enathrottle := r.enathrottle;
icntl.enaftdi := r.enaftdi;
-- setup leds
iled(7) := icntl.enaesc;
iled(6) := icntl.enaxon;
if unsigned(HIO_STAT.rxfecnt) > 0 then iled(5) := '1'; end if;
if unsigned(HIO_STAT.rxoecnt) > 0 then iled(4) := '1'; end if;
if unsigned(HIO_STAT.rxsecnt) > 0 then iled(3) := '1'; end if;
iled(2) := SER_MONI.abact;
iled(1) := (not SER_MONI.rxok) or (not SER_MONI.txok);
iled(0) := SER_MONI.rxact or SER_MONI.txact;
-- setup display data
case SWI(7 downto 4) is
when "0000" => idat := HIO_STAT.rxfecnt;
when "0001" => idat := HIO_STAT.rxoecnt;
when "0010" => idat := HIO_STAT.rxsecnt;
when "0100" => idat := HIO_STAT.rxcnt(15 downto 0);
when "0101" => idat := HIO_STAT.rxcnt(31 downto 16);
when "0110" => idat := HIO_STAT.txcnt(15 downto 0);
when "0111" => idat := HIO_STAT.txcnt(31 downto 16);
when "1000" => idat := HIO_STAT.rxokcnt;
when "1001" => idat := HIO_STAT.txokcnt;
when "1010" => idat := HIO_STAT.rxuicnt & HIO_STAT.rxuidat;
when "1111" => idat := SER_MONI.abclkdiv;
when others => null;
end case;
n.dspdat := idat;
-- setup display decimal points
idp(3) := not SER_MONI.txok; -- tx back pressure
idp(2) := SER_MONI.txact; -- tx activity
idp(1) := not SER_MONI.rxok; -- rx back pressure
idp(0) := SER_MONI.rxact; -- rx activity
N_REGS <= n;
HIO_CNTL <= icntl;
LED <= iled;
DSP_DAT <= r.dspdat;
DSP_DP <= idp;
end process proc_next;
end syn;
|
-- Prosoft VHDL tests.
--
-- Copyright (C) 2011 Prosoft.
--
-- Author: Zefirov, Karavaev.
--
-- This is a set of simplest tests for isolated tests of VHDL features.
--
-- Nothing more than standard package should be required.
--
-- Categories: entity, architecture, process, after, if-then-else, enumerations, array, record, case, for-loop, signals-attributes.
use work.std_logic_1164_for_tst.all;
entity ENT00015_Test_Bench is
end ENT00015_Test_Bench;
architecture ARCH00015_Test_Bench of ENT00015_Test_Bench is
type std_array_array is array (0 to 3, 1 to 4) of std_ulogic;
signal I_saa : std_array_array := (others => x"B");
subtype byte is bit_vector(7 downto 0);
subtype byte2 is bit_vector(0 to 7);
signal b1 : byte := x"00";
signal b2 : byte2 := x"00";
type bit_array_array is array (0 to 3, 4 downto 1) of bit;
signal I_baa : bit_array_array := (others => x"A");
type NatArray is array (natural range <>) of natural;
type std_array is array (0 to 7) of std_logic;
signal I_sa : std_array := "10101010";
type enum is (a_v, b_v, c_v, d_v, e_v, f_v);
type enum_array is array (integer range <>) of enum;
type rec is record
f1 : integer;
f2 : boolean;
f3 : bit;
f4 : enum;
f5 : enum_array(0 to 3);
f6 : NatArray(7 downto 0);
f7 : bit_vector(7 downto 0);
end record;
type rec_array is array (integer range <>) of rec;
signal e : enum := a_v;
signal ea : enum_array(0 to 3) := (others => a_v);
signal r : rec := (
f1 => 10
, f2 => true
, f3 => '1'
, f4 => a_v
, f5 => (others => a_v)
, f6 => (0 => 10, 7 => 3, others => 0)
, f7 => x"33"
);
signal ra : rec_array(0 to 3) := (others => (
f1 => 10
, f2 => true
, f3 => '1'
, f4 => a_v
, f5 => (others => a_v)
, f6 => (0 => 10, 7 => 3, others => 0)
, f7 => x"33"
)
);
signal bv : bit_vector(15 downto 0) := x"CCCC";
signal clk : std_ulogic := '0';
signal clk2 : std_ulogic := '0';
type TimeVector is array (integer range <>) of time;
signal t : TimeVector(1 to 24);
begin
t(1) <= bv'Last_event;
t(2) <= ra'Last_event;
t(3) <= r'Last_event;
t(4) <= ea'Last_event;
t(5) <= e'Last_event;
t(6) <= I_sa'Last_event;
t(7) <= I_baa'Last_event;
t(8) <= I_saa'Last_event;
t(9) <= b1'Last_event;
t(10) <= b2'Last_event;
t(11) <= clk'Last_event;
t(12) <= clk2'Last_event;
clk <= not clk after 1 us;
clk2 <= not clk2 after 3 us;
process (clk)
begin
if clk'event and clk = '1' then
b1 <= b1(6 downto 0) & not b1(7);
case e is
when a_v => e <= b_v;
when b_v => e <= c_v;
when c_v => e <= d_v;
when d_v => e <= e_v;
when e_v => e <= f_v;
when f_v => e <= a_v;
end case;
ea(0) <= e;
ea_loop: for i in 1 to ea'length-1 loop
ea(i) <= ea(i-1);
end loop ea_loop;
elsif falling_edge(clk) then
bv <= bv(bv'left-1 downto bv'low) & bv(bv'high);
r.f1 <= r.f1 + 1;
r.f2 <= not r.f2;
r.f3 <= not r.f3;
r.f4 <= e;
r.f5 <= ea;
r_f6_loop: for i in r.f6'low to r.f6'high loop
r.f6(i) <= r.f6(i) + 1;
end loop r_f6_loop;
r.f7 <= r.f7(6 downto 0) & r.f7(7);
ra(ra'high) <= r;
ra_loop: for i in ra'high-1 downto 0 loop
ra(i) <= ra(i+1);
end loop;
end if;
end process;
process (clk2)
begin
if rising_edge(clk2) then
I_sa <= I_sa(I_sa'length-1) & I_sa(0 to I_sa'length-2);
elsif clk2'event and clk2 = '0' then
I_saa_loop_1: for i in 0 to 3 loop
I_saa_loop_2: for j in 1 to 4 loop
I_saa(i,j) <= I_sa(i+j);
end loop I_saa_loop_2;
end loop I_saa_loop_1;
I_baa_loop_1: for i in 0 to 3 loop
I_baa_loop_2: for j in 1 to 4 loop
I_baa(i,j) <= bv(i*j);
end loop I_baa_loop_2;
end loop I_baa_loop_1;
end if;
end process;
end ARCH00015_Test_Bench ; |
-- Automatically generated VHDL-93
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.MATH_REAL.ALL;
use std.textio.all;
use work.all;
use work.mac_types.all;
entity mac_testbench is
port(done : out boolean);
end;
architecture structural of mac_testbench is
signal finished : boolean;
signal system1000 : std_logic;
signal system1000_rstn : std_logic;
signal w3 : mac_types.tup2;
signal result : signed(8 downto 0);
begin
done <= finished;
-- pragma translate_off
process is
begin
system1000 <= '0';
wait for 3 ns;
while (not finished) loop
system1000 <= not system1000;
wait for 500 ns;
system1000 <= not system1000;
wait for 500 ns;
end loop;
wait;
end process;
-- pragma translate_on
-- pragma translate_off
system1000_rstn <= '0',
'1' after 2 ns;
-- pragma translate_on
totest : entity mac_topentity_0
port map
(system1000 => system1000
,system1000_rstn => system1000_rstn
,w3 => w3
,result => result);
stimuli : entity mac_testinput
port map
(system1000 => system1000
,system1000_rstn => system1000_rstn
,result => w3);
verify : entity mac_expectedoutput
port map
(system1000 => system1000
,system1000_rstn => system1000_rstn
,arg => result
,result => finished);
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1548.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p10n01i01548ent IS
END c08s09b00x00p10n01i01548ent;
ARCHITECTURE c08s09b00x00p10n01i01548arch OF c08s09b00x00p10n01i01548ent IS
BEGIN
TESTING: PROCESS
-- All different non-numeric type declarations.
-- enumerated types.
type COLORS is (RED, GREEN, BLUE);
variable k : integer := 0;
BEGIN
-- None of these loops should EVER execute any of their statements.
for I in INTEGER'HIGH to 0 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'HIGH to INTEGER'HIGH-1 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'LOW downto 0 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'LOW downto INTEGER'LOW + 1 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'HIGH to COLORS'LOW loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'HIGH to COLORS'PRED( COLORS'HIGH ) loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'LOW downto COLORS'HIGH loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'LOW downto COLORS'SUCC( COLORS'LOW ) loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c08s09b00x00p10n01i01548"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s09b00x00p10n01i01548 - The sequence of statements is executed once for each value of the discrete range"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p10n01i01548arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1548.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p10n01i01548ent IS
END c08s09b00x00p10n01i01548ent;
ARCHITECTURE c08s09b00x00p10n01i01548arch OF c08s09b00x00p10n01i01548ent IS
BEGIN
TESTING: PROCESS
-- All different non-numeric type declarations.
-- enumerated types.
type COLORS is (RED, GREEN, BLUE);
variable k : integer := 0;
BEGIN
-- None of these loops should EVER execute any of their statements.
for I in INTEGER'HIGH to 0 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'HIGH to INTEGER'HIGH-1 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'LOW downto 0 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'LOW downto INTEGER'LOW + 1 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'HIGH to COLORS'LOW loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'HIGH to COLORS'PRED( COLORS'HIGH ) loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'LOW downto COLORS'HIGH loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'LOW downto COLORS'SUCC( COLORS'LOW ) loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c08s09b00x00p10n01i01548"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s09b00x00p10n01i01548 - The sequence of statements is executed once for each value of the discrete range"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p10n01i01548arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1548.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p10n01i01548ent IS
END c08s09b00x00p10n01i01548ent;
ARCHITECTURE c08s09b00x00p10n01i01548arch OF c08s09b00x00p10n01i01548ent IS
BEGIN
TESTING: PROCESS
-- All different non-numeric type declarations.
-- enumerated types.
type COLORS is (RED, GREEN, BLUE);
variable k : integer := 0;
BEGIN
-- None of these loops should EVER execute any of their statements.
for I in INTEGER'HIGH to 0 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'HIGH to INTEGER'HIGH-1 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'LOW downto 0 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in INTEGER'LOW downto INTEGER'LOW + 1 loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'HIGH to COLORS'LOW loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'HIGH to COLORS'PRED( COLORS'HIGH ) loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'LOW downto COLORS'HIGH loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
for I in COLORS'LOW downto COLORS'SUCC( COLORS'LOW ) loop
assert (FALSE)
report "For-loop executed once when it should never have been executed.";
k := 1;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c08s09b00x00p10n01i01548"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s09b00x00p10n01i01548 - The sequence of statements is executed once for each value of the discrete range"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p10n01i01548arch;
|
-- $Id: pdp11_vmbox.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: pdp11_vmbox - syn
-- Description: pdp11: virtual memory
--
-- Dependencies: pdp11_mmu
-- pdp11_ubmap
-- ibus/ib_sres_or_4
-- ibus/ib_sres_or_2
-- ibus/ib_sel
--
-- Test bench: tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-18 427 1.6.3 now numeric_std clean
-- 2010-10-23 335 1.6.2 add r.paddr_iopage, use ib_sel
-- 2010-10-22 334 1.6.1 deassert ibus be's at end-cycle; fix rmw logic
-- 2010-10-17 333 1.6 implement ibus V2 interface
-- 2010-06-27 310 1.5 redo ibus driver logic, now ibus driven from flops
-- 2010-06-20 307 1.4.2 rename cpacc to cacc in vm_cntl_type, mmu_cntl_type
-- 2010-06-18 306 1.4.1 for cpacc: set cacc in ib_mreq, forward racc,be
-- from CP_ADDR; now all ibr handling via vmbox
-- 2010-06-13 305 1.4 rename CPADDR -> CP_ADDR
-- 2009-06-01 221 1.3.8 add dip signal in ib_mreq (set in s_ib)
-- 2009-05-30 220 1.3.7 final removal of snoopers (were already commented)
-- 2009-05-01 211 1.3.6 BUGFIX: add 177776 stack protect (SCCE)
-- 2008-08-22 161 1.3.5 rename pdp11_ibres_ -> ib_sres_, ubf_ -> ibf_
-- 2008-04-25 138 1.3.4 add BRESET port, clear stklim with BRESET
-- 2008-04-20 137 1.3.3 add DM_STAT_VM port
-- 2008-03-19 127 1.3.2 ignore ack state when waiting on a busy IB in s_ib
-- 2008-03-02 121 1.3.1 remove snoopers
-- 2008-02-24 119 1.3 revamp paddr generation; add _ubmap
-- 2008-02-23 118 1.2.1 use sys_conf_mem_losize
-- 2008-02-17 117 1.2 use em_(mreq|sres) interface for external memory
-- 2008-01-26 114 1.1.4 rename 'ubus' to 'ib' (proper name of intbus now)
-- 2008-01-05 110 1.1.3 update snooper.
-- rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
-- 2008-01-01 109 1.1.2 Use IB_SRES_(CPU|EXT); use r./n. coding style, move
-- all status into regs_type. add intbus HOLD support.
-- 2007-12-30 108 1.1.1 use ubf_byte[01]
-- 2007-12-30 107 1.1 Use IB_MREQ/IB_SRES interface now; remove DMA port
-- 2007-09-16 83 1.0.2 Use ram_1swsr_wfirst_gen, not ram_2swsr_wfirst_gen
-- 2nd port was unused, connected ADDR caused slow net
-- 2007-06-14 56 1.0.1 Use slvtypes.all
-- 2007-05-12 26 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.iblib.all;
use work.pdp11.all;
use work.sys_conf.all;
-- ----------------------------------------------------------------------------
entity pdp11_vmbox is -- virtual memory
port (
CLK : in slbit; -- clock
GRESET : in slbit; -- global reset
CRESET : in slbit; -- console reset
BRESET : in slbit; -- ibus reset
CP_ADDR : in cp_addr_type; -- console port address
VM_CNTL : in vm_cntl_type; -- vm control port
VM_ADDR : in slv16; -- vm address
VM_DIN : in slv16; -- vm data in
VM_STAT : out vm_stat_type; -- vm status port
VM_DOUT : out slv16; -- vm data out
EM_MREQ : out em_mreq_type; -- external memory: request
EM_SRES : in em_sres_type; -- external memory: response
MMU_MONI : in mmu_moni_type; -- mmu monitor port
IB_MREQ_M : out ib_mreq_type; -- ibus request (master)
IB_SRES_CPU : in ib_sres_type; -- ibus response (CPU registers)
IB_SRES_EXT : in ib_sres_type; -- ibus response (external devices)
DM_STAT_VM : out dm_stat_vm_type -- debug and monitor status
);
end pdp11_vmbox;
architecture syn of pdp11_vmbox is
constant ibaddr_slim : slv16 := slv(to_unsigned(8#177774#,16));
constant atowidth : natural := 5; -- size of access timeout counter
type state_type is (
s_idle, -- s_idle: wait for vm_cntl request
s_mem_w, -- s_mem_w: check mmu, wait for memory
s_ib_w, -- s_ib_w: wait for ibus
s_ib_wend, -- s_ib_wend: ibus write completion
s_ib_rend, -- s_ib_rend: ibus read completion
s_idle_mw_ib, -- s_idle_mw_ib: wait macc write (ibus)
s_idle_mw_mem, -- s_idle_mw_mem: wait macc write (mem)
s_mem_mw_w, -- s_mem_mw_w: wait for memory (macc)
s_fail, -- s_fail: vmbox fatal error catcher
s_errrsv, -- s_errrsv: red stack violation
s_errib -- s_errib: ibus error handler
);
type regs_type is record -- state registers
state : state_type; -- state
wacc : slbit; -- write access
macc : slbit; -- modify access (r-m-w sequence)
cacc : slbit; -- console access
bytop : slbit; -- byte operation
kstack : slbit; -- access through kernel stack
ysv : slbit; -- yellow stack violation detected
vaok : slbit; -- virtual address valid (from MMU)
trap_mmu : slbit; -- mmu trace trap requested
mdin : slv16; -- data input (memory order)
paddr : slv22; -- physical address register
paddr_iopage : slv9; -- iopage base (upper 9 bits of paddr)
atocnt : slv(atowidth-1 downto 0); -- access timeout counter
ibre : slbit; -- ibus re signal
ibwe : slbit; -- ibus we signal
ibbe : slv2; -- ibus be0,be1 signals
ibrmw : slbit; -- ibus rmw signal
ibcacc : slbit; -- ibus cacc signal
ibracc : slbit; -- ibus racc signal
ibdout : slv16; -- ibus dout register
end record regs_type;
constant atocnt_init : slv(atowidth-1 downto 0) := (others=>'1');
constant regs_init : regs_type := (
s_idle, -- state
'0','0','0','0', -- wacc,macc,cacc,bytop
'0','0','0','0', -- kstack,ysv,vaok,trap_mmu
(others=>'0'), -- mdin
(others=>'0'), -- paddr
(others=>'0'), -- paddr_iopage
atocnt_init, -- atocnt
'0','0',"00", -- ibre,ibwe,ibbe
'0','0','0', -- ibrmw,ibcacc,ibracc
(others=>'0') -- ibdout
);
signal R_REGS : regs_type := regs_init;
signal N_REGS : regs_type := regs_init;
signal R_SLIM : slv8 := (others=>'0'); -- stack limit register
signal MMU_CNTL : mmu_cntl_type := mmu_cntl_init;
signal MMU_STAT : mmu_stat_type := mmu_stat_init;
signal PADDRH : slv16 := (others=>'0');
signal IBSEL_SLIM :slbit := '0'; -- select stack limit reg
signal IB_SRES_SLIM : ib_sres_type := ib_sres_init;
signal IB_SRES_MMU : ib_sres_type := ib_sres_init;
signal IB_SRES_UBMAP : ib_sres_type := ib_sres_init;
signal UBMAP_MREQ : slbit := '0';
signal UBMAP_ADDR_PM : slv22_1 := (others=>'0');
signal IB_MREQ : ib_mreq_type := ib_mreq_init; -- ibus request (local)
signal IB_SRES : ib_sres_type := ib_sres_init; -- ibus response (local)
signal IB_SRES_INT : ib_sres_type := ib_sres_init; -- ibus response (cpu)
begin
MMU : pdp11_mmu
port map (
CLK => CLK,
CRESET => CRESET,
BRESET => BRESET,
CNTL => MMU_CNTL,
VADDR => VM_ADDR,
MONI => MMU_MONI,
STAT => MMU_STAT,
PADDRH => PADDRH,
IB_MREQ => IB_MREQ,
IB_SRES => IB_SRES_MMU
);
UBMAP : pdp11_ubmap
port map (
CLK => CLK,
MREQ => UBMAP_MREQ,
ADDR_UB => CP_ADDR.addr(17 downto 1),
ADDR_PM => UBMAP_ADDR_PM,
IB_MREQ => IB_MREQ,
IB_SRES => IB_SRES_UBMAP
);
SRES_OR_INT : ib_sres_or_4
port map (
IB_SRES_1 => IB_SRES_CPU,
IB_SRES_2 => IB_SRES_SLIM,
IB_SRES_3 => IB_SRES_MMU,
IB_SRES_4 => IB_SRES_UBMAP,
IB_SRES_OR => IB_SRES_INT
);
SRES_OR_ALL : ib_sres_or_2
port map (
IB_SRES_1 => IB_SRES_INT,
IB_SRES_2 => IB_SRES_EXT,
IB_SRES_OR => IB_SRES
);
SEL : ib_sel
generic map (
IB_ADDR => ibaddr_slim)
port map (
CLK => CLK,
IB_MREQ => IB_MREQ,
SEL => IBSEL_SLIM
);
proc_ibres : process (IBSEL_SLIM, IB_MREQ, R_SLIM)
variable idout : slv16 := (others=>'0');
begin
idout := (others=>'0');
if IBSEL_SLIM = '1' then
idout(ibf_byte1) := R_SLIM;
end if;
IB_SRES_SLIM.dout <= idout;
IB_SRES_SLIM.ack <= IBSEL_SLIM and (IB_MREQ.re or IB_MREQ.we); -- ack all
IB_SRES_SLIM.busy <= '0';
end process proc_ibres;
proc_slim: process (CLK)
begin
if rising_edge(CLK) then
if BRESET = '1' then
R_SLIM <= (others=>'0');
elsif IBSEL_SLIM='1' and IB_MREQ.we='1' then
if IB_MREQ.be1 = '1' then
R_SLIM <= IB_MREQ.din(ibf_byte1);
end if;
end if;
end if;
end process proc_slim;
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if GRESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, R_SLIM, CP_ADDR, VM_CNTL, VM_DIN, VM_ADDR,
IB_SRES, UBMAP_ADDR_PM,
EM_SRES, MMU_STAT, PADDRH)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable ivm_stat : vm_stat_type := vm_stat_init;
variable ivm_dout : slv16 := (others=>'0');
variable iem_mreq : em_mreq_type := em_mreq_init;
variable immu_cntl : mmu_cntl_type := mmu_cntl_init;
variable ipaddr : slv22 := (others=>'0');
variable ipaddr_iopage : slv9 := (others=>'0');
variable iib_aval : slbit := '0';
variable ato_go : slbit := '0';
variable ato_end : slbit := '0';
variable is_stackyellow : slbit := '1'; -- VM_ADDR in yellow stack zone
variable is_stackred : slbit := '1'; -- VM_ADDR in red stack zone
variable iubmap_mreq : slbit := '0';
variable paddr_mmu : slbit := '0';
variable paddr_sel : slv2 := "00";
constant c_paddr_sel_vmaddr : slv2 := "00";
constant c_paddr_sel_rpaddr : slv2 := "01";
constant c_paddr_sel_cacc : slv2 := "10";
constant c_paddr_sel_ubmap : slv2 := "11";
begin
r := R_REGS;
n := R_REGS;
n.state := s_fail;
ivm_stat := vm_stat_init;
ivm_dout := EM_SRES.dout;
immu_cntl := mmu_cntl_init;
iib_aval := '0';
iem_mreq := em_mreq_init;
iem_mreq.din := VM_DIN;
if VM_CNTL.bytop = '0' then -- if word access
iem_mreq.be := "11"; -- both be's
else
if VM_ADDR(0) = '0' then -- if low byte
iem_mreq.be := "01";
else -- if high byte
iem_mreq.be := "10";
iem_mreq.din(ibf_byte1) := VM_DIN(ibf_byte0);
end if;
end if;
iubmap_mreq :='0';
paddr_mmu := '1'; -- ipaddr selector, used in s_idle
-- and overwritten in s_idle_mw_mem
paddr_sel := "00";
if MMU_STAT.ena_mmu='0' or VM_CNTL.cacc='1' then
paddr_mmu := '0';
paddr_sel := c_paddr_sel_vmaddr;
if VM_CNTL.cacc = '1' then
if CP_ADDR.ena_ubmap='1' and MMU_STAT.ena_ubmap='1' then
paddr_sel := c_paddr_sel_ubmap;
else
paddr_sel := c_paddr_sel_cacc;
end if;
end if;
end if;
-- the iopage base is determined based on mmu regs and request type
-- r.paddr_iopage is updated during s_idle. This way the iopage base
-- address is determined in parallel to paddr and latched at end of s_idle.
-- Note: is VM_CNTL.cacc here, the status in s_idle is relevant !
ipaddr_iopage := "111111111"; -- iopage match pattern (for 22 bit)
if VM_CNTL.cacc = '1' then
if CP_ADDR.ena_22bit = '0' then
ipaddr_iopage := "000000111"; -- 16 bit cacc
end if;
else
if MMU_STAT.ena_mmu = '0' then
ipaddr_iopage := "000000111"; -- 16 bit mode
else
if MMU_STAT.ena_22bit = '0' then
ipaddr_iopage := "000011111"; -- 18 bit mode
end if;
end if;
end if;
ato_go := '0'; -- default: keep access timeout in reset
ato_end := '0';
if unsigned(r.atocnt) = 0 then -- if access timeout count at zero
ato_end := '1'; -- signal expiration
end if;
is_stackyellow := '0';
is_stackred := '0';
if unsigned(VM_ADDR(15 downto 8)) <= unsigned(R_SLIM) then
is_stackyellow := '1';
if unsigned(VM_ADDR(7 downto 5)) /= 7 then -- below 340
is_stackred := '1';
end if;
end if;
if VM_ADDR(15 downto 1) = "111111111111111" then -- vaddr == 177776
is_stackred := '1';
end if;
immu_cntl.wacc := VM_CNTL.wacc;
immu_cntl.macc := VM_CNTL.macc;
immu_cntl.cacc := VM_CNTL.cacc;
immu_cntl.dspace := VM_CNTL.dspace;
immu_cntl.mode := VM_CNTL.mode;
immu_cntl.trap_done := VM_CNTL.trap_done;
case r.state is
when s_idle => -- s_idle: wait for vm_cntl request --
n.state := s_idle;
iubmap_mreq := '1'; -- activate ubmap always in s_idle
if VM_CNTL.req = '1' then
n.wacc := VM_CNTL.wacc;
n.macc := VM_CNTL.macc;
n.cacc := VM_CNTL.cacc;
n.bytop := VM_CNTL.bytop;
n.kstack := VM_CNTL.kstack;
n.ysv := '0';
n.vaok := MMU_STAT.vaok;
n.trap_mmu := MMU_STAT.trap;
n.mdin := iem_mreq.din;
-- n.paddr assignment handled separately in 'if state=s_idle' at the
-- end.
immu_cntl.req := '1';
if VM_CNTL.wacc='1' and VM_CNTL.macc='1' then
n.state := s_fail;
elsif VM_CNTL.kstack='1' and VM_CNTL.intrsv='0' and
is_stackred='1' then
n.state := s_errrsv;
else
iem_mreq.req := '1';
iem_mreq.we := VM_CNTL.wacc;
if VM_CNTL.kstack='1'and VM_CNTL.intrsv='0' then
n.ysv := is_stackyellow;
end if;
n.state := s_mem_w;
end if;
end if;
when s_mem_w => -- s_mem_w: check mmu, wait for memory
if r.bytop='0' and r.paddr(0)='1' then -- odd address ?
ivm_stat.err := '1';
ivm_stat.err_odd := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
iem_mreq.cancel := '1'; -- cancel pending mem request
n.state := s_idle;
elsif r.vaok = '0' then -- MMU abort ?
ivm_stat.err := '1';
ivm_stat.err_mmu := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
iem_mreq.cancel := '1'; -- cancel pending mem request
n.state := s_idle;
else
if r.paddr(21 downto 13) = r.paddr_iopage then
-- I/O page decoded
iem_mreq.cancel := '1'; -- cancel pending mem request
iib_aval := '1'; -- declare ibus addr valid
n.ibre := not r.wacc;
n.ibwe := r.wacc;
n.ibcacc := r.cacc;
n.ibracc := r.cacc and CP_ADDR.racc;
n.ibbe := "11";
if r.cacc = '1' then -- console access ?
n.ibbe := CP_ADDR.be;
else -- cpu access ?
if r.bytop = '1' then
if r.paddr(0) = '0' then
n.ibbe(1) := '0';
else
n.ibbe(0) := '0';
end if;
end if;
end if;
n.ibrmw := r.macc;
n.state := s_ib_w;
else
if unsigned(r.paddr(21 downto 6)) > sys_conf_mem_losize then
ivm_stat.err := '1';
ivm_stat.err_nxm := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
iem_mreq.cancel := '1'; -- cancel pending mem request
n.state := s_idle;
else
if EM_SRES.ack_r='1' or EM_SRES.ack_w='1' then
ivm_stat.ack := '1';
ivm_stat.trap_ysv := r.ysv;
ivm_stat.trap_mmu := r.trap_mmu;
if r.macc='1' and r.wacc='0' then
n.state := s_idle_mw_mem;
else
n.state := s_idle;
end if;
else
n.state := s_mem_w; -- keep waiting
end if;
end if;
end if;
end if;
when s_ib_w => -- s_ib_w: wait for ibus -------------
ato_go := '1'; -- activate timeout counter
iib_aval := '1'; -- declare ibus addr valid
n.ibre := '0'; -- end cycle, unless busy seen
n.ibwe := '0';
n.ibrmw := '0';
n.ibbe := "00";
n.ibcacc := '0';
n.ibracc := '0';
if IB_SRES.ack='1' and IB_SRES.busy='0' then -- ibus cycle finished
if r.wacc = '1' then
n.state := s_ib_wend;
else
if r.macc = '1' then -- if first part of rmw
n.ibrmw := r.macc; -- keep rmw
n.ibbe := r.ibbe; -- keep be's
n.ibcacc := r.ibcacc;
n.ibracc := r.ibracc;
end if;
n.ibdout := IB_SRES.dout;
n.state := s_ib_rend;
end if;
elsif IB_SRES.busy='1' and ato_end='0' then
n.ibre := r.ibre; -- continue ibus cycle
n.ibwe := r.ibwe;
n.ibrmw := r.ibrmw;
n.ibbe := r.ibbe;
n.ibcacc := r.ibcacc;
n.ibracc := r.ibracc;
n.state := s_ib_w;
else
n.state := s_errib;
end if;
when s_ib_wend => -- s_ib_wend: ibus write completion --
ivm_stat.ack := '1';
n.state := s_idle;
when s_ib_rend => -- s_ib_rend: ibus read completion ---
ivm_stat.ack := '1';
ivm_dout := r.ibdout;
if r.macc='1' then -- first part of read-mod-write
iib_aval := '1'; -- keep ibus addr valid
n.state := s_idle_mw_ib;
else
n.state := s_idle;
end if;
when s_idle_mw_ib => -- s_idle_mw_ib: wait macc write (ibus)
n.state := s_idle_mw_ib;
iib_aval := '1'; -- keep ibus addr valid
if r.ibbe = "10" then
iem_mreq.din(ibf_byte1) := VM_DIN(ibf_byte0);
end if;
if VM_CNTL.req = '1' then
n.wacc := VM_CNTL.wacc;
n.macc := VM_CNTL.macc;
n.mdin := iem_mreq.din;
if VM_CNTL.wacc='0' or VM_CNTL.macc='0' then
n.state := s_fail;
else
n.ibwe := '1'; -- Note: all other ibus drivers
-- already set in 1st part
n.state := s_ib_w;
end if;
end if;
when s_idle_mw_mem => -- s_idle_mw_mem: wait macc write (mem)
n.state := s_idle_mw_mem;
paddr_mmu := '0';
paddr_sel := c_paddr_sel_rpaddr;
if VM_CNTL.bytop = '0' then -- if word access
iem_mreq.be := "11"; -- both be's
else
if r.paddr(0) = '0' then -- if low byte
iem_mreq.be := "01";
else -- if high byte
iem_mreq.be := "10";
iem_mreq.din(ibf_byte1) := VM_DIN(ibf_byte0);
end if;
end if;
if VM_CNTL.req = '1' then
n.wacc := VM_CNTL.wacc;
n.macc := VM_CNTL.macc;
n.bytop := VM_CNTL.bytop;
n.mdin := iem_mreq.din;
if VM_CNTL.wacc='0' or VM_CNTL.macc='0' then
n.state := s_fail;
else
iem_mreq.req := '1';
iem_mreq.we := '1';
n.state := s_mem_mw_w;
end if;
end if;
when s_mem_mw_w => -- s_mem_mw_w: wait for memory (macc)
if EM_SRES.ack_w = '1' then
ivm_stat.ack := '1';
n.state := s_idle;
else
n.state := s_mem_mw_w; -- keep waiting
end if;
when s_fail => -- s_fail: vmbox fatal error catcher
ivm_stat.fail := '1';
n.state := s_idle;
when s_errrsv => -- s_errrsv: red stack violation -----
ivm_stat.err := '1';
ivm_stat.err_rsv := '1';
n.state := s_idle;
when s_errib => -- s_errib: ibus error handler -------
ivm_stat.err := '1';
ivm_stat.err_iobto := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
n.state := s_idle;
when others => null;
end case;
if r.bytop='1' and r.paddr(0)='1' then
ivm_dout(ibf_byte0) := ivm_dout(ibf_byte1);
end if;
if ato_go = '0' then -- handle access timeout counter
n.atocnt := atocnt_init; -- if ato_go=0, keep in reset
else
n.atocnt := slv(unsigned(r.atocnt) - 1);-- otherwise count down
end if;
ipaddr := (others=>'0');
if paddr_mmu = '1' then
ipaddr( 5 downto 0) := VM_ADDR(5 downto 0);
ipaddr(21 downto 6) := PADDRH;
if MMU_STAT.ena_22bit = '0' then
ipaddr(21 downto 18) := (others=>'0');
end if;
else
case paddr_sel is
when c_paddr_sel_vmaddr =>
ipaddr(15 downto 0) := VM_ADDR(15 downto 0);
when c_paddr_sel_rpaddr =>
ipaddr := r.paddr;
when c_paddr_sel_cacc =>
ipaddr := CP_ADDR.addr & '0';
if CP_ADDR.ena_22bit = '0' then
ipaddr(21 downto 16) := (others=>'0');
end if;
when c_paddr_sel_ubmap =>
ipaddr := UBMAP_ADDR_PM & '0';
when others => null;
end case;
end if;
if r.state = s_idle then
n.paddr := ipaddr;
n.paddr_iopage := ipaddr_iopage;
end if;
iem_mreq.addr := ipaddr(21 downto 1);
N_REGS <= n;
UBMAP_MREQ <= iubmap_mreq;
IB_MREQ.aval <= iib_aval;
IB_MREQ.re <= r.ibre;
IB_MREQ.we <= r.ibwe;
IB_MREQ.be0 <= r.ibbe(0);
IB_MREQ.be1 <= r.ibbe(1);
IB_MREQ.rmw <= r.ibrmw;
IB_MREQ.cacc <= r.ibcacc;
IB_MREQ.racc <= r.ibracc;
IB_MREQ.addr <= r.paddr(12 downto 1);
IB_MREQ.din <= r.mdin;
VM_DOUT <= ivm_dout;
VM_STAT <= ivm_stat;
MMU_CNTL <= immu_cntl;
EM_MREQ <= iem_mreq;
end process proc_next;
IB_MREQ_M <= IB_MREQ; -- external drive master port
DM_STAT_VM.ibmreq <= IB_MREQ;
DM_STAT_VM.ibsres <= IB_SRES;
end syn;
|
-- $Id: pdp11_vmbox.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: pdp11_vmbox - syn
-- Description: pdp11: virtual memory
--
-- Dependencies: pdp11_mmu
-- pdp11_ubmap
-- ibus/ib_sres_or_4
-- ibus/ib_sres_or_2
-- ibus/ib_sel
--
-- Test bench: tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-18 427 1.6.3 now numeric_std clean
-- 2010-10-23 335 1.6.2 add r.paddr_iopage, use ib_sel
-- 2010-10-22 334 1.6.1 deassert ibus be's at end-cycle; fix rmw logic
-- 2010-10-17 333 1.6 implement ibus V2 interface
-- 2010-06-27 310 1.5 redo ibus driver logic, now ibus driven from flops
-- 2010-06-20 307 1.4.2 rename cpacc to cacc in vm_cntl_type, mmu_cntl_type
-- 2010-06-18 306 1.4.1 for cpacc: set cacc in ib_mreq, forward racc,be
-- from CP_ADDR; now all ibr handling via vmbox
-- 2010-06-13 305 1.4 rename CPADDR -> CP_ADDR
-- 2009-06-01 221 1.3.8 add dip signal in ib_mreq (set in s_ib)
-- 2009-05-30 220 1.3.7 final removal of snoopers (were already commented)
-- 2009-05-01 211 1.3.6 BUGFIX: add 177776 stack protect (SCCE)
-- 2008-08-22 161 1.3.5 rename pdp11_ibres_ -> ib_sres_, ubf_ -> ibf_
-- 2008-04-25 138 1.3.4 add BRESET port, clear stklim with BRESET
-- 2008-04-20 137 1.3.3 add DM_STAT_VM port
-- 2008-03-19 127 1.3.2 ignore ack state when waiting on a busy IB in s_ib
-- 2008-03-02 121 1.3.1 remove snoopers
-- 2008-02-24 119 1.3 revamp paddr generation; add _ubmap
-- 2008-02-23 118 1.2.1 use sys_conf_mem_losize
-- 2008-02-17 117 1.2 use em_(mreq|sres) interface for external memory
-- 2008-01-26 114 1.1.4 rename 'ubus' to 'ib' (proper name of intbus now)
-- 2008-01-05 110 1.1.3 update snooper.
-- rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
-- 2008-01-01 109 1.1.2 Use IB_SRES_(CPU|EXT); use r./n. coding style, move
-- all status into regs_type. add intbus HOLD support.
-- 2007-12-30 108 1.1.1 use ubf_byte[01]
-- 2007-12-30 107 1.1 Use IB_MREQ/IB_SRES interface now; remove DMA port
-- 2007-09-16 83 1.0.2 Use ram_1swsr_wfirst_gen, not ram_2swsr_wfirst_gen
-- 2nd port was unused, connected ADDR caused slow net
-- 2007-06-14 56 1.0.1 Use slvtypes.all
-- 2007-05-12 26 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.iblib.all;
use work.pdp11.all;
use work.sys_conf.all;
-- ----------------------------------------------------------------------------
entity pdp11_vmbox is -- virtual memory
port (
CLK : in slbit; -- clock
GRESET : in slbit; -- global reset
CRESET : in slbit; -- console reset
BRESET : in slbit; -- ibus reset
CP_ADDR : in cp_addr_type; -- console port address
VM_CNTL : in vm_cntl_type; -- vm control port
VM_ADDR : in slv16; -- vm address
VM_DIN : in slv16; -- vm data in
VM_STAT : out vm_stat_type; -- vm status port
VM_DOUT : out slv16; -- vm data out
EM_MREQ : out em_mreq_type; -- external memory: request
EM_SRES : in em_sres_type; -- external memory: response
MMU_MONI : in mmu_moni_type; -- mmu monitor port
IB_MREQ_M : out ib_mreq_type; -- ibus request (master)
IB_SRES_CPU : in ib_sres_type; -- ibus response (CPU registers)
IB_SRES_EXT : in ib_sres_type; -- ibus response (external devices)
DM_STAT_VM : out dm_stat_vm_type -- debug and monitor status
);
end pdp11_vmbox;
architecture syn of pdp11_vmbox is
constant ibaddr_slim : slv16 := slv(to_unsigned(8#177774#,16));
constant atowidth : natural := 5; -- size of access timeout counter
type state_type is (
s_idle, -- s_idle: wait for vm_cntl request
s_mem_w, -- s_mem_w: check mmu, wait for memory
s_ib_w, -- s_ib_w: wait for ibus
s_ib_wend, -- s_ib_wend: ibus write completion
s_ib_rend, -- s_ib_rend: ibus read completion
s_idle_mw_ib, -- s_idle_mw_ib: wait macc write (ibus)
s_idle_mw_mem, -- s_idle_mw_mem: wait macc write (mem)
s_mem_mw_w, -- s_mem_mw_w: wait for memory (macc)
s_fail, -- s_fail: vmbox fatal error catcher
s_errrsv, -- s_errrsv: red stack violation
s_errib -- s_errib: ibus error handler
);
type regs_type is record -- state registers
state : state_type; -- state
wacc : slbit; -- write access
macc : slbit; -- modify access (r-m-w sequence)
cacc : slbit; -- console access
bytop : slbit; -- byte operation
kstack : slbit; -- access through kernel stack
ysv : slbit; -- yellow stack violation detected
vaok : slbit; -- virtual address valid (from MMU)
trap_mmu : slbit; -- mmu trace trap requested
mdin : slv16; -- data input (memory order)
paddr : slv22; -- physical address register
paddr_iopage : slv9; -- iopage base (upper 9 bits of paddr)
atocnt : slv(atowidth-1 downto 0); -- access timeout counter
ibre : slbit; -- ibus re signal
ibwe : slbit; -- ibus we signal
ibbe : slv2; -- ibus be0,be1 signals
ibrmw : slbit; -- ibus rmw signal
ibcacc : slbit; -- ibus cacc signal
ibracc : slbit; -- ibus racc signal
ibdout : slv16; -- ibus dout register
end record regs_type;
constant atocnt_init : slv(atowidth-1 downto 0) := (others=>'1');
constant regs_init : regs_type := (
s_idle, -- state
'0','0','0','0', -- wacc,macc,cacc,bytop
'0','0','0','0', -- kstack,ysv,vaok,trap_mmu
(others=>'0'), -- mdin
(others=>'0'), -- paddr
(others=>'0'), -- paddr_iopage
atocnt_init, -- atocnt
'0','0',"00", -- ibre,ibwe,ibbe
'0','0','0', -- ibrmw,ibcacc,ibracc
(others=>'0') -- ibdout
);
signal R_REGS : regs_type := regs_init;
signal N_REGS : regs_type := regs_init;
signal R_SLIM : slv8 := (others=>'0'); -- stack limit register
signal MMU_CNTL : mmu_cntl_type := mmu_cntl_init;
signal MMU_STAT : mmu_stat_type := mmu_stat_init;
signal PADDRH : slv16 := (others=>'0');
signal IBSEL_SLIM :slbit := '0'; -- select stack limit reg
signal IB_SRES_SLIM : ib_sres_type := ib_sres_init;
signal IB_SRES_MMU : ib_sres_type := ib_sres_init;
signal IB_SRES_UBMAP : ib_sres_type := ib_sres_init;
signal UBMAP_MREQ : slbit := '0';
signal UBMAP_ADDR_PM : slv22_1 := (others=>'0');
signal IB_MREQ : ib_mreq_type := ib_mreq_init; -- ibus request (local)
signal IB_SRES : ib_sres_type := ib_sres_init; -- ibus response (local)
signal IB_SRES_INT : ib_sres_type := ib_sres_init; -- ibus response (cpu)
begin
MMU : pdp11_mmu
port map (
CLK => CLK,
CRESET => CRESET,
BRESET => BRESET,
CNTL => MMU_CNTL,
VADDR => VM_ADDR,
MONI => MMU_MONI,
STAT => MMU_STAT,
PADDRH => PADDRH,
IB_MREQ => IB_MREQ,
IB_SRES => IB_SRES_MMU
);
UBMAP : pdp11_ubmap
port map (
CLK => CLK,
MREQ => UBMAP_MREQ,
ADDR_UB => CP_ADDR.addr(17 downto 1),
ADDR_PM => UBMAP_ADDR_PM,
IB_MREQ => IB_MREQ,
IB_SRES => IB_SRES_UBMAP
);
SRES_OR_INT : ib_sres_or_4
port map (
IB_SRES_1 => IB_SRES_CPU,
IB_SRES_2 => IB_SRES_SLIM,
IB_SRES_3 => IB_SRES_MMU,
IB_SRES_4 => IB_SRES_UBMAP,
IB_SRES_OR => IB_SRES_INT
);
SRES_OR_ALL : ib_sres_or_2
port map (
IB_SRES_1 => IB_SRES_INT,
IB_SRES_2 => IB_SRES_EXT,
IB_SRES_OR => IB_SRES
);
SEL : ib_sel
generic map (
IB_ADDR => ibaddr_slim)
port map (
CLK => CLK,
IB_MREQ => IB_MREQ,
SEL => IBSEL_SLIM
);
proc_ibres : process (IBSEL_SLIM, IB_MREQ, R_SLIM)
variable idout : slv16 := (others=>'0');
begin
idout := (others=>'0');
if IBSEL_SLIM = '1' then
idout(ibf_byte1) := R_SLIM;
end if;
IB_SRES_SLIM.dout <= idout;
IB_SRES_SLIM.ack <= IBSEL_SLIM and (IB_MREQ.re or IB_MREQ.we); -- ack all
IB_SRES_SLIM.busy <= '0';
end process proc_ibres;
proc_slim: process (CLK)
begin
if rising_edge(CLK) then
if BRESET = '1' then
R_SLIM <= (others=>'0');
elsif IBSEL_SLIM='1' and IB_MREQ.we='1' then
if IB_MREQ.be1 = '1' then
R_SLIM <= IB_MREQ.din(ibf_byte1);
end if;
end if;
end if;
end process proc_slim;
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if GRESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, R_SLIM, CP_ADDR, VM_CNTL, VM_DIN, VM_ADDR,
IB_SRES, UBMAP_ADDR_PM,
EM_SRES, MMU_STAT, PADDRH)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable ivm_stat : vm_stat_type := vm_stat_init;
variable ivm_dout : slv16 := (others=>'0');
variable iem_mreq : em_mreq_type := em_mreq_init;
variable immu_cntl : mmu_cntl_type := mmu_cntl_init;
variable ipaddr : slv22 := (others=>'0');
variable ipaddr_iopage : slv9 := (others=>'0');
variable iib_aval : slbit := '0';
variable ato_go : slbit := '0';
variable ato_end : slbit := '0';
variable is_stackyellow : slbit := '1'; -- VM_ADDR in yellow stack zone
variable is_stackred : slbit := '1'; -- VM_ADDR in red stack zone
variable iubmap_mreq : slbit := '0';
variable paddr_mmu : slbit := '0';
variable paddr_sel : slv2 := "00";
constant c_paddr_sel_vmaddr : slv2 := "00";
constant c_paddr_sel_rpaddr : slv2 := "01";
constant c_paddr_sel_cacc : slv2 := "10";
constant c_paddr_sel_ubmap : slv2 := "11";
begin
r := R_REGS;
n := R_REGS;
n.state := s_fail;
ivm_stat := vm_stat_init;
ivm_dout := EM_SRES.dout;
immu_cntl := mmu_cntl_init;
iib_aval := '0';
iem_mreq := em_mreq_init;
iem_mreq.din := VM_DIN;
if VM_CNTL.bytop = '0' then -- if word access
iem_mreq.be := "11"; -- both be's
else
if VM_ADDR(0) = '0' then -- if low byte
iem_mreq.be := "01";
else -- if high byte
iem_mreq.be := "10";
iem_mreq.din(ibf_byte1) := VM_DIN(ibf_byte0);
end if;
end if;
iubmap_mreq :='0';
paddr_mmu := '1'; -- ipaddr selector, used in s_idle
-- and overwritten in s_idle_mw_mem
paddr_sel := "00";
if MMU_STAT.ena_mmu='0' or VM_CNTL.cacc='1' then
paddr_mmu := '0';
paddr_sel := c_paddr_sel_vmaddr;
if VM_CNTL.cacc = '1' then
if CP_ADDR.ena_ubmap='1' and MMU_STAT.ena_ubmap='1' then
paddr_sel := c_paddr_sel_ubmap;
else
paddr_sel := c_paddr_sel_cacc;
end if;
end if;
end if;
-- the iopage base is determined based on mmu regs and request type
-- r.paddr_iopage is updated during s_idle. This way the iopage base
-- address is determined in parallel to paddr and latched at end of s_idle.
-- Note: is VM_CNTL.cacc here, the status in s_idle is relevant !
ipaddr_iopage := "111111111"; -- iopage match pattern (for 22 bit)
if VM_CNTL.cacc = '1' then
if CP_ADDR.ena_22bit = '0' then
ipaddr_iopage := "000000111"; -- 16 bit cacc
end if;
else
if MMU_STAT.ena_mmu = '0' then
ipaddr_iopage := "000000111"; -- 16 bit mode
else
if MMU_STAT.ena_22bit = '0' then
ipaddr_iopage := "000011111"; -- 18 bit mode
end if;
end if;
end if;
ato_go := '0'; -- default: keep access timeout in reset
ato_end := '0';
if unsigned(r.atocnt) = 0 then -- if access timeout count at zero
ato_end := '1'; -- signal expiration
end if;
is_stackyellow := '0';
is_stackred := '0';
if unsigned(VM_ADDR(15 downto 8)) <= unsigned(R_SLIM) then
is_stackyellow := '1';
if unsigned(VM_ADDR(7 downto 5)) /= 7 then -- below 340
is_stackred := '1';
end if;
end if;
if VM_ADDR(15 downto 1) = "111111111111111" then -- vaddr == 177776
is_stackred := '1';
end if;
immu_cntl.wacc := VM_CNTL.wacc;
immu_cntl.macc := VM_CNTL.macc;
immu_cntl.cacc := VM_CNTL.cacc;
immu_cntl.dspace := VM_CNTL.dspace;
immu_cntl.mode := VM_CNTL.mode;
immu_cntl.trap_done := VM_CNTL.trap_done;
case r.state is
when s_idle => -- s_idle: wait for vm_cntl request --
n.state := s_idle;
iubmap_mreq := '1'; -- activate ubmap always in s_idle
if VM_CNTL.req = '1' then
n.wacc := VM_CNTL.wacc;
n.macc := VM_CNTL.macc;
n.cacc := VM_CNTL.cacc;
n.bytop := VM_CNTL.bytop;
n.kstack := VM_CNTL.kstack;
n.ysv := '0';
n.vaok := MMU_STAT.vaok;
n.trap_mmu := MMU_STAT.trap;
n.mdin := iem_mreq.din;
-- n.paddr assignment handled separately in 'if state=s_idle' at the
-- end.
immu_cntl.req := '1';
if VM_CNTL.wacc='1' and VM_CNTL.macc='1' then
n.state := s_fail;
elsif VM_CNTL.kstack='1' and VM_CNTL.intrsv='0' and
is_stackred='1' then
n.state := s_errrsv;
else
iem_mreq.req := '1';
iem_mreq.we := VM_CNTL.wacc;
if VM_CNTL.kstack='1'and VM_CNTL.intrsv='0' then
n.ysv := is_stackyellow;
end if;
n.state := s_mem_w;
end if;
end if;
when s_mem_w => -- s_mem_w: check mmu, wait for memory
if r.bytop='0' and r.paddr(0)='1' then -- odd address ?
ivm_stat.err := '1';
ivm_stat.err_odd := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
iem_mreq.cancel := '1'; -- cancel pending mem request
n.state := s_idle;
elsif r.vaok = '0' then -- MMU abort ?
ivm_stat.err := '1';
ivm_stat.err_mmu := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
iem_mreq.cancel := '1'; -- cancel pending mem request
n.state := s_idle;
else
if r.paddr(21 downto 13) = r.paddr_iopage then
-- I/O page decoded
iem_mreq.cancel := '1'; -- cancel pending mem request
iib_aval := '1'; -- declare ibus addr valid
n.ibre := not r.wacc;
n.ibwe := r.wacc;
n.ibcacc := r.cacc;
n.ibracc := r.cacc and CP_ADDR.racc;
n.ibbe := "11";
if r.cacc = '1' then -- console access ?
n.ibbe := CP_ADDR.be;
else -- cpu access ?
if r.bytop = '1' then
if r.paddr(0) = '0' then
n.ibbe(1) := '0';
else
n.ibbe(0) := '0';
end if;
end if;
end if;
n.ibrmw := r.macc;
n.state := s_ib_w;
else
if unsigned(r.paddr(21 downto 6)) > sys_conf_mem_losize then
ivm_stat.err := '1';
ivm_stat.err_nxm := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
iem_mreq.cancel := '1'; -- cancel pending mem request
n.state := s_idle;
else
if EM_SRES.ack_r='1' or EM_SRES.ack_w='1' then
ivm_stat.ack := '1';
ivm_stat.trap_ysv := r.ysv;
ivm_stat.trap_mmu := r.trap_mmu;
if r.macc='1' and r.wacc='0' then
n.state := s_idle_mw_mem;
else
n.state := s_idle;
end if;
else
n.state := s_mem_w; -- keep waiting
end if;
end if;
end if;
end if;
when s_ib_w => -- s_ib_w: wait for ibus -------------
ato_go := '1'; -- activate timeout counter
iib_aval := '1'; -- declare ibus addr valid
n.ibre := '0'; -- end cycle, unless busy seen
n.ibwe := '0';
n.ibrmw := '0';
n.ibbe := "00";
n.ibcacc := '0';
n.ibracc := '0';
if IB_SRES.ack='1' and IB_SRES.busy='0' then -- ibus cycle finished
if r.wacc = '1' then
n.state := s_ib_wend;
else
if r.macc = '1' then -- if first part of rmw
n.ibrmw := r.macc; -- keep rmw
n.ibbe := r.ibbe; -- keep be's
n.ibcacc := r.ibcacc;
n.ibracc := r.ibracc;
end if;
n.ibdout := IB_SRES.dout;
n.state := s_ib_rend;
end if;
elsif IB_SRES.busy='1' and ato_end='0' then
n.ibre := r.ibre; -- continue ibus cycle
n.ibwe := r.ibwe;
n.ibrmw := r.ibrmw;
n.ibbe := r.ibbe;
n.ibcacc := r.ibcacc;
n.ibracc := r.ibracc;
n.state := s_ib_w;
else
n.state := s_errib;
end if;
when s_ib_wend => -- s_ib_wend: ibus write completion --
ivm_stat.ack := '1';
n.state := s_idle;
when s_ib_rend => -- s_ib_rend: ibus read completion ---
ivm_stat.ack := '1';
ivm_dout := r.ibdout;
if r.macc='1' then -- first part of read-mod-write
iib_aval := '1'; -- keep ibus addr valid
n.state := s_idle_mw_ib;
else
n.state := s_idle;
end if;
when s_idle_mw_ib => -- s_idle_mw_ib: wait macc write (ibus)
n.state := s_idle_mw_ib;
iib_aval := '1'; -- keep ibus addr valid
if r.ibbe = "10" then
iem_mreq.din(ibf_byte1) := VM_DIN(ibf_byte0);
end if;
if VM_CNTL.req = '1' then
n.wacc := VM_CNTL.wacc;
n.macc := VM_CNTL.macc;
n.mdin := iem_mreq.din;
if VM_CNTL.wacc='0' or VM_CNTL.macc='0' then
n.state := s_fail;
else
n.ibwe := '1'; -- Note: all other ibus drivers
-- already set in 1st part
n.state := s_ib_w;
end if;
end if;
when s_idle_mw_mem => -- s_idle_mw_mem: wait macc write (mem)
n.state := s_idle_mw_mem;
paddr_mmu := '0';
paddr_sel := c_paddr_sel_rpaddr;
if VM_CNTL.bytop = '0' then -- if word access
iem_mreq.be := "11"; -- both be's
else
if r.paddr(0) = '0' then -- if low byte
iem_mreq.be := "01";
else -- if high byte
iem_mreq.be := "10";
iem_mreq.din(ibf_byte1) := VM_DIN(ibf_byte0);
end if;
end if;
if VM_CNTL.req = '1' then
n.wacc := VM_CNTL.wacc;
n.macc := VM_CNTL.macc;
n.bytop := VM_CNTL.bytop;
n.mdin := iem_mreq.din;
if VM_CNTL.wacc='0' or VM_CNTL.macc='0' then
n.state := s_fail;
else
iem_mreq.req := '1';
iem_mreq.we := '1';
n.state := s_mem_mw_w;
end if;
end if;
when s_mem_mw_w => -- s_mem_mw_w: wait for memory (macc)
if EM_SRES.ack_w = '1' then
ivm_stat.ack := '1';
n.state := s_idle;
else
n.state := s_mem_mw_w; -- keep waiting
end if;
when s_fail => -- s_fail: vmbox fatal error catcher
ivm_stat.fail := '1';
n.state := s_idle;
when s_errrsv => -- s_errrsv: red stack violation -----
ivm_stat.err := '1';
ivm_stat.err_rsv := '1';
n.state := s_idle;
when s_errib => -- s_errib: ibus error handler -------
ivm_stat.err := '1';
ivm_stat.err_iobto := '1';
ivm_stat.err_rsv := r.kstack; -- escalate to rsv if kstack
n.state := s_idle;
when others => null;
end case;
if r.bytop='1' and r.paddr(0)='1' then
ivm_dout(ibf_byte0) := ivm_dout(ibf_byte1);
end if;
if ato_go = '0' then -- handle access timeout counter
n.atocnt := atocnt_init; -- if ato_go=0, keep in reset
else
n.atocnt := slv(unsigned(r.atocnt) - 1);-- otherwise count down
end if;
ipaddr := (others=>'0');
if paddr_mmu = '1' then
ipaddr( 5 downto 0) := VM_ADDR(5 downto 0);
ipaddr(21 downto 6) := PADDRH;
if MMU_STAT.ena_22bit = '0' then
ipaddr(21 downto 18) := (others=>'0');
end if;
else
case paddr_sel is
when c_paddr_sel_vmaddr =>
ipaddr(15 downto 0) := VM_ADDR(15 downto 0);
when c_paddr_sel_rpaddr =>
ipaddr := r.paddr;
when c_paddr_sel_cacc =>
ipaddr := CP_ADDR.addr & '0';
if CP_ADDR.ena_22bit = '0' then
ipaddr(21 downto 16) := (others=>'0');
end if;
when c_paddr_sel_ubmap =>
ipaddr := UBMAP_ADDR_PM & '0';
when others => null;
end case;
end if;
if r.state = s_idle then
n.paddr := ipaddr;
n.paddr_iopage := ipaddr_iopage;
end if;
iem_mreq.addr := ipaddr(21 downto 1);
N_REGS <= n;
UBMAP_MREQ <= iubmap_mreq;
IB_MREQ.aval <= iib_aval;
IB_MREQ.re <= r.ibre;
IB_MREQ.we <= r.ibwe;
IB_MREQ.be0 <= r.ibbe(0);
IB_MREQ.be1 <= r.ibbe(1);
IB_MREQ.rmw <= r.ibrmw;
IB_MREQ.cacc <= r.ibcacc;
IB_MREQ.racc <= r.ibracc;
IB_MREQ.addr <= r.paddr(12 downto 1);
IB_MREQ.din <= r.mdin;
VM_DOUT <= ivm_dout;
VM_STAT <= ivm_stat;
MMU_CNTL <= immu_cntl;
EM_MREQ <= iem_mreq;
end process proc_next;
IB_MREQ_M <= IB_MREQ; -- external drive master port
DM_STAT_VM.ibmreq <= IB_MREQ;
DM_STAT_VM.ibsres <= IB_SRES;
end syn;
|
--!
--! @file: exercise5_9.vhd
--! @brief: alu using when
--! @author: Antonio Gutierrez
--! @date: 2013-10-23
--!
--!
--------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_all;
--------------------------------------
entity alu is
generic
port (
a, b: in std_logic_vector(N-1 downto 0);
cin: in std_logic_vector(N-1 downto 0);
opcode: in std_logic_vector(3 downto 0);
y: out std_logic_vector(N-1 downto 0));
end entity alu;
--------------------------------------
architecture circuit of alu is
signal a_sig, b_sig: signed(N-1 downto 0);
signal y_unsig: std_logic_vector(N-1 downto 0);
signal y_sig: signed(N-1 downto 0);
signal small_int: integer range 0 to 1;
begin
-- logic unit --
y_unsig <= not a when "000" else
not b when "001" else
a and b when "010" else
a or b when "011" else
a nand b when "100" else
a nor b when "101" else
a xor b when "110" else
a xnor b;
-- arithmetic unit
a_sig <= signed(a);
b_sig <= signed(b);
small_int <= 1 when cin='1' else 0;
y_sig <= a_sig when "000" else
b_sig when "001" else
a_sig + 1 when "010" else
b_sig + 1 when "011" else
a_sig - 1 when "100" else
b_sig - 1 when "101" else
a_sig + b_sig when "110" else
a_sig + b_sig + small_int;
-- mux
y <= y_unsig when opcode(3)='0' else std_logic_vector(y_sig);
end architecture circuit;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sid_coeffs is
port (
clk : in std_logic;
addr : in integer range 0 to 2047;
val : out std_logic_vector(15 downto 0)
);
end entity;
architecture beh of sid_coeffs is
type mtype is array(0 to 2047) of std_logic_vector(15 downto 0);
constant coef: mtype := (
x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5",
x"02d5", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8",
x"02d8", x"02d8", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db",
x"02db", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df",
x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e5", x"02e5", x"02e5",
x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e8", x"02e8", x"02e8", x"02e8", x"02e8", x"02e8", x"02e8",
x"02e8", x"02e8", x"02e8", x"02e8", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ef", x"02ef",
x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2",
x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f9", x"02f9", x"02f9", x"02f9", x"02f9", x"02f9", x"02f9",
x"02f9", x"02f9", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"0300", x"0300", x"0300", x"0300", x"0300",
x"0300", x"0300", x"0300", x"0300", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0306", x"0306", x"0306",
x"0306", x"0306", x"0306", x"0306", x"0306", x"0309", x"0309", x"0309", x"0309", x"0309", x"0309", x"0309", x"0309", x"030d", x"030d", x"030d",
x"030d", x"030d", x"030d", x"030d", x"0310", x"0310", x"0310", x"0310", x"0310", x"0310", x"0310", x"0313", x"0313", x"0313", x"0313", x"0313",
x"0313", x"0317", x"0317", x"0317", x"0317", x"0317", x"0317", x"031a", x"031a", x"031a", x"031a", x"031a", x"031a", x"031d", x"031d", x"031d",
x"031d", x"031d", x"0320", x"0320", x"0320", x"0320", x"0320", x"0324", x"0324", x"0324", x"0324", x"0324", x"0327", x"0327", x"0327", x"0327",
x"0327", x"032a", x"032a", x"032a", x"032a", x"032e", x"032e", x"032e", x"032e", x"0331", x"0331", x"0331", x"0331", x"0334", x"0334", x"0334",
x"0338", x"0338", x"0338", x"0338", x"033b", x"033b", x"033b", x"033b", x"033e", x"033e", x"033e", x"033e", x"0341", x"0341", x"0341", x"0345",
x"0345", x"0345", x"0345", x"0348", x"0348", x"0348", x"0348", x"034b", x"034b", x"034b", x"034f", x"034f", x"034f", x"034f", x"0352", x"0352",
x"0352", x"0355", x"0355", x"0355", x"0355", x"0358", x"0358", x"0358", x"035c", x"035c", x"035c", x"035c", x"035f", x"035f", x"035f", x"0362",
x"0362", x"0362", x"0366", x"0366", x"0366", x"0369", x"0369", x"0369", x"036c", x"036c", x"036c", x"0370", x"0370", x"0370", x"0373", x"0373",
x"0373", x"0376", x"0376", x"0376", x"0379", x"0379", x"0379", x"037d", x"037d", x"0380", x"0380", x"0380", x"0383", x"0383", x"0383", x"0387",
x"0387", x"038a", x"038a", x"038d", x"038d", x"038d", x"0390", x"0390", x"0394", x"0394", x"0397", x"0397", x"0397", x"039a", x"039a", x"039e",
x"039e", x"03a1", x"03a1", x"03a4", x"03a4", x"03a8", x"03a8", x"03ab", x"03ab", x"03ae", x"03ae", x"03b1", x"03b1", x"03b5", x"03b8", x"03b8",
x"03bb", x"03bb", x"03bf", x"03bf", x"03c2", x"03c5", x"03c5", x"03c8", x"03c8", x"03cc", x"03cf", x"03cf", x"03d2", x"03d6", x"03d6", x"03d9",
x"03dc", x"03dc", x"03e0", x"03e0", x"03e3", x"03e6", x"03e6", x"03e9", x"03ed", x"03ed", x"03f0", x"03f0", x"03f3", x"03f7", x"03f7", x"03fa",
x"03fd", x"03fd", x"0400", x"0400", x"0404", x"0404", x"0407", x"040a", x"040a", x"040e", x"040e", x"0411", x"0414", x"0414", x"0418", x"0418",
x"041b", x"041e", x"041e", x"0421", x"0421", x"0425", x"0428", x"0428", x"042b", x"042b", x"042f", x"0432", x"0432", x"0435", x"0438", x"0438",
x"043c", x"043c", x"043f", x"0442", x"0442", x"0446", x"0449", x"044c", x"044c", x"0450", x"0453", x"0453", x"0456", x"0459", x"045d", x"045d",
x"0460", x"0463", x"0467", x"0467", x"046a", x"046d", x"0470", x"0474", x"0477", x"0477", x"047a", x"047e", x"0481", x"0484", x"0488", x"048b",
x"048e", x"0491", x"0495", x"0498", x"049b", x"049f", x"04a2", x"04a5", x"04a8", x"04ac", x"04af", x"04b2", x"04b6", x"04b9", x"04c0", x"04c3",
x"04c6", x"04c9", x"04cd", x"04d3", x"04d7", x"04da", x"04dd", x"04e4", x"04e7", x"04ee", x"04f1", x"04f4", x"04fb", x"04fe", x"0505", x"0508",
x"050f", x"0512", x"0519", x"051c", x"0522", x"0526", x"052c", x"0533", x"0536", x"053d", x"0543", x"0547", x"054d", x"0554", x"055a", x"0561",
x"0568", x"056b", x"0571", x"0578", x"057f", x"0585", x"058c", x"0592", x"0599", x"059c", x"05a3", x"05a9", x"05b0", x"05b7", x"05bd", x"05c4",
x"05ca", x"05d1", x"05d8", x"05de", x"05e5", x"05eb", x"05f2", x"05f9", x"05ff", x"0606", x"060c", x"0613", x"0619", x"0620", x"0627", x"062d",
x"0634", x"063a", x"0641", x"0648", x"064e", x"0655", x"065f", x"0665", x"066c", x"0672", x"0679", x"0680", x"0689", x"0690", x"0697", x"069d",
x"06a7", x"06ae", x"06b4", x"06be", x"06c5", x"06cb", x"06d5", x"06dc", x"06e6", x"06ec", x"06f6", x"06fd", x"0707", x"070d", x"0717", x"071e",
x"0728", x"072e", x"0738", x"0742", x"0749", x"0752", x"075c", x"0763", x"076d", x"0777", x"0781", x"078a", x"0794", x"079b", x"07a5", x"07af",
x"07b9", x"07c2", x"07cc", x"07d6", x"07e0", x"07ea", x"07f7", x"0801", x"080b", x"0815", x"081f", x"082c", x"0836", x"0840", x"084d", x"0857",
x"0864", x"086e", x"0878", x"0885", x"0892", x"089c", x"08a9", x"08b3", x"08c0", x"08cd", x"08da", x"08e4", x"08f1", x"08ff", x"090c", x"0919",
x"0926", x"0933", x"0941", x"094e", x"095b", x"0968", x"0975", x"0986", x"0993", x"09a0", x"09b1", x"09be", x"09cb", x"09db", x"09e9", x"09f9",
x"0a09", x"0a17", x"0a27", x"0a34", x"0a45", x"0a55", x"0a66", x"0a76", x"0a83", x"0a94", x"0aa4", x"0ab5", x"0ac5", x"0ad6", x"0ae6", x"0af7",
x"0b07", x"0b18", x"0b2b", x"0b3c", x"0b4c", x"0b5d", x"0b71", x"0b81", x"0b91", x"0ba5", x"0bb6", x"0bc6", x"0bda", x"0bea", x"0bfe", x"0c12",
x"0c22", x"0c36", x"0c47", x"0c5a", x"0c6e", x"0c7f", x"0c92", x"0ca6", x"0cba", x"0cce", x"0ce1", x"0cf2", x"0d06", x"0d19", x"0d2d", x"0d41",
x"0d55", x"0d69", x"0d7c", x"0d93", x"0da7", x"0dbb", x"0dcf", x"0de2", x"0df9", x"0e0d", x"0e21", x"0e38", x"0e4c", x"0e60", x"0e77", x"0e8a",
x"0ea2", x"0eb5", x"0ecc", x"0ee0", x"0ef7", x"0f0b", x"0f22", x"0f39", x"0f4d", x"0f64", x"0f7b", x"0f8f", x"0fa6", x"0fbd", x"0fd4", x"0feb",
x"0fff", x"1016", x"102d", x"1044", x"105b", x"1072", x"1089", x"10a0", x"10b7", x"10ce", x"10e5", x"1100", x"1117", x"112e", x"1145", x"115c",
x"1176", x"118d", x"11a4", x"11bb", x"11d6", x"11ed", x"1204", x"121e", x"1235", x"1250", x"1267", x"1281", x"1298", x"12b2", x"12ca", x"12e4",
x"12fb", x"1315", x"1330", x"1347", x"1361", x"137b", x"1392", x"13ad", x"13c7", x"13e2", x"13f9", x"1413", x"142d", x"1448", x"1462", x"147c",
x"1497", x"14ae", x"14cb", x"14e6", x"1500", x"151e", x"1538", x"1556", x"1573", x"1591", x"15af", x"15d0", x"15ed", x"160b", x"162c", x"164d",
x"166e", x"168f", x"16b0", x"16d1", x"16f2", x"1712", x"1737", x"1758", x"177c", x"17a0", x"17c1", x"17e5", x"180a", x"182e", x"1852", x"187a",
x"189e", x"18c2", x"18ea", x"190e", x"1935", x"195a", x"1981", x"19a9", x"19cd", x"19f4", x"1a1c", x"1a43", x"1a6b", x"1a93", x"1aba", x"1ae2",
x"1b09", x"1b34", x"1b5b", x"1b83", x"1bab", x"1bd5", x"1bfd", x"1c24", x"1c4f", x"1c77", x"1ca2", x"1cc9", x"1cf4", x"1d1b", x"1d46", x"1d6e",
x"1d99", x"1dc0", x"1deb", x"1e13", x"1e3d", x"1e68", x"1e90", x"1ebb", x"1ee5", x"1f10", x"1f3b", x"1f66", x"1f91", x"1fbb", x"1fe6", x"2011",
x"203f", x"206a", x"2095", x"20c3", x"20ee", x"211c", x"2147", x"2175", x"21a3", x"21ce", x"21fc", x"222a", x"2258", x"2286", x"22b1", x"22df",
x"2311", x"233f", x"236d", x"239b", x"23c9", x"23f7", x"2429", x"2457", x"2488", x"24b6", x"24e8", x"2516", x"2547", x"2575", x"25a7", x"25d8",
x"260a", x"263b", x"266c", x"269e", x"26cf", x"2701", x"2732", x"2764", x"2795", x"27c6", x"27fb", x"282c", x"285e", x"2893", x"28c4", x"28f9",
x"292d", x"295f", x"2994", x"29c8", x"29fa", x"2a2e", x"2a63", x"2a98", x"2acd", x"2b01", x"2b36", x"2b6b", x"2ba3", x"2bd7", x"2c0c", x"2c41",
x"2c79", x"2cad", x"2ce5", x"2d1a", x"2d52", x"2d87", x"2dbf", x"2df7", x"2e2f", x"2e64", x"2e9c", x"2ed4", x"2f0c", x"2f44", x"2f7c", x"2fb4",
x"2fef", x"3027", x"305f", x"3097", x"30d2", x"310a", x"3145", x"317d", x"31b9", x"31f1", x"322c", x"3267", x"329f", x"32db", x"3316", x"3351",
x"338d", x"33c8", x"3403", x"343e", x"347a", x"34b5", x"34f0", x"352f", x"356a", x"35a6", x"35e4", x"361f", x"365e", x"3699", x"36d8", x"3716",
x"3755", x"3790", x"37d2", x"3811", x"3853", x"3895", x"38d6", x"391c", x"3961", x"39a6", x"39eb", x"3a34", x"3a79", x"3ac1", x"3b0a", x"3b56",
x"3b9e", x"3be6", x"3c32", x"3c7e", x"3cc7", x"3d12", x"3d5e", x"3daa", x"3df6", x"3e41", x"3e8d", x"3ed9", x"3f25", x"3f74", x"3fbf", x"400b",
x"4057", x"409f", x"40eb", x"4137", x"4186", x"41d2", x"4221", x"4270", x"42bf", x"4311", x"4364", x"43b6", x"440f", x"4465", x"44c1", x"451d",
x"457d", x"45df", x"4650", x"46c6", x"4747", x"47c7", x"484e", x"48d2", x"4959", x"49dd", x"4a67", x"4af1", x"4b7f", x"4c10", x"4ca1", x"4d35",
x"3b31", x"3b87", x"3bdd", x"3c36", x"3c88", x"3cda", x"3d2d", x"3d78", x"3dc4", x"3e09", x"3e52", x"3e97", x"3edc", x"3f21", x"3f67", x"3fac",
x"3ff1", x"4033", x"4078", x"40ba", x"40ff", x"4141", x"4186", x"41c8", x"420d", x"424f", x"4294", x"42d6", x"431b", x"4360", x"43a6", x"43eb",
x"4433", x"4478", x"44c1", x"4506", x"454f", x"4597", x"45df", x"462b", x"4674", x"46bc", x"4705", x"4750", x"4799", x"47e5", x"482d", x"4879",
x"48c1", x"490a", x"4956", x"499e", x"49e7", x"4a2f", x"4a78", x"4ac0", x"4b08", x"4b51", x"4b96", x"4bdb", x"4c24", x"4c69", x"4cab", x"4cf0",
x"4d35", x"4d77", x"4db9", x"4dfb", x"4e39", x"4e7b", x"4eba", x"4ef8", x"4f3a", x"4f79", x"4fb4", x"4ff3", x"5031", x"506d", x"50ab", x"50e7",
x"5125", x"5161", x"519c", x"51da", x"5216", x"5251", x"528c", x"52cb", x"5306", x"5341", x"537d", x"53bb", x"53f7", x"5435", x"5471", x"54af",
x"54ee", x"5529", x"5568", x"55a6", x"55e5", x"5623", x"5662", x"569d", x"56dc", x"571a", x"5759", x"5798", x"57d6", x"5815", x"5853", x"5892",
x"58d1", x"590f", x"594e", x"598c", x"59c8", x"5a06", x"5a45", x"5a83", x"5abf", x"5afd", x"5b39", x"5b77", x"5bb2", x"5bf1", x"5c2c", x"5c68",
x"5ca6", x"5ce2", x"5d1d", x"5d58", x"5d93", x"5dcf", x"5e0a", x"5e45", x"5e81", x"5ebc", x"5ef7", x"5f32", x"5f6e", x"5fa9", x"5fe4", x"6020",
x"605b", x"6093", x"60ce", x"610a", x"6145", x"6180", x"61bb", x"61f7", x"622f", x"626a", x"62a5", x"62e1", x"631c", x"6354", x"638f", x"63cb",
x"6406", x"643e", x"6479", x"64b4", x"64f0", x"6528", x"6563", x"659e", x"65d6", x"6612", x"664d", x"6688", x"66c0", x"66fb", x"6737", x"676f",
x"67aa", x"67e5", x"6821", x"6859", x"6894", x"68cf", x"6907", x"6943", x"697e", x"69b6", x"69f1", x"6a2c", x"6a68", x"6aa0", x"6adb", x"6b16",
x"6b52", x"6b8a", x"6bc5", x"6c00", x"6c38", x"6c74", x"6caf", x"6cea", x"6d25", x"6d5d", x"6d99", x"6dd4", x"6e0f", x"6e4b", x"6e83", x"6ebe",
x"6ef9", x"6f34", x"6f70", x"6fab", x"6fe6", x"7022", x"705a", x"7095", x"70d0", x"710c", x"7147", x"7182", x"71bd", x"71f9", x"7234", x"726f",
x"72ab", x"72e6", x"7324", x"7360", x"739b", x"73d6", x"7412", x"744d", x"7488", x"74c7", x"7502", x"753d", x"7579", x"75b7", x"75f3", x"762e",
x"766d", x"76a8", x"76e3", x"7722", x"775d", x"779c", x"77d7", x"7815", x"7851", x"788f", x"78cb", x"7909", x"7948", x"7983", x"79c2", x"7a00",
x"7a3f", x"7a7a", x"7ab9", x"7af7", x"7b36", x"7b75", x"7bb0", x"7bee", x"7c2d", x"7c6c", x"7caa", x"7ce9", x"7d27", x"7d66", x"7da5", x"7de3",
x"7e22", x"7e64", x"7ea2", x"7ee1", x"7f1f", x"7f5e", x"7f9d", x"7fde", x"801d", x"805c", x"809a", x"80dc", x"811b", x"8159", x"819b", x"81da",
x"8218", x"825a", x"8299", x"82db", x"8319", x"835b", x"839a", x"83d8", x"841a", x"8459", x"849b", x"84dd", x"851b", x"855d", x"859c", x"85de",
x"861c", x"865e", x"86a0", x"86de", x"8720", x"875f", x"87a1", x"87e3", x"8821", x"8863", x"88a5", x"88e4", x"8926", x"8967", x"89a9", x"89e8",
x"8a2a", x"8a6c", x"8aaa", x"8aec", x"8b2e", x"8b70", x"8baf", x"8bf0", x"8c32", x"8c74", x"8cb6", x"8cf5", x"8d37", x"8d78", x"8dba", x"8df9",
x"8e3b", x"8e7d", x"8ebf", x"8f00", x"8f3f", x"8f81", x"8fc3", x"9005", x"9047", x"9085", x"90c7", x"9109", x"914b", x"9189", x"91cb", x"920d",
x"924f", x"9291", x"92d0", x"9311", x"9353", x"9395", x"93d4", x"9416", x"9458", x"9499", x"94d8", x"951a", x"955c", x"959e", x"95dc", x"961e",
x"9660", x"969f", x"96e0", x"9722", x"9761", x"97a3", x"97e5", x"9823", x"9865", x"98a4", x"98e6", x"9928", x"9966", x"99a8", x"99e7", x"9a28",
x"9a6a", x"9aa9", x"9aeb", x"9b29", x"9b6b", x"9bad", x"9bef", x"9c31", x"9c73", x"9cb5", x"9cf7", x"9d39", x"9d7a", x"9dbc", x"9dfe", x"9e43",
x"9e85", x"9ec7", x"9f0c", x"9f4e", x"9f90", x"9fd5", x"a017", x"a05c", x"a0a1", x"a0e3", x"a129", x"a16a", x"a1b0", x"a1f5", x"a237", x"a27c",
x"a2c1", x"a306", x"a348", x"a38d", x"a3d2", x"a418", x"a45d", x"a49f", x"a4e4", x"a529", x"a56e", x"a5b3", x"a5f9", x"a63a", x"a680", x"a6c5",
x"a70a", x"a74f", x"a794", x"a7d6", x"a81b", x"a861", x"a8a6", x"a8e8", x"a92d", x"a972", x"a9b4", x"a9f9", x"aa3e", x"aa80", x"aac5", x"ab07",
x"ab4c", x"ab8e", x"abd3", x"ac15", x"ac5a", x"ac9c", x"acde", x"ad23", x"ad65", x"ada7", x"ade9", x"ae2b", x"ae6d", x"aeaf", x"aef1", x"af33",
x"af74", x"afb6", x"aff8", x"b03a", x"b079", x"b0bb", x"b0f9", x"b13b", x"b17a", x"b1b8", x"b1fa", x"b239", x"b277", x"b2b6", x"b2f4", x"b333",
x"b372", x"b3b0", x"b3eb", x"b42a", x"b465", x"b4a4", x"b4df", x"b51b", x"b559", x"b594", x"b5d0", x"b60b", x"b643", x"b67e", x"b6ba", x"b6f2",
x"b72d", x"b765", x"b79d", x"b7d8", x"b810", x"b848", x"b87d", x"b8b5", x"b8ed", x"b922", x"b95a", x"b98e", x"b9c3", x"b9f8", x"ba2c", x"ba61",
x"ba96", x"bac7", x"bafc", x"bb2d", x"bb5f", x"bb90", x"bbc5", x"bbf6", x"bc24", x"bc56", x"bc87", x"bcb9", x"bce7", x"bd18", x"bd46", x"bd74",
x"bda6", x"bdd4", x"be02", x"be30", x"be5e", x"be89", x"beb7", x"bee5", x"bf10", x"bf3e", x"bf69", x"bf97", x"bfc2", x"bfed", x"c018", x"c043",
x"c06d", x"c098", x"c0c3", x"c0ee", x"c115", x"c140", x"c16b", x"c193", x"c1bd", x"c1e5", x"c20d", x"c234", x"c25f", x"c286", x"c2ae", x"c2d5",
x"c2fd", x"c325", x"c34c", x"c370", x"c398", x"c3bf", x"c3e4", x"c40b", x"c42f", x"c457", x"c47b", x"c4a3", x"c4c7", x"c4eb", x"c513", x"c537",
x"c55b", x"c57f", x"c5a4", x"c5c8", x"c5ec", x"c610", x"c635", x"c659", x"c67d", x"c6a1", x"c6c2", x"c6e6", x"c70b", x"c72c", x"c750", x"c774",
x"c795", x"c7b9", x"c7da", x"c7fe", x"c81f", x"c844", x"c865", x"c885", x"c8aa", x"c8cb", x"c8ef", x"c910", x"c931", x"c952", x"c976", x"c997",
x"c9b8", x"c9d9", x"c9fa", x"ca1e", x"ca3f", x"ca60", x"ca81", x"caa2", x"cac3", x"cae4", x"cb05", x"cb29", x"cb4a", x"cb6b", x"cb8c", x"cbad",
x"cbce", x"cbee", x"cc0f", x"cc30", x"cc51", x"cc72", x"cc93", x"ccb4", x"ccd8", x"ccf9", x"cd1a", x"cd3b", x"cd5c", x"cd7d", x"cd9e", x"cdbf",
x"cde3", x"ce04", x"ce25", x"ce46", x"ce67", x"ce88", x"cea9", x"ceca", x"ceeb", x"cf0c", x"cf2d", x"cf4e", x"cf6e", x"cf8f", x"cfb0", x"cfd1",
x"cff2", x"d010", x"d031", x"d052", x"d073", x"d094", x"d0b1", x"d0d2", x"d0f3", x"d111", x"d132", x"d153", x"d170", x"d191", x"d1af", x"d1d0",
x"d1ee", x"d20e", x"d22c", x"d24d", x"d26b", x"d28c", x"d2a9", x"d2c7", x"d2e8", x"d306", x"d323", x"d341", x"d362", x"d37f", x"d39d", x"d3bb",
x"d3d8", x"d3f6", x"d414", x"d431", x"d452", x"d470", x"d48a", x"d4a8", x"d4c6", x"d4e3", x"d501", x"d51f", x"d53c", x"d55a", x"d574", x"d592",
x"d5af", x"d5ca", x"d5e7", x"d605", x"d61f", x"d63d", x"d657", x"d675", x"d68f", x"d6ad", x"d6c7", x"d6e5", x"d6ff", x"d71a", x"d737", x"d752",
x"d76c", x"d787", x"d7a4", x"d7bf", x"d7d9", x"d7f3", x"d80e", x"d828", x"d842", x"d85d", x"d877", x"d891", x"d8ac", x"d8c6", x"d8dd", x"d8f7",
x"d912", x"d92c", x"d943", x"d95e", x"d978", x"d98f", x"d9a9", x"d9c0", x"d9db", x"d9f2", x"da0c", x"da23", x"da3e", x"da55", x"da6c", x"da83",
x"da9d", x"dab4", x"dacb", x"dae2", x"daf9", x"db10", x"db27", x"db3f", x"db56", x"db6d", x"db84", x"db9b", x"dbb2", x"dbc6", x"dbdd", x"dbf4",
x"dc0b", x"dc1f", x"dc36", x"dc49", x"dc60", x"dc74", x"dc8b", x"dc9f", x"dcb3", x"dcca", x"dcde", x"dcf1", x"dd05", x"dd19", x"dd2d", x"dd40",
x"dd54", x"dd68", x"dd7c", x"dd90", x"dda3", x"ddb7", x"ddcb", x"dddb", x"ddef", x"de03", x"de13", x"de27", x"de3b", x"de4b", x"de5f", x"de70",
x"de83", x"de94", x"dea4", x"deb8", x"dec8", x"ded9", x"deed", x"defd", x"df0e", x"df1e", x"df2f", x"df3f", x"df50", x"df60", x"df70", x"df81",
x"df91", x"dfa2", x"dfb2", x"dfc3", x"dfd3", x"dfe0", x"dff1", x"e001", x"e012", x"e01f", x"e030", x"e040", x"e04d", x"e05e", x"e06b", x"e07b",
x"e088", x"e099", x"e0a6", x"e0b7", x"e0c4", x"e0d1", x"e0e1", x"e0ef", x"e0fc", x"e10c", x"e119", x"e127", x"e134", x"e144", x"e151", x"e15f",
x"e16c", x"e179", x"e186", x"e197", x"e1a4", x"e1b1", x"e1be", x"e1cb", x"e1d8", x"e1e6", x"e1f3", x"e200", x"e20d", x"e217", x"e224", x"e231",
x"e23f", x"e24c", x"e259", x"e266", x"e270", x"e27d", x"e28a", x"e298", x"e2a1", x"e2af", x"e2bc", x"e2c9", x"e2d3", x"e2e0", x"e2ed", x"e2f7",
x"e304", x"e311", x"e31b", x"e328", x"e336", x"e340", x"e34d", x"e357", x"e364", x"e371", x"e37b", x"e388", x"e392", x"e39f", x"e3a9", x"e3b6",
x"e3c3", x"e3cd", x"e3da", x"e3e4", x"e3ee", x"e3fb", x"e405", x"e412", x"e41c", x"e426", x"e430", x"e43d", x"e447", x"e451", x"e45b", x"e465",
x"e472", x"e47c", x"e486", x"e490", x"e499", x"e4a3", x"e4ad", x"e4b7", x"e4c1", x"e4cb", x"e4d5", x"e4db", x"e4e5", x"e4ef", x"e4f9", x"e503",
x"e50d", x"e513", x"e51d", x"e527", x"e52e", x"e538", x"e541", x"e548", x"e552", x"e55c", x"e562", x"e56c", x"e573", x"e57d", x"e583", x"e58d",
x"e594", x"e59e", x"e5a4", x"e5ab", x"e5b5", x"e5bb", x"e5c5", x"e5cc", x"e5d2", x"e5dc", x"e5e3", x"e5e9", x"e5f0", x"e5fa", x"e601", x"e607",
x"e60e", x"e618", x"e61e", x"e625", x"e62b", x"e632", x"e639", x"e642", x"e649", x"e650", x"e656", x"e65d", x"e663", x"e66a", x"e671", x"e677",
x"e67e", x"e684", x"e68b", x"e691", x"e698", x"e69f", x"e6a5", x"e6ac", x"e6b2", x"e6b9", x"e6c0", x"e6c6", x"e6cd", x"e6d3", x"e6da", x"e6dd",
x"e6e4", x"e6ea", x"e6f1", x"e6f8", x"e6fe", x"e705", x"e708", x"e70f", x"e715", x"e71c", x"e722", x"e729", x"e72c", x"e733", x"e739", x"e740",
x"e747", x"e74d", x"e751", x"e757", x"e75e", x"e764", x"e768", x"e76e", x"e775", x"e77b", x"e782", x"e785", x"e78c", x"e792", x"e799", x"e7a0"
);
begin
process(clk)
begin
if rising_edge(clk) then
val <= coef(addr);
end if;
end process;
end beh;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sid_coeffs is
port (
clk : in std_logic;
addr : in integer range 0 to 2047;
val : out std_logic_vector(15 downto 0)
);
end entity;
architecture beh of sid_coeffs is
type mtype is array(0 to 2047) of std_logic_vector(15 downto 0);
constant coef: mtype := (
x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5", x"02d5",
x"02d5", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8", x"02d8",
x"02d8", x"02d8", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db", x"02db",
x"02db", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df", x"02df",
x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e2", x"02e5", x"02e5", x"02e5",
x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e5", x"02e8", x"02e8", x"02e8", x"02e8", x"02e8", x"02e8", x"02e8",
x"02e8", x"02e8", x"02e8", x"02e8", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ec", x"02ef", x"02ef",
x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02ef", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2", x"02f2",
x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f6", x"02f9", x"02f9", x"02f9", x"02f9", x"02f9", x"02f9", x"02f9",
x"02f9", x"02f9", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"02fc", x"0300", x"0300", x"0300", x"0300", x"0300",
x"0300", x"0300", x"0300", x"0300", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0303", x"0306", x"0306", x"0306",
x"0306", x"0306", x"0306", x"0306", x"0306", x"0309", x"0309", x"0309", x"0309", x"0309", x"0309", x"0309", x"0309", x"030d", x"030d", x"030d",
x"030d", x"030d", x"030d", x"030d", x"0310", x"0310", x"0310", x"0310", x"0310", x"0310", x"0310", x"0313", x"0313", x"0313", x"0313", x"0313",
x"0313", x"0317", x"0317", x"0317", x"0317", x"0317", x"0317", x"031a", x"031a", x"031a", x"031a", x"031a", x"031a", x"031d", x"031d", x"031d",
x"031d", x"031d", x"0320", x"0320", x"0320", x"0320", x"0320", x"0324", x"0324", x"0324", x"0324", x"0324", x"0327", x"0327", x"0327", x"0327",
x"0327", x"032a", x"032a", x"032a", x"032a", x"032e", x"032e", x"032e", x"032e", x"0331", x"0331", x"0331", x"0331", x"0334", x"0334", x"0334",
x"0338", x"0338", x"0338", x"0338", x"033b", x"033b", x"033b", x"033b", x"033e", x"033e", x"033e", x"033e", x"0341", x"0341", x"0341", x"0345",
x"0345", x"0345", x"0345", x"0348", x"0348", x"0348", x"0348", x"034b", x"034b", x"034b", x"034f", x"034f", x"034f", x"034f", x"0352", x"0352",
x"0352", x"0355", x"0355", x"0355", x"0355", x"0358", x"0358", x"0358", x"035c", x"035c", x"035c", x"035c", x"035f", x"035f", x"035f", x"0362",
x"0362", x"0362", x"0366", x"0366", x"0366", x"0369", x"0369", x"0369", x"036c", x"036c", x"036c", x"0370", x"0370", x"0370", x"0373", x"0373",
x"0373", x"0376", x"0376", x"0376", x"0379", x"0379", x"0379", x"037d", x"037d", x"0380", x"0380", x"0380", x"0383", x"0383", x"0383", x"0387",
x"0387", x"038a", x"038a", x"038d", x"038d", x"038d", x"0390", x"0390", x"0394", x"0394", x"0397", x"0397", x"0397", x"039a", x"039a", x"039e",
x"039e", x"03a1", x"03a1", x"03a4", x"03a4", x"03a8", x"03a8", x"03ab", x"03ab", x"03ae", x"03ae", x"03b1", x"03b1", x"03b5", x"03b8", x"03b8",
x"03bb", x"03bb", x"03bf", x"03bf", x"03c2", x"03c5", x"03c5", x"03c8", x"03c8", x"03cc", x"03cf", x"03cf", x"03d2", x"03d6", x"03d6", x"03d9",
x"03dc", x"03dc", x"03e0", x"03e0", x"03e3", x"03e6", x"03e6", x"03e9", x"03ed", x"03ed", x"03f0", x"03f0", x"03f3", x"03f7", x"03f7", x"03fa",
x"03fd", x"03fd", x"0400", x"0400", x"0404", x"0404", x"0407", x"040a", x"040a", x"040e", x"040e", x"0411", x"0414", x"0414", x"0418", x"0418",
x"041b", x"041e", x"041e", x"0421", x"0421", x"0425", x"0428", x"0428", x"042b", x"042b", x"042f", x"0432", x"0432", x"0435", x"0438", x"0438",
x"043c", x"043c", x"043f", x"0442", x"0442", x"0446", x"0449", x"044c", x"044c", x"0450", x"0453", x"0453", x"0456", x"0459", x"045d", x"045d",
x"0460", x"0463", x"0467", x"0467", x"046a", x"046d", x"0470", x"0474", x"0477", x"0477", x"047a", x"047e", x"0481", x"0484", x"0488", x"048b",
x"048e", x"0491", x"0495", x"0498", x"049b", x"049f", x"04a2", x"04a5", x"04a8", x"04ac", x"04af", x"04b2", x"04b6", x"04b9", x"04c0", x"04c3",
x"04c6", x"04c9", x"04cd", x"04d3", x"04d7", x"04da", x"04dd", x"04e4", x"04e7", x"04ee", x"04f1", x"04f4", x"04fb", x"04fe", x"0505", x"0508",
x"050f", x"0512", x"0519", x"051c", x"0522", x"0526", x"052c", x"0533", x"0536", x"053d", x"0543", x"0547", x"054d", x"0554", x"055a", x"0561",
x"0568", x"056b", x"0571", x"0578", x"057f", x"0585", x"058c", x"0592", x"0599", x"059c", x"05a3", x"05a9", x"05b0", x"05b7", x"05bd", x"05c4",
x"05ca", x"05d1", x"05d8", x"05de", x"05e5", x"05eb", x"05f2", x"05f9", x"05ff", x"0606", x"060c", x"0613", x"0619", x"0620", x"0627", x"062d",
x"0634", x"063a", x"0641", x"0648", x"064e", x"0655", x"065f", x"0665", x"066c", x"0672", x"0679", x"0680", x"0689", x"0690", x"0697", x"069d",
x"06a7", x"06ae", x"06b4", x"06be", x"06c5", x"06cb", x"06d5", x"06dc", x"06e6", x"06ec", x"06f6", x"06fd", x"0707", x"070d", x"0717", x"071e",
x"0728", x"072e", x"0738", x"0742", x"0749", x"0752", x"075c", x"0763", x"076d", x"0777", x"0781", x"078a", x"0794", x"079b", x"07a5", x"07af",
x"07b9", x"07c2", x"07cc", x"07d6", x"07e0", x"07ea", x"07f7", x"0801", x"080b", x"0815", x"081f", x"082c", x"0836", x"0840", x"084d", x"0857",
x"0864", x"086e", x"0878", x"0885", x"0892", x"089c", x"08a9", x"08b3", x"08c0", x"08cd", x"08da", x"08e4", x"08f1", x"08ff", x"090c", x"0919",
x"0926", x"0933", x"0941", x"094e", x"095b", x"0968", x"0975", x"0986", x"0993", x"09a0", x"09b1", x"09be", x"09cb", x"09db", x"09e9", x"09f9",
x"0a09", x"0a17", x"0a27", x"0a34", x"0a45", x"0a55", x"0a66", x"0a76", x"0a83", x"0a94", x"0aa4", x"0ab5", x"0ac5", x"0ad6", x"0ae6", x"0af7",
x"0b07", x"0b18", x"0b2b", x"0b3c", x"0b4c", x"0b5d", x"0b71", x"0b81", x"0b91", x"0ba5", x"0bb6", x"0bc6", x"0bda", x"0bea", x"0bfe", x"0c12",
x"0c22", x"0c36", x"0c47", x"0c5a", x"0c6e", x"0c7f", x"0c92", x"0ca6", x"0cba", x"0cce", x"0ce1", x"0cf2", x"0d06", x"0d19", x"0d2d", x"0d41",
x"0d55", x"0d69", x"0d7c", x"0d93", x"0da7", x"0dbb", x"0dcf", x"0de2", x"0df9", x"0e0d", x"0e21", x"0e38", x"0e4c", x"0e60", x"0e77", x"0e8a",
x"0ea2", x"0eb5", x"0ecc", x"0ee0", x"0ef7", x"0f0b", x"0f22", x"0f39", x"0f4d", x"0f64", x"0f7b", x"0f8f", x"0fa6", x"0fbd", x"0fd4", x"0feb",
x"0fff", x"1016", x"102d", x"1044", x"105b", x"1072", x"1089", x"10a0", x"10b7", x"10ce", x"10e5", x"1100", x"1117", x"112e", x"1145", x"115c",
x"1176", x"118d", x"11a4", x"11bb", x"11d6", x"11ed", x"1204", x"121e", x"1235", x"1250", x"1267", x"1281", x"1298", x"12b2", x"12ca", x"12e4",
x"12fb", x"1315", x"1330", x"1347", x"1361", x"137b", x"1392", x"13ad", x"13c7", x"13e2", x"13f9", x"1413", x"142d", x"1448", x"1462", x"147c",
x"1497", x"14ae", x"14cb", x"14e6", x"1500", x"151e", x"1538", x"1556", x"1573", x"1591", x"15af", x"15d0", x"15ed", x"160b", x"162c", x"164d",
x"166e", x"168f", x"16b0", x"16d1", x"16f2", x"1712", x"1737", x"1758", x"177c", x"17a0", x"17c1", x"17e5", x"180a", x"182e", x"1852", x"187a",
x"189e", x"18c2", x"18ea", x"190e", x"1935", x"195a", x"1981", x"19a9", x"19cd", x"19f4", x"1a1c", x"1a43", x"1a6b", x"1a93", x"1aba", x"1ae2",
x"1b09", x"1b34", x"1b5b", x"1b83", x"1bab", x"1bd5", x"1bfd", x"1c24", x"1c4f", x"1c77", x"1ca2", x"1cc9", x"1cf4", x"1d1b", x"1d46", x"1d6e",
x"1d99", x"1dc0", x"1deb", x"1e13", x"1e3d", x"1e68", x"1e90", x"1ebb", x"1ee5", x"1f10", x"1f3b", x"1f66", x"1f91", x"1fbb", x"1fe6", x"2011",
x"203f", x"206a", x"2095", x"20c3", x"20ee", x"211c", x"2147", x"2175", x"21a3", x"21ce", x"21fc", x"222a", x"2258", x"2286", x"22b1", x"22df",
x"2311", x"233f", x"236d", x"239b", x"23c9", x"23f7", x"2429", x"2457", x"2488", x"24b6", x"24e8", x"2516", x"2547", x"2575", x"25a7", x"25d8",
x"260a", x"263b", x"266c", x"269e", x"26cf", x"2701", x"2732", x"2764", x"2795", x"27c6", x"27fb", x"282c", x"285e", x"2893", x"28c4", x"28f9",
x"292d", x"295f", x"2994", x"29c8", x"29fa", x"2a2e", x"2a63", x"2a98", x"2acd", x"2b01", x"2b36", x"2b6b", x"2ba3", x"2bd7", x"2c0c", x"2c41",
x"2c79", x"2cad", x"2ce5", x"2d1a", x"2d52", x"2d87", x"2dbf", x"2df7", x"2e2f", x"2e64", x"2e9c", x"2ed4", x"2f0c", x"2f44", x"2f7c", x"2fb4",
x"2fef", x"3027", x"305f", x"3097", x"30d2", x"310a", x"3145", x"317d", x"31b9", x"31f1", x"322c", x"3267", x"329f", x"32db", x"3316", x"3351",
x"338d", x"33c8", x"3403", x"343e", x"347a", x"34b5", x"34f0", x"352f", x"356a", x"35a6", x"35e4", x"361f", x"365e", x"3699", x"36d8", x"3716",
x"3755", x"3790", x"37d2", x"3811", x"3853", x"3895", x"38d6", x"391c", x"3961", x"39a6", x"39eb", x"3a34", x"3a79", x"3ac1", x"3b0a", x"3b56",
x"3b9e", x"3be6", x"3c32", x"3c7e", x"3cc7", x"3d12", x"3d5e", x"3daa", x"3df6", x"3e41", x"3e8d", x"3ed9", x"3f25", x"3f74", x"3fbf", x"400b",
x"4057", x"409f", x"40eb", x"4137", x"4186", x"41d2", x"4221", x"4270", x"42bf", x"4311", x"4364", x"43b6", x"440f", x"4465", x"44c1", x"451d",
x"457d", x"45df", x"4650", x"46c6", x"4747", x"47c7", x"484e", x"48d2", x"4959", x"49dd", x"4a67", x"4af1", x"4b7f", x"4c10", x"4ca1", x"4d35",
x"3b31", x"3b87", x"3bdd", x"3c36", x"3c88", x"3cda", x"3d2d", x"3d78", x"3dc4", x"3e09", x"3e52", x"3e97", x"3edc", x"3f21", x"3f67", x"3fac",
x"3ff1", x"4033", x"4078", x"40ba", x"40ff", x"4141", x"4186", x"41c8", x"420d", x"424f", x"4294", x"42d6", x"431b", x"4360", x"43a6", x"43eb",
x"4433", x"4478", x"44c1", x"4506", x"454f", x"4597", x"45df", x"462b", x"4674", x"46bc", x"4705", x"4750", x"4799", x"47e5", x"482d", x"4879",
x"48c1", x"490a", x"4956", x"499e", x"49e7", x"4a2f", x"4a78", x"4ac0", x"4b08", x"4b51", x"4b96", x"4bdb", x"4c24", x"4c69", x"4cab", x"4cf0",
x"4d35", x"4d77", x"4db9", x"4dfb", x"4e39", x"4e7b", x"4eba", x"4ef8", x"4f3a", x"4f79", x"4fb4", x"4ff3", x"5031", x"506d", x"50ab", x"50e7",
x"5125", x"5161", x"519c", x"51da", x"5216", x"5251", x"528c", x"52cb", x"5306", x"5341", x"537d", x"53bb", x"53f7", x"5435", x"5471", x"54af",
x"54ee", x"5529", x"5568", x"55a6", x"55e5", x"5623", x"5662", x"569d", x"56dc", x"571a", x"5759", x"5798", x"57d6", x"5815", x"5853", x"5892",
x"58d1", x"590f", x"594e", x"598c", x"59c8", x"5a06", x"5a45", x"5a83", x"5abf", x"5afd", x"5b39", x"5b77", x"5bb2", x"5bf1", x"5c2c", x"5c68",
x"5ca6", x"5ce2", x"5d1d", x"5d58", x"5d93", x"5dcf", x"5e0a", x"5e45", x"5e81", x"5ebc", x"5ef7", x"5f32", x"5f6e", x"5fa9", x"5fe4", x"6020",
x"605b", x"6093", x"60ce", x"610a", x"6145", x"6180", x"61bb", x"61f7", x"622f", x"626a", x"62a5", x"62e1", x"631c", x"6354", x"638f", x"63cb",
x"6406", x"643e", x"6479", x"64b4", x"64f0", x"6528", x"6563", x"659e", x"65d6", x"6612", x"664d", x"6688", x"66c0", x"66fb", x"6737", x"676f",
x"67aa", x"67e5", x"6821", x"6859", x"6894", x"68cf", x"6907", x"6943", x"697e", x"69b6", x"69f1", x"6a2c", x"6a68", x"6aa0", x"6adb", x"6b16",
x"6b52", x"6b8a", x"6bc5", x"6c00", x"6c38", x"6c74", x"6caf", x"6cea", x"6d25", x"6d5d", x"6d99", x"6dd4", x"6e0f", x"6e4b", x"6e83", x"6ebe",
x"6ef9", x"6f34", x"6f70", x"6fab", x"6fe6", x"7022", x"705a", x"7095", x"70d0", x"710c", x"7147", x"7182", x"71bd", x"71f9", x"7234", x"726f",
x"72ab", x"72e6", x"7324", x"7360", x"739b", x"73d6", x"7412", x"744d", x"7488", x"74c7", x"7502", x"753d", x"7579", x"75b7", x"75f3", x"762e",
x"766d", x"76a8", x"76e3", x"7722", x"775d", x"779c", x"77d7", x"7815", x"7851", x"788f", x"78cb", x"7909", x"7948", x"7983", x"79c2", x"7a00",
x"7a3f", x"7a7a", x"7ab9", x"7af7", x"7b36", x"7b75", x"7bb0", x"7bee", x"7c2d", x"7c6c", x"7caa", x"7ce9", x"7d27", x"7d66", x"7da5", x"7de3",
x"7e22", x"7e64", x"7ea2", x"7ee1", x"7f1f", x"7f5e", x"7f9d", x"7fde", x"801d", x"805c", x"809a", x"80dc", x"811b", x"8159", x"819b", x"81da",
x"8218", x"825a", x"8299", x"82db", x"8319", x"835b", x"839a", x"83d8", x"841a", x"8459", x"849b", x"84dd", x"851b", x"855d", x"859c", x"85de",
x"861c", x"865e", x"86a0", x"86de", x"8720", x"875f", x"87a1", x"87e3", x"8821", x"8863", x"88a5", x"88e4", x"8926", x"8967", x"89a9", x"89e8",
x"8a2a", x"8a6c", x"8aaa", x"8aec", x"8b2e", x"8b70", x"8baf", x"8bf0", x"8c32", x"8c74", x"8cb6", x"8cf5", x"8d37", x"8d78", x"8dba", x"8df9",
x"8e3b", x"8e7d", x"8ebf", x"8f00", x"8f3f", x"8f81", x"8fc3", x"9005", x"9047", x"9085", x"90c7", x"9109", x"914b", x"9189", x"91cb", x"920d",
x"924f", x"9291", x"92d0", x"9311", x"9353", x"9395", x"93d4", x"9416", x"9458", x"9499", x"94d8", x"951a", x"955c", x"959e", x"95dc", x"961e",
x"9660", x"969f", x"96e0", x"9722", x"9761", x"97a3", x"97e5", x"9823", x"9865", x"98a4", x"98e6", x"9928", x"9966", x"99a8", x"99e7", x"9a28",
x"9a6a", x"9aa9", x"9aeb", x"9b29", x"9b6b", x"9bad", x"9bef", x"9c31", x"9c73", x"9cb5", x"9cf7", x"9d39", x"9d7a", x"9dbc", x"9dfe", x"9e43",
x"9e85", x"9ec7", x"9f0c", x"9f4e", x"9f90", x"9fd5", x"a017", x"a05c", x"a0a1", x"a0e3", x"a129", x"a16a", x"a1b0", x"a1f5", x"a237", x"a27c",
x"a2c1", x"a306", x"a348", x"a38d", x"a3d2", x"a418", x"a45d", x"a49f", x"a4e4", x"a529", x"a56e", x"a5b3", x"a5f9", x"a63a", x"a680", x"a6c5",
x"a70a", x"a74f", x"a794", x"a7d6", x"a81b", x"a861", x"a8a6", x"a8e8", x"a92d", x"a972", x"a9b4", x"a9f9", x"aa3e", x"aa80", x"aac5", x"ab07",
x"ab4c", x"ab8e", x"abd3", x"ac15", x"ac5a", x"ac9c", x"acde", x"ad23", x"ad65", x"ada7", x"ade9", x"ae2b", x"ae6d", x"aeaf", x"aef1", x"af33",
x"af74", x"afb6", x"aff8", x"b03a", x"b079", x"b0bb", x"b0f9", x"b13b", x"b17a", x"b1b8", x"b1fa", x"b239", x"b277", x"b2b6", x"b2f4", x"b333",
x"b372", x"b3b0", x"b3eb", x"b42a", x"b465", x"b4a4", x"b4df", x"b51b", x"b559", x"b594", x"b5d0", x"b60b", x"b643", x"b67e", x"b6ba", x"b6f2",
x"b72d", x"b765", x"b79d", x"b7d8", x"b810", x"b848", x"b87d", x"b8b5", x"b8ed", x"b922", x"b95a", x"b98e", x"b9c3", x"b9f8", x"ba2c", x"ba61",
x"ba96", x"bac7", x"bafc", x"bb2d", x"bb5f", x"bb90", x"bbc5", x"bbf6", x"bc24", x"bc56", x"bc87", x"bcb9", x"bce7", x"bd18", x"bd46", x"bd74",
x"bda6", x"bdd4", x"be02", x"be30", x"be5e", x"be89", x"beb7", x"bee5", x"bf10", x"bf3e", x"bf69", x"bf97", x"bfc2", x"bfed", x"c018", x"c043",
x"c06d", x"c098", x"c0c3", x"c0ee", x"c115", x"c140", x"c16b", x"c193", x"c1bd", x"c1e5", x"c20d", x"c234", x"c25f", x"c286", x"c2ae", x"c2d5",
x"c2fd", x"c325", x"c34c", x"c370", x"c398", x"c3bf", x"c3e4", x"c40b", x"c42f", x"c457", x"c47b", x"c4a3", x"c4c7", x"c4eb", x"c513", x"c537",
x"c55b", x"c57f", x"c5a4", x"c5c8", x"c5ec", x"c610", x"c635", x"c659", x"c67d", x"c6a1", x"c6c2", x"c6e6", x"c70b", x"c72c", x"c750", x"c774",
x"c795", x"c7b9", x"c7da", x"c7fe", x"c81f", x"c844", x"c865", x"c885", x"c8aa", x"c8cb", x"c8ef", x"c910", x"c931", x"c952", x"c976", x"c997",
x"c9b8", x"c9d9", x"c9fa", x"ca1e", x"ca3f", x"ca60", x"ca81", x"caa2", x"cac3", x"cae4", x"cb05", x"cb29", x"cb4a", x"cb6b", x"cb8c", x"cbad",
x"cbce", x"cbee", x"cc0f", x"cc30", x"cc51", x"cc72", x"cc93", x"ccb4", x"ccd8", x"ccf9", x"cd1a", x"cd3b", x"cd5c", x"cd7d", x"cd9e", x"cdbf",
x"cde3", x"ce04", x"ce25", x"ce46", x"ce67", x"ce88", x"cea9", x"ceca", x"ceeb", x"cf0c", x"cf2d", x"cf4e", x"cf6e", x"cf8f", x"cfb0", x"cfd1",
x"cff2", x"d010", x"d031", x"d052", x"d073", x"d094", x"d0b1", x"d0d2", x"d0f3", x"d111", x"d132", x"d153", x"d170", x"d191", x"d1af", x"d1d0",
x"d1ee", x"d20e", x"d22c", x"d24d", x"d26b", x"d28c", x"d2a9", x"d2c7", x"d2e8", x"d306", x"d323", x"d341", x"d362", x"d37f", x"d39d", x"d3bb",
x"d3d8", x"d3f6", x"d414", x"d431", x"d452", x"d470", x"d48a", x"d4a8", x"d4c6", x"d4e3", x"d501", x"d51f", x"d53c", x"d55a", x"d574", x"d592",
x"d5af", x"d5ca", x"d5e7", x"d605", x"d61f", x"d63d", x"d657", x"d675", x"d68f", x"d6ad", x"d6c7", x"d6e5", x"d6ff", x"d71a", x"d737", x"d752",
x"d76c", x"d787", x"d7a4", x"d7bf", x"d7d9", x"d7f3", x"d80e", x"d828", x"d842", x"d85d", x"d877", x"d891", x"d8ac", x"d8c6", x"d8dd", x"d8f7",
x"d912", x"d92c", x"d943", x"d95e", x"d978", x"d98f", x"d9a9", x"d9c0", x"d9db", x"d9f2", x"da0c", x"da23", x"da3e", x"da55", x"da6c", x"da83",
x"da9d", x"dab4", x"dacb", x"dae2", x"daf9", x"db10", x"db27", x"db3f", x"db56", x"db6d", x"db84", x"db9b", x"dbb2", x"dbc6", x"dbdd", x"dbf4",
x"dc0b", x"dc1f", x"dc36", x"dc49", x"dc60", x"dc74", x"dc8b", x"dc9f", x"dcb3", x"dcca", x"dcde", x"dcf1", x"dd05", x"dd19", x"dd2d", x"dd40",
x"dd54", x"dd68", x"dd7c", x"dd90", x"dda3", x"ddb7", x"ddcb", x"dddb", x"ddef", x"de03", x"de13", x"de27", x"de3b", x"de4b", x"de5f", x"de70",
x"de83", x"de94", x"dea4", x"deb8", x"dec8", x"ded9", x"deed", x"defd", x"df0e", x"df1e", x"df2f", x"df3f", x"df50", x"df60", x"df70", x"df81",
x"df91", x"dfa2", x"dfb2", x"dfc3", x"dfd3", x"dfe0", x"dff1", x"e001", x"e012", x"e01f", x"e030", x"e040", x"e04d", x"e05e", x"e06b", x"e07b",
x"e088", x"e099", x"e0a6", x"e0b7", x"e0c4", x"e0d1", x"e0e1", x"e0ef", x"e0fc", x"e10c", x"e119", x"e127", x"e134", x"e144", x"e151", x"e15f",
x"e16c", x"e179", x"e186", x"e197", x"e1a4", x"e1b1", x"e1be", x"e1cb", x"e1d8", x"e1e6", x"e1f3", x"e200", x"e20d", x"e217", x"e224", x"e231",
x"e23f", x"e24c", x"e259", x"e266", x"e270", x"e27d", x"e28a", x"e298", x"e2a1", x"e2af", x"e2bc", x"e2c9", x"e2d3", x"e2e0", x"e2ed", x"e2f7",
x"e304", x"e311", x"e31b", x"e328", x"e336", x"e340", x"e34d", x"e357", x"e364", x"e371", x"e37b", x"e388", x"e392", x"e39f", x"e3a9", x"e3b6",
x"e3c3", x"e3cd", x"e3da", x"e3e4", x"e3ee", x"e3fb", x"e405", x"e412", x"e41c", x"e426", x"e430", x"e43d", x"e447", x"e451", x"e45b", x"e465",
x"e472", x"e47c", x"e486", x"e490", x"e499", x"e4a3", x"e4ad", x"e4b7", x"e4c1", x"e4cb", x"e4d5", x"e4db", x"e4e5", x"e4ef", x"e4f9", x"e503",
x"e50d", x"e513", x"e51d", x"e527", x"e52e", x"e538", x"e541", x"e548", x"e552", x"e55c", x"e562", x"e56c", x"e573", x"e57d", x"e583", x"e58d",
x"e594", x"e59e", x"e5a4", x"e5ab", x"e5b5", x"e5bb", x"e5c5", x"e5cc", x"e5d2", x"e5dc", x"e5e3", x"e5e9", x"e5f0", x"e5fa", x"e601", x"e607",
x"e60e", x"e618", x"e61e", x"e625", x"e62b", x"e632", x"e639", x"e642", x"e649", x"e650", x"e656", x"e65d", x"e663", x"e66a", x"e671", x"e677",
x"e67e", x"e684", x"e68b", x"e691", x"e698", x"e69f", x"e6a5", x"e6ac", x"e6b2", x"e6b9", x"e6c0", x"e6c6", x"e6cd", x"e6d3", x"e6da", x"e6dd",
x"e6e4", x"e6ea", x"e6f1", x"e6f8", x"e6fe", x"e705", x"e708", x"e70f", x"e715", x"e71c", x"e722", x"e729", x"e72c", x"e733", x"e739", x"e740",
x"e747", x"e74d", x"e751", x"e757", x"e75e", x"e764", x"e768", x"e76e", x"e775", x"e77b", x"e782", x"e785", x"e78c", x"e792", x"e799", x"e7a0"
);
begin
process(clk)
begin
if rising_edge(clk) then
val <= coef(addr);
end if;
end process;
end beh;
|
--==============================================================================
--! @file ddr3_ctrl_wrapper.vhd
--==============================================================================
--! Standard library
library IEEE;
--! Standard packages
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
--! Specific packages
use work.ddr3_ctrl_wrapper_pkg.all;
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- DDR3 Controller Wrapper
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--! @brief
--! DDR3 interface wrapper for MCB
--------------------------------------------------------------------------------
--! @details
--! DDR3 interface wrapper for Xilinx MCB (Memory Controller
--! Block). This core is based on the code generated by Xilinx CoreGen for
--! the MCB.
--------------------------------------------------------------------------------
--! @version
--! 0.1 | mc | 12.07.2011 | File creation and Doxygen comments
--! 0.2 | mc | 06.07.2012 | Add bank4_32b_32b and bank5_32b_32b support
--!
--! @author
--! mc : Matthieu Cattin, CERN (BE-CO-HT)
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
--==============================================================================
--! Entity declaration for ddr3_ctrl_wrapper
--==============================================================================
entity ddr3_ctrl_wrapper is
generic(
--! Bank and port size selection
g_BANK_PORT_SELECT : string := "SPEC_BANK3_32B_32B";
--! Core's clock period in ps
g_MEMCLK_PERIOD : integer := 3000;
--! If TRUE, uses Xilinx calibration core (Input term, DQS centering)
g_CALIB_SOFT_IP : string := "TRUE";
--! User ports addresses maping (BANK_ROW_COLUMN or ROW_BANK_COLUMN)
g_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
--! Simulation mode
g_SIMULATION : string := "FALSE";
--! DDR3 data port width
g_NUM_DQ_PINS : integer := 16;
--! DDR3 address port width
g_MEM_ADDR_WIDTH : integer := 14;
--! DDR3 bank address width
g_MEM_BANKADDR_WIDTH : integer := 3;
--! Port 0 data mask size (8-bit granularity)
g_P0_MASK_SIZE : integer := 4;
--! Port 0 data width
g_P0_DATA_PORT_SIZE : integer := 32;
--! Port 0 byte address width
g_P0_BYTE_ADDR_WIDTH : integer := 30;
--! Port 1 data mask size (8-bit granularity)
g_P1_MASK_SIZE : integer := 4;
--! Port 1 data width
g_P1_DATA_PORT_SIZE : integer := 32;
--! Port 1 byte address width
g_P1_BYTE_ADDR_WIDTH : integer := 30
);
port(
----------------------------------------------------------------------------
-- Clock and reset
----------------------------------------------------------------------------
--! Core's single ended clock input
clk_i : in std_logic;
--! Core's reset input (active low)
rst_n_i : in std_logic;
----------------------------------------------------------------------------
-- Status
----------------------------------------------------------------------------
--! Indicates end of calibration sequence at startup
calib_done_o : out std_logic;
----------------------------------------------------------------------------
-- DDR3 interface
----------------------------------------------------------------------------
--! DDR3 data bus
ddr3_dq_b : inout std_logic_vector(g_NUM_DQ_PINS-1 downto 0);
--! DDR3 address bus
ddr3_a_o : out std_logic_vector(g_MEM_ADDR_WIDTH-1 downto 0);
--! DDR3 bank address
ddr3_ba_o : out std_logic_vector(g_MEM_BANKADDR_WIDTH-1 downto 0);
--! DDR3 row address strobe
ddr3_ras_n_o : out std_logic;
--! DDR3 column address strobe
ddr3_cas_n_o : out std_logic;
--! DDR3 write enable
ddr3_we_n_o : out std_logic;
--! DDR3 on-die termination
ddr3_odt_o : out std_logic;
--! DDR3 reset
ddr3_rst_n_o : out std_logic;
--! DDR3 clock enable
ddr3_cke_o : out std_logic;
--! DDR3 lower byte data mask
ddr3_dm_o : out std_logic;
--! DDR3 upper byte data mask
ddr3_udm_o : out std_logic;
--! DDR3 lower byte data strobe (pos)
ddr3_dqs_p_b : inout std_logic;
--! DDR3 lower byte data strobe (neg)
ddr3_dqs_n_b : inout std_logic;
--! DDR3 upper byte data strobe (pos)
ddr3_udqs_p_b : inout std_logic;
--! DDR3 upper byte data strobe (pos)
ddr3_udqs_n_b : inout std_logic;
--! DDR3 clock (pos)
ddr3_clk_p_o : out std_logic;
--! DDR3 clock (neg)
ddr3_clk_n_o : out std_logic;
--! MCB internal termination calibration resistor
ddr3_rzq_b : inout std_logic;
--! MCB internal termination calibration
ddr3_zio_b : inout std_logic;
----------------------------------------------------------------------------
-- Port 0
----------------------------------------------------------------------------
p0_cmd_clk_i : in std_logic;
p0_cmd_en_i : in std_logic;
p0_cmd_instr_i : in std_logic_vector(2 downto 0);
p0_cmd_bl_i : in std_logic_vector(5 downto 0);
p0_cmd_byte_addr_i : in std_logic_vector(g_P0_BYTE_ADDR_WIDTH - 1 downto 0);
p0_cmd_empty_o : out std_logic;
p0_cmd_full_o : out std_logic;
p0_wr_clk_i : in std_logic;
p0_wr_en_i : in std_logic;
p0_wr_mask_i : in std_logic_vector(g_P0_MASK_SIZE - 1 downto 0);
p0_wr_data_i : in std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
p0_wr_full_o : out std_logic;
p0_wr_empty_o : out std_logic;
p0_wr_count_o : out std_logic_vector(6 downto 0);
p0_wr_underrun_o : out std_logic;
p0_wr_error_o : out std_logic;
p0_rd_clk_i : in std_logic;
p0_rd_en_i : in std_logic;
p0_rd_data_o : out std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
p0_rd_full_o : out std_logic;
p0_rd_empty_o : out std_logic;
p0_rd_count_o : out std_logic_vector(6 downto 0);
p0_rd_overflow_o : out std_logic;
p0_rd_error_o : out std_logic;
----------------------------------------------------------------------------
-- Port 1
----------------------------------------------------------------------------
p1_cmd_clk_i : in std_logic;
p1_cmd_en_i : in std_logic;
p1_cmd_instr_i : in std_logic_vector(2 downto 0);
p1_cmd_bl_i : in std_logic_vector(5 downto 0);
p1_cmd_byte_addr_i : in std_logic_vector(g_P1_BYTE_ADDR_WIDTH - 1 downto 0);
p1_cmd_empty_o : out std_logic;
p1_cmd_full_o : out std_logic;
p1_wr_clk_i : in std_logic;
p1_wr_en_i : in std_logic;
p1_wr_mask_i : in std_logic_vector(g_P1_MASK_SIZE - 1 downto 0);
p1_wr_data_i : in std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
p1_wr_full_o : out std_logic;
p1_wr_empty_o : out std_logic;
p1_wr_count_o : out std_logic_vector(6 downto 0);
p1_wr_underrun_o : out std_logic;
p1_wr_error_o : out std_logic;
p1_rd_clk_i : in std_logic;
p1_rd_en_i : in std_logic;
p1_rd_data_o : out std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
p1_rd_full_o : out std_logic;
p1_rd_empty_o : out std_logic;
p1_rd_count_o : out std_logic_vector(6 downto 0);
p1_rd_overflow_o : out std_logic;
p1_rd_error_o : out std_logic
);
end entity ddr3_ctrl_wrapper;
--==============================================================================
--! Architecure declaration for ddr3_ctrl_wrapper
--==============================================================================
architecture rtl of ddr3_ctrl_wrapper is
-- Components generated from Xilinx CoreGen are stored in ddr3_ctrl_wrapper_pkg
--==============================================================================
--! Architecure begin
--==============================================================================
begin
----------------------------------------------------------------------------
-- Selected board/bank check
----------------------------------------------------------------------------
gen_test_bank_port_select : if(g_BANK_PORT_SELECT /= "SPEC_BANK3_32B_32B" and
g_BANK_PORT_SELECT /= "SPEC_BANK3_64B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK4_32B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK4_64B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK5_32B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK5_64B_32B" and
g_BANK_PORT_SELECT /= "VFC_BANK1_32B_32B" and
g_BANK_PORT_SELECT /= "VFC_BANK1_64B_32B") generate
assert false report "ddr3_ctrl_wrapper: Selected bank or port size is no supported. Currently supported values are: SPEC_BANK3_64B_32B, SPEC_BANK3_32B_32B, SVEC_BANK4_32B_32B, SVEC_BANK4_64B_32B, SVEC_BANK5_32B_32B, SVEC_BANK5_64B_32B, VFC_BANK1_32B_32B, VFC_BANK1_64B_32B" severity failure;
end generate gen_test_bank_port_select;
----------------------------------------------------------------------------
-- SPEC
----------------------------------------------------------------------------
gen_spec_bank3_32b_32b : if(g_BANK_PORT_SELECT = "SPEC_BANK3_32B_32B") generate
cmp_ddr3_ctrl : ddr3_ctrl_spec_bank3_32b_32b
generic map (
C3_P0_MASK_SIZE => 4,
C3_P0_DATA_PORT_SIZE => 32,
C3_P1_MASK_SIZE => 4,
C3_P1_DATA_PORT_SIZE => 32,
C3_MEMCLK_PERIOD => g_MEMCLK_PERIOD,
C3_RST_ACT_LOW => 1, -- Active low
C3_CALIB_SOFT_IP => g_CALIB_SOFT_IP,
C3_MEM_ADDR_ORDER => g_MEM_ADDR_ORDER,
C3_NUM_DQ_PINS => g_NUM_DQ_PINS,
C3_MEM_ADDR_WIDTH => g_MEM_ADDR_WIDTH,
C3_MEM_BANKADDR_WIDTH => g_MEM_BANKADDR_WIDTH,
C3_SIMULATION => g_SIMULATION,
C3_INPUT_CLK_TYPE => "SINGLE_ENDED"
)
port map (
c3_sys_clk => clk_i,
c3_sys_rst_i => rst_n_i,
c3_clk0 => open,
c3_rst0 => open,
c3_calib_done => calib_done_o,
mcb3_dram_dq => ddr3_dq_b,
mcb3_dram_a => ddr3_a_o,
mcb3_dram_ba => ddr3_ba_o,
mcb3_dram_ras_n => ddr3_ras_n_o,
mcb3_dram_cas_n => ddr3_cas_n_o,
mcb3_dram_we_n => ddr3_we_n_o,
mcb3_dram_odt => ddr3_odt_o,
mcb3_dram_cke => ddr3_cke_o,
mcb3_dram_ck => ddr3_clk_p_o,
mcb3_dram_ck_n => ddr3_clk_n_o,
mcb3_dram_dqs => ddr3_dqs_p_b,
mcb3_dram_dqs_n => ddr3_dqs_n_b,
mcb3_dram_reset_n => ddr3_rst_n_o,
mcb3_dram_udqs => ddr3_udqs_p_b, -- for X16 parts
mcb3_dram_udqs_n => ddr3_udqs_n_b, -- for X16 parts
mcb3_dram_udm => ddr3_udm_o, -- for X16 parts
mcb3_dram_dm => ddr3_dm_o,
mcb3_rzq => ddr3_rzq_b,
c3_p0_cmd_clk => p0_cmd_clk_i,
c3_p0_cmd_en => p0_cmd_en_i,
c3_p0_cmd_instr => p0_cmd_instr_i,
c3_p0_cmd_bl => p0_cmd_bl_i,
c3_p0_cmd_byte_addr => p0_cmd_byte_addr_i,
c3_p0_cmd_empty => p0_cmd_empty_o,
c3_p0_cmd_full => p0_cmd_full_o,
c3_p0_wr_clk => p0_wr_clk_i,
c3_p0_wr_en => p0_wr_en_i,
c3_p0_wr_mask => p0_wr_mask_i,
c3_p0_wr_data => p0_wr_data_i,
c3_p0_wr_full => p0_wr_full_o,
c3_p0_wr_empty => p0_wr_empty_o,
c3_p0_wr_count => p0_wr_count_o,
c3_p0_wr_underrun => p0_wr_underrun_o,
c3_p0_wr_error => p0_wr_error_o,
c3_p0_rd_clk => p0_rd_clk_i,
c3_p0_rd_en => p0_rd_en_i,
c3_p0_rd_data => p0_rd_data_o,
c3_p0_rd_full => p0_rd_full_o,
c3_p0_rd_empty => p0_rd_empty_o,
c3_p0_rd_count => p0_rd_count_o,
c3_p0_rd_overflow => p0_rd_overflow_o,
c3_p0_rd_error => p0_rd_error_o,
c3_p1_cmd_clk => p1_cmd_clk_i,
c3_p1_cmd_en => p1_cmd_en_i,
c3_p1_cmd_instr => p1_cmd_instr_i,
c3_p1_cmd_bl => p1_cmd_bl_i,
c3_p1_cmd_byte_addr => p1_cmd_byte_addr_i,
c3_p1_cmd_empty => p1_cmd_empty_o,
c3_p1_cmd_full => p1_cmd_full_o,
c3_p1_wr_clk => p1_wr_clk_i,
c3_p1_wr_en => p1_wr_en_i,
c3_p1_wr_mask => p1_wr_mask_i,
c3_p1_wr_data => p1_wr_data_i,
c3_p1_wr_full => p1_wr_full_o,
c3_p1_wr_empty => p1_wr_empty_o,
c3_p1_wr_count => p1_wr_count_o,
c3_p1_wr_underrun => p1_wr_underrun_o,
c3_p1_wr_error => p1_wr_error_o,
c3_p1_rd_clk => p1_rd_clk_i,
c3_p1_rd_en => p1_rd_en_i,
c3_p1_rd_data => p1_rd_data_o,
c3_p1_rd_full => p1_rd_full_o,
c3_p1_rd_empty => p1_rd_empty_o,
c3_p1_rd_count => p1_rd_count_o,
c3_p1_rd_overflow => p1_rd_overflow_o,
c3_p1_rd_error => p1_rd_error_o
);
end generate gen_spec_bank3_32b_32b;
gen_spec_bank3_64b_32b : if(g_BANK_PORT_SELECT = "SPEC_BANK3_64B_32B") generate
cmp_ddr3_ctrl : ddr3_ctrl_spec_bank3_64b_32b
generic map (
C3_P0_MASK_SIZE => 4,
C3_P0_DATA_PORT_SIZE => 32,
C3_P1_MASK_SIZE => 4,
C3_P1_DATA_PORT_SIZE => 32,
C3_MEMCLK_PERIOD => g_MEMCLK_PERIOD,
C3_RST_ACT_LOW => 1, -- Active low
C3_CALIB_SOFT_IP => g_CALIB_SOFT_IP,
C3_MEM_ADDR_ORDER => g_MEM_ADDR_ORDER,
C3_NUM_DQ_PINS => g_NUM_DQ_PINS,
C3_MEM_ADDR_WIDTH => g_MEM_ADDR_WIDTH,
C3_MEM_BANKADDR_WIDTH => g_MEM_BANKADDR_WIDTH,
C3_SIMULATION => g_SIMULATION,
C3_INPUT_CLK_TYPE => "SINGLE_ENDED"
)
port map (
c3_sys_clk => clk_i,
c3_sys_rst_i => rst_n_i,
c3_clk0 => open,
c3_rst0 => open,
c3_calib_done => calib_done_o,
mcb3_dram_dq => ddr3_dq_b,
mcb3_dram_a => ddr3_a_o,
mcb3_dram_ba => ddr3_ba_o,
mcb3_dram_ras_n => ddr3_ras_n_o,
mcb3_dram_cas_n => ddr3_cas_n_o,
mcb3_dram_we_n => ddr3_we_n_o,
mcb3_dram_odt => ddr3_odt_o,
mcb3_dram_cke => ddr3_cke_o,
mcb3_dram_ck => ddr3_clk_p_o,
mcb3_dram_ck_n => ddr3_clk_n_o,
mcb3_dram_dqs => ddr3_dqs_p_b,
mcb3_dram_dqs_n => ddr3_dqs_n_b,
mcb3_dram_reset_n => ddr3_rst_n_o,
mcb3_dram_udqs => ddr3_udqs_p_b, -- for X16 parts
mcb3_dram_udqs_n => ddr3_udqs_n_b, -- for X16 parts
mcb3_dram_udm => ddr3_udm_o, -- for X16 parts
mcb3_dram_dm => ddr3_dm_o,
mcb3_rzq => ddr3_rzq_b,
c3_p0_cmd_clk => p0_cmd_clk_i,
c3_p0_cmd_en => p0_cmd_en_i,
c3_p0_cmd_instr => p0_cmd_instr_i,
c3_p0_cmd_bl => p0_cmd_bl_i,
c3_p0_cmd_byte_addr => p0_cmd_byte_addr_i,
c3_p0_cmd_empty => p0_cmd_empty_o,
c3_p0_cmd_full => p0_cmd_full_o,
c3_p0_wr_clk => p0_wr_clk_i,
c3_p0_wr_en => p0_wr_en_i,
c3_p0_wr_mask => p0_wr_mask_i,
c3_p0_wr_data => p0_wr_data_i,
c3_p0_wr_full => p0_wr_full_o,
c3_p0_wr_empty => p0_wr_empty_o,
c3_p0_wr_count => p0_wr_count_o,
c3_p0_wr_underrun => p0_wr_underrun_o,
c3_p0_wr_error => p0_wr_error_o,
c3_p0_rd_clk => p0_rd_clk_i,
c3_p0_rd_en => p0_rd_en_i,
c3_p0_rd_data => p0_rd_data_o,
c3_p0_rd_full => p0_rd_full_o,
c3_p0_rd_empty => p0_rd_empty_o,
c3_p0_rd_count => p0_rd_count_o,
c3_p0_rd_overflow => p0_rd_overflow_o,
c3_p0_rd_error => p0_rd_error_o,
c3_p1_cmd_clk => p1_cmd_clk_i,
c3_p1_cmd_en => p1_cmd_en_i,
c3_p1_cmd_instr => p1_cmd_instr_i,
c3_p1_cmd_bl => p1_cmd_bl_i,
c3_p1_cmd_byte_addr => p1_cmd_byte_addr_i,
c3_p1_cmd_empty => p1_cmd_empty_o,
c3_p1_cmd_full => p1_cmd_full_o,
c3_p1_wr_clk => p1_wr_clk_i,
c3_p1_wr_en => p1_wr_en_i,
c3_p1_wr_mask => p1_wr_mask_i,
c3_p1_wr_data => p1_wr_data_i,
c3_p1_wr_full => p1_wr_full_o,
c3_p1_wr_empty => p1_wr_empty_o,
c3_p1_wr_count => p1_wr_count_o,
c3_p1_wr_underrun => p1_wr_underrun_o,
c3_p1_wr_error => p1_wr_error_o,
c3_p1_rd_clk => p1_rd_clk_i,
c3_p1_rd_en => p1_rd_en_i,
c3_p1_rd_data => p1_rd_data_o,
c3_p1_rd_full => p1_rd_full_o,
c3_p1_rd_empty => p1_rd_empty_o,
c3_p1_rd_count => p1_rd_count_o,
c3_p1_rd_overflow => p1_rd_overflow_o,
c3_p1_rd_error => p1_rd_error_o
);
end generate gen_spec_bank3_64b_32b;
----------------------------------------------------------------------------
-- Common port
----------------------------------------------------------------------------
ddr3_zio_b <= 'Z';
end architecture rtl;
--==============================================================================
--! Architecure end
--==============================================================================
|
--==============================================================================
--! @file ddr3_ctrl_wrapper.vhd
--==============================================================================
--! Standard library
library IEEE;
--! Standard packages
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
--! Specific packages
use work.ddr3_ctrl_wrapper_pkg.all;
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- DDR3 Controller Wrapper
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--! @brief
--! DDR3 interface wrapper for MCB
--------------------------------------------------------------------------------
--! @details
--! DDR3 interface wrapper for Xilinx MCB (Memory Controller
--! Block). This core is based on the code generated by Xilinx CoreGen for
--! the MCB.
--------------------------------------------------------------------------------
--! @version
--! 0.1 | mc | 12.07.2011 | File creation and Doxygen comments
--! 0.2 | mc | 06.07.2012 | Add bank4_32b_32b and bank5_32b_32b support
--!
--! @author
--! mc : Matthieu Cattin, CERN (BE-CO-HT)
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
--==============================================================================
--! Entity declaration for ddr3_ctrl_wrapper
--==============================================================================
entity ddr3_ctrl_wrapper is
generic(
--! Bank and port size selection
g_BANK_PORT_SELECT : string := "SPEC_BANK3_32B_32B";
--! Core's clock period in ps
g_MEMCLK_PERIOD : integer := 3000;
--! If TRUE, uses Xilinx calibration core (Input term, DQS centering)
g_CALIB_SOFT_IP : string := "TRUE";
--! User ports addresses maping (BANK_ROW_COLUMN or ROW_BANK_COLUMN)
g_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
--! Simulation mode
g_SIMULATION : string := "FALSE";
--! DDR3 data port width
g_NUM_DQ_PINS : integer := 16;
--! DDR3 address port width
g_MEM_ADDR_WIDTH : integer := 14;
--! DDR3 bank address width
g_MEM_BANKADDR_WIDTH : integer := 3;
--! Port 0 data mask size (8-bit granularity)
g_P0_MASK_SIZE : integer := 4;
--! Port 0 data width
g_P0_DATA_PORT_SIZE : integer := 32;
--! Port 0 byte address width
g_P0_BYTE_ADDR_WIDTH : integer := 30;
--! Port 1 data mask size (8-bit granularity)
g_P1_MASK_SIZE : integer := 4;
--! Port 1 data width
g_P1_DATA_PORT_SIZE : integer := 32;
--! Port 1 byte address width
g_P1_BYTE_ADDR_WIDTH : integer := 30
);
port(
----------------------------------------------------------------------------
-- Clock and reset
----------------------------------------------------------------------------
--! Core's single ended clock input
clk_i : in std_logic;
--! Core's reset input (active low)
rst_n_i : in std_logic;
----------------------------------------------------------------------------
-- Status
----------------------------------------------------------------------------
--! Indicates end of calibration sequence at startup
calib_done_o : out std_logic;
----------------------------------------------------------------------------
-- DDR3 interface
----------------------------------------------------------------------------
--! DDR3 data bus
ddr3_dq_b : inout std_logic_vector(g_NUM_DQ_PINS-1 downto 0);
--! DDR3 address bus
ddr3_a_o : out std_logic_vector(g_MEM_ADDR_WIDTH-1 downto 0);
--! DDR3 bank address
ddr3_ba_o : out std_logic_vector(g_MEM_BANKADDR_WIDTH-1 downto 0);
--! DDR3 row address strobe
ddr3_ras_n_o : out std_logic;
--! DDR3 column address strobe
ddr3_cas_n_o : out std_logic;
--! DDR3 write enable
ddr3_we_n_o : out std_logic;
--! DDR3 on-die termination
ddr3_odt_o : out std_logic;
--! DDR3 reset
ddr3_rst_n_o : out std_logic;
--! DDR3 clock enable
ddr3_cke_o : out std_logic;
--! DDR3 lower byte data mask
ddr3_dm_o : out std_logic;
--! DDR3 upper byte data mask
ddr3_udm_o : out std_logic;
--! DDR3 lower byte data strobe (pos)
ddr3_dqs_p_b : inout std_logic;
--! DDR3 lower byte data strobe (neg)
ddr3_dqs_n_b : inout std_logic;
--! DDR3 upper byte data strobe (pos)
ddr3_udqs_p_b : inout std_logic;
--! DDR3 upper byte data strobe (pos)
ddr3_udqs_n_b : inout std_logic;
--! DDR3 clock (pos)
ddr3_clk_p_o : out std_logic;
--! DDR3 clock (neg)
ddr3_clk_n_o : out std_logic;
--! MCB internal termination calibration resistor
ddr3_rzq_b : inout std_logic;
--! MCB internal termination calibration
ddr3_zio_b : inout std_logic;
----------------------------------------------------------------------------
-- Port 0
----------------------------------------------------------------------------
p0_cmd_clk_i : in std_logic;
p0_cmd_en_i : in std_logic;
p0_cmd_instr_i : in std_logic_vector(2 downto 0);
p0_cmd_bl_i : in std_logic_vector(5 downto 0);
p0_cmd_byte_addr_i : in std_logic_vector(g_P0_BYTE_ADDR_WIDTH - 1 downto 0);
p0_cmd_empty_o : out std_logic;
p0_cmd_full_o : out std_logic;
p0_wr_clk_i : in std_logic;
p0_wr_en_i : in std_logic;
p0_wr_mask_i : in std_logic_vector(g_P0_MASK_SIZE - 1 downto 0);
p0_wr_data_i : in std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
p0_wr_full_o : out std_logic;
p0_wr_empty_o : out std_logic;
p0_wr_count_o : out std_logic_vector(6 downto 0);
p0_wr_underrun_o : out std_logic;
p0_wr_error_o : out std_logic;
p0_rd_clk_i : in std_logic;
p0_rd_en_i : in std_logic;
p0_rd_data_o : out std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
p0_rd_full_o : out std_logic;
p0_rd_empty_o : out std_logic;
p0_rd_count_o : out std_logic_vector(6 downto 0);
p0_rd_overflow_o : out std_logic;
p0_rd_error_o : out std_logic;
----------------------------------------------------------------------------
-- Port 1
----------------------------------------------------------------------------
p1_cmd_clk_i : in std_logic;
p1_cmd_en_i : in std_logic;
p1_cmd_instr_i : in std_logic_vector(2 downto 0);
p1_cmd_bl_i : in std_logic_vector(5 downto 0);
p1_cmd_byte_addr_i : in std_logic_vector(g_P1_BYTE_ADDR_WIDTH - 1 downto 0);
p1_cmd_empty_o : out std_logic;
p1_cmd_full_o : out std_logic;
p1_wr_clk_i : in std_logic;
p1_wr_en_i : in std_logic;
p1_wr_mask_i : in std_logic_vector(g_P1_MASK_SIZE - 1 downto 0);
p1_wr_data_i : in std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
p1_wr_full_o : out std_logic;
p1_wr_empty_o : out std_logic;
p1_wr_count_o : out std_logic_vector(6 downto 0);
p1_wr_underrun_o : out std_logic;
p1_wr_error_o : out std_logic;
p1_rd_clk_i : in std_logic;
p1_rd_en_i : in std_logic;
p1_rd_data_o : out std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
p1_rd_full_o : out std_logic;
p1_rd_empty_o : out std_logic;
p1_rd_count_o : out std_logic_vector(6 downto 0);
p1_rd_overflow_o : out std_logic;
p1_rd_error_o : out std_logic
);
end entity ddr3_ctrl_wrapper;
--==============================================================================
--! Architecure declaration for ddr3_ctrl_wrapper
--==============================================================================
architecture rtl of ddr3_ctrl_wrapper is
-- Components generated from Xilinx CoreGen are stored in ddr3_ctrl_wrapper_pkg
--==============================================================================
--! Architecure begin
--==============================================================================
begin
----------------------------------------------------------------------------
-- Selected board/bank check
----------------------------------------------------------------------------
gen_test_bank_port_select : if(g_BANK_PORT_SELECT /= "SPEC_BANK3_32B_32B" and
g_BANK_PORT_SELECT /= "SPEC_BANK3_64B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK4_32B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK4_64B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK5_32B_32B" and
g_BANK_PORT_SELECT /= "SVEC_BANK5_64B_32B" and
g_BANK_PORT_SELECT /= "VFC_BANK1_32B_32B" and
g_BANK_PORT_SELECT /= "VFC_BANK1_64B_32B") generate
assert false report "ddr3_ctrl_wrapper: Selected bank or port size is no supported. Currently supported values are: SPEC_BANK3_64B_32B, SPEC_BANK3_32B_32B, SVEC_BANK4_32B_32B, SVEC_BANK4_64B_32B, SVEC_BANK5_32B_32B, SVEC_BANK5_64B_32B, VFC_BANK1_32B_32B, VFC_BANK1_64B_32B" severity failure;
end generate gen_test_bank_port_select;
----------------------------------------------------------------------------
-- SPEC
----------------------------------------------------------------------------
gen_spec_bank3_32b_32b : if(g_BANK_PORT_SELECT = "SPEC_BANK3_32B_32B") generate
cmp_ddr3_ctrl : ddr3_ctrl_spec_bank3_32b_32b
generic map (
C3_P0_MASK_SIZE => 4,
C3_P0_DATA_PORT_SIZE => 32,
C3_P1_MASK_SIZE => 4,
C3_P1_DATA_PORT_SIZE => 32,
C3_MEMCLK_PERIOD => g_MEMCLK_PERIOD,
C3_RST_ACT_LOW => 1, -- Active low
C3_CALIB_SOFT_IP => g_CALIB_SOFT_IP,
C3_MEM_ADDR_ORDER => g_MEM_ADDR_ORDER,
C3_NUM_DQ_PINS => g_NUM_DQ_PINS,
C3_MEM_ADDR_WIDTH => g_MEM_ADDR_WIDTH,
C3_MEM_BANKADDR_WIDTH => g_MEM_BANKADDR_WIDTH,
C3_SIMULATION => g_SIMULATION,
C3_INPUT_CLK_TYPE => "SINGLE_ENDED"
)
port map (
c3_sys_clk => clk_i,
c3_sys_rst_i => rst_n_i,
c3_clk0 => open,
c3_rst0 => open,
c3_calib_done => calib_done_o,
mcb3_dram_dq => ddr3_dq_b,
mcb3_dram_a => ddr3_a_o,
mcb3_dram_ba => ddr3_ba_o,
mcb3_dram_ras_n => ddr3_ras_n_o,
mcb3_dram_cas_n => ddr3_cas_n_o,
mcb3_dram_we_n => ddr3_we_n_o,
mcb3_dram_odt => ddr3_odt_o,
mcb3_dram_cke => ddr3_cke_o,
mcb3_dram_ck => ddr3_clk_p_o,
mcb3_dram_ck_n => ddr3_clk_n_o,
mcb3_dram_dqs => ddr3_dqs_p_b,
mcb3_dram_dqs_n => ddr3_dqs_n_b,
mcb3_dram_reset_n => ddr3_rst_n_o,
mcb3_dram_udqs => ddr3_udqs_p_b, -- for X16 parts
mcb3_dram_udqs_n => ddr3_udqs_n_b, -- for X16 parts
mcb3_dram_udm => ddr3_udm_o, -- for X16 parts
mcb3_dram_dm => ddr3_dm_o,
mcb3_rzq => ddr3_rzq_b,
c3_p0_cmd_clk => p0_cmd_clk_i,
c3_p0_cmd_en => p0_cmd_en_i,
c3_p0_cmd_instr => p0_cmd_instr_i,
c3_p0_cmd_bl => p0_cmd_bl_i,
c3_p0_cmd_byte_addr => p0_cmd_byte_addr_i,
c3_p0_cmd_empty => p0_cmd_empty_o,
c3_p0_cmd_full => p0_cmd_full_o,
c3_p0_wr_clk => p0_wr_clk_i,
c3_p0_wr_en => p0_wr_en_i,
c3_p0_wr_mask => p0_wr_mask_i,
c3_p0_wr_data => p0_wr_data_i,
c3_p0_wr_full => p0_wr_full_o,
c3_p0_wr_empty => p0_wr_empty_o,
c3_p0_wr_count => p0_wr_count_o,
c3_p0_wr_underrun => p0_wr_underrun_o,
c3_p0_wr_error => p0_wr_error_o,
c3_p0_rd_clk => p0_rd_clk_i,
c3_p0_rd_en => p0_rd_en_i,
c3_p0_rd_data => p0_rd_data_o,
c3_p0_rd_full => p0_rd_full_o,
c3_p0_rd_empty => p0_rd_empty_o,
c3_p0_rd_count => p0_rd_count_o,
c3_p0_rd_overflow => p0_rd_overflow_o,
c3_p0_rd_error => p0_rd_error_o,
c3_p1_cmd_clk => p1_cmd_clk_i,
c3_p1_cmd_en => p1_cmd_en_i,
c3_p1_cmd_instr => p1_cmd_instr_i,
c3_p1_cmd_bl => p1_cmd_bl_i,
c3_p1_cmd_byte_addr => p1_cmd_byte_addr_i,
c3_p1_cmd_empty => p1_cmd_empty_o,
c3_p1_cmd_full => p1_cmd_full_o,
c3_p1_wr_clk => p1_wr_clk_i,
c3_p1_wr_en => p1_wr_en_i,
c3_p1_wr_mask => p1_wr_mask_i,
c3_p1_wr_data => p1_wr_data_i,
c3_p1_wr_full => p1_wr_full_o,
c3_p1_wr_empty => p1_wr_empty_o,
c3_p1_wr_count => p1_wr_count_o,
c3_p1_wr_underrun => p1_wr_underrun_o,
c3_p1_wr_error => p1_wr_error_o,
c3_p1_rd_clk => p1_rd_clk_i,
c3_p1_rd_en => p1_rd_en_i,
c3_p1_rd_data => p1_rd_data_o,
c3_p1_rd_full => p1_rd_full_o,
c3_p1_rd_empty => p1_rd_empty_o,
c3_p1_rd_count => p1_rd_count_o,
c3_p1_rd_overflow => p1_rd_overflow_o,
c3_p1_rd_error => p1_rd_error_o
);
end generate gen_spec_bank3_32b_32b;
gen_spec_bank3_64b_32b : if(g_BANK_PORT_SELECT = "SPEC_BANK3_64B_32B") generate
cmp_ddr3_ctrl : ddr3_ctrl_spec_bank3_64b_32b
generic map (
C3_P0_MASK_SIZE => 4,
C3_P0_DATA_PORT_SIZE => 32,
C3_P1_MASK_SIZE => 4,
C3_P1_DATA_PORT_SIZE => 32,
C3_MEMCLK_PERIOD => g_MEMCLK_PERIOD,
C3_RST_ACT_LOW => 1, -- Active low
C3_CALIB_SOFT_IP => g_CALIB_SOFT_IP,
C3_MEM_ADDR_ORDER => g_MEM_ADDR_ORDER,
C3_NUM_DQ_PINS => g_NUM_DQ_PINS,
C3_MEM_ADDR_WIDTH => g_MEM_ADDR_WIDTH,
C3_MEM_BANKADDR_WIDTH => g_MEM_BANKADDR_WIDTH,
C3_SIMULATION => g_SIMULATION,
C3_INPUT_CLK_TYPE => "SINGLE_ENDED"
)
port map (
c3_sys_clk => clk_i,
c3_sys_rst_i => rst_n_i,
c3_clk0 => open,
c3_rst0 => open,
c3_calib_done => calib_done_o,
mcb3_dram_dq => ddr3_dq_b,
mcb3_dram_a => ddr3_a_o,
mcb3_dram_ba => ddr3_ba_o,
mcb3_dram_ras_n => ddr3_ras_n_o,
mcb3_dram_cas_n => ddr3_cas_n_o,
mcb3_dram_we_n => ddr3_we_n_o,
mcb3_dram_odt => ddr3_odt_o,
mcb3_dram_cke => ddr3_cke_o,
mcb3_dram_ck => ddr3_clk_p_o,
mcb3_dram_ck_n => ddr3_clk_n_o,
mcb3_dram_dqs => ddr3_dqs_p_b,
mcb3_dram_dqs_n => ddr3_dqs_n_b,
mcb3_dram_reset_n => ddr3_rst_n_o,
mcb3_dram_udqs => ddr3_udqs_p_b, -- for X16 parts
mcb3_dram_udqs_n => ddr3_udqs_n_b, -- for X16 parts
mcb3_dram_udm => ddr3_udm_o, -- for X16 parts
mcb3_dram_dm => ddr3_dm_o,
mcb3_rzq => ddr3_rzq_b,
c3_p0_cmd_clk => p0_cmd_clk_i,
c3_p0_cmd_en => p0_cmd_en_i,
c3_p0_cmd_instr => p0_cmd_instr_i,
c3_p0_cmd_bl => p0_cmd_bl_i,
c3_p0_cmd_byte_addr => p0_cmd_byte_addr_i,
c3_p0_cmd_empty => p0_cmd_empty_o,
c3_p0_cmd_full => p0_cmd_full_o,
c3_p0_wr_clk => p0_wr_clk_i,
c3_p0_wr_en => p0_wr_en_i,
c3_p0_wr_mask => p0_wr_mask_i,
c3_p0_wr_data => p0_wr_data_i,
c3_p0_wr_full => p0_wr_full_o,
c3_p0_wr_empty => p0_wr_empty_o,
c3_p0_wr_count => p0_wr_count_o,
c3_p0_wr_underrun => p0_wr_underrun_o,
c3_p0_wr_error => p0_wr_error_o,
c3_p0_rd_clk => p0_rd_clk_i,
c3_p0_rd_en => p0_rd_en_i,
c3_p0_rd_data => p0_rd_data_o,
c3_p0_rd_full => p0_rd_full_o,
c3_p0_rd_empty => p0_rd_empty_o,
c3_p0_rd_count => p0_rd_count_o,
c3_p0_rd_overflow => p0_rd_overflow_o,
c3_p0_rd_error => p0_rd_error_o,
c3_p1_cmd_clk => p1_cmd_clk_i,
c3_p1_cmd_en => p1_cmd_en_i,
c3_p1_cmd_instr => p1_cmd_instr_i,
c3_p1_cmd_bl => p1_cmd_bl_i,
c3_p1_cmd_byte_addr => p1_cmd_byte_addr_i,
c3_p1_cmd_empty => p1_cmd_empty_o,
c3_p1_cmd_full => p1_cmd_full_o,
c3_p1_wr_clk => p1_wr_clk_i,
c3_p1_wr_en => p1_wr_en_i,
c3_p1_wr_mask => p1_wr_mask_i,
c3_p1_wr_data => p1_wr_data_i,
c3_p1_wr_full => p1_wr_full_o,
c3_p1_wr_empty => p1_wr_empty_o,
c3_p1_wr_count => p1_wr_count_o,
c3_p1_wr_underrun => p1_wr_underrun_o,
c3_p1_wr_error => p1_wr_error_o,
c3_p1_rd_clk => p1_rd_clk_i,
c3_p1_rd_en => p1_rd_en_i,
c3_p1_rd_data => p1_rd_data_o,
c3_p1_rd_full => p1_rd_full_o,
c3_p1_rd_empty => p1_rd_empty_o,
c3_p1_rd_count => p1_rd_count_o,
c3_p1_rd_overflow => p1_rd_overflow_o,
c3_p1_rd_error => p1_rd_error_o
);
end generate gen_spec_bank3_64b_32b;
----------------------------------------------------------------------------
-- Common port
----------------------------------------------------------------------------
ddr3_zio_b <= 'Z';
end architecture rtl;
--==============================================================================
--! Architecure end
--==============================================================================
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_ch_05_21.vhd,v 1.3 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- code from book:
entity and_gate is
port ( i : in bit_vector; y : out bit );
end entity and_gate;
-- end of code from book
architecture behavioral of and_gate is
begin
reducer : process (i) is
constant Tpd : delay_length := 2 ns;
variable result : bit;
begin
result := '1';
for index in i'range loop
result := result and i(index);
end loop;
y <= result after Tpd;
end process reducer;
end architecture behavioral;
entity ch_05_21 is
end entity ch_05_21;
library stimulus;
architecture test of ch_05_21 is
-- code from book:
signal serial_select, write_en, bus_clk, serial_wr : bit;
-- end of code from book
use stimulus.stimulus_generators.all;
signal test_input : bit_vector(2 downto 0);
begin
-- code from book:
serial_write_gate : entity work.and_gate
port map ( i(1) => serial_select,
i(2) => write_en,
i(3) => bus_clk,
y => serial_wr );
-- end of code from book
stimulus_proc : all_possible_values( bv => test_input,
delay_between_values => 10 ns );
(serial_select, write_en, bus_clk) <= test_input;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_ch_05_21.vhd,v 1.3 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- code from book:
entity and_gate is
port ( i : in bit_vector; y : out bit );
end entity and_gate;
-- end of code from book
architecture behavioral of and_gate is
begin
reducer : process (i) is
constant Tpd : delay_length := 2 ns;
variable result : bit;
begin
result := '1';
for index in i'range loop
result := result and i(index);
end loop;
y <= result after Tpd;
end process reducer;
end architecture behavioral;
entity ch_05_21 is
end entity ch_05_21;
library stimulus;
architecture test of ch_05_21 is
-- code from book:
signal serial_select, write_en, bus_clk, serial_wr : bit;
-- end of code from book
use stimulus.stimulus_generators.all;
signal test_input : bit_vector(2 downto 0);
begin
-- code from book:
serial_write_gate : entity work.and_gate
port map ( i(1) => serial_select,
i(2) => write_en,
i(3) => bus_clk,
y => serial_wr );
-- end of code from book
stimulus_proc : all_possible_values( bv => test_input,
delay_between_values => 10 ns );
(serial_select, write_en, bus_clk) <= test_input;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_ch_05_21.vhd,v 1.3 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- code from book:
entity and_gate is
port ( i : in bit_vector; y : out bit );
end entity and_gate;
-- end of code from book
architecture behavioral of and_gate is
begin
reducer : process (i) is
constant Tpd : delay_length := 2 ns;
variable result : bit;
begin
result := '1';
for index in i'range loop
result := result and i(index);
end loop;
y <= result after Tpd;
end process reducer;
end architecture behavioral;
entity ch_05_21 is
end entity ch_05_21;
library stimulus;
architecture test of ch_05_21 is
-- code from book:
signal serial_select, write_en, bus_clk, serial_wr : bit;
-- end of code from book
use stimulus.stimulus_generators.all;
signal test_input : bit_vector(2 downto 0);
begin
-- code from book:
serial_write_gate : entity work.and_gate
port map ( i(1) => serial_select,
i(2) => write_en,
i(3) => bus_clk,
y => serial_wr );
-- end of code from book
stimulus_proc : all_possible_values( bv => test_input,
delay_between_values => 10 ns );
(serial_select, write_en, bus_clk) <= test_input;
end architecture test;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Toplevel
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: ALU top level
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity ALU is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (15 downto 0);
RB : in STD_LOGIC_VECTOR (15 downto 0);
OPCODE : in STD_LOGIC_VECTOR (3 downto 0);
CCR : out STD_LOGIC_VECTOR (3 downto 0);
ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0);
LDST_OUT : out STD_LOGIC_VECTOR (15 downto 0));
end ALU;
architecture Structural of ALU is
signal arith : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal logic : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal shift : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal memory : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal ccr_arith : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal ccr_logic : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
begin
LDST_OUT <= memory;
Arith_Unit: entity work.Arith_Unit
port map( A => RA,
B => RB,
OP => OPCODE(2 downto 0),
CCR => ccr_arith,
RESULT => arith);
Logic_Unit: entity work.Logic_Unit
port map( A => RA,
B => RB,
OP => OPCODE(2 downto 0),
CCR => ccr_logic,
RESULT => logic);
shift_unit: entity work.alu_shift_unit
port map( A => RA,
COUNT => RB(3 downto 0),
OP => opcode(3),
RESULT => shift);
Load_Store_Unit: entity work.Load_Store_Unit
port map( A => RA,
IMMED => RB,
OP => opcode,
RESULT => memory);
ALU_Mux: entity work.ALU_Mux
port map( OP => opcode,
ARITH => arith,
LOGIC => logic,
SHIFT => shift,
MEMORY => memory,
CCR_ARITH => ccr_arith,
CCR_LOGIC => ccr_logic,
ALU_OUT => ALU_OUT,
CCR_OUT => CCR);
end Structural;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3100.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p10n01i03100ent IS
ATTRIBUTE attr1 : INTEGER;
END c05s01b00x00p10n01i03100ent;
ARCHITECTURE c05s01b00x00p10n01i03100arch OF c05s01b00x00p10n01i03100ent IS
SIGNAL s1,s2,s3 : BIT;
SIGNAL s4,s5 : INTEGER;
SIGNAL s6,s7 : STRING(1 TO 3);
CONSTANT c1,c2,c3 : BIT := '0';
CONSTANT c4,c5 : INTEGER := 1;
CONSTANT c6,c7 : STRING(1 TO 3) := "ABC";
ATTRIBUTE attr1 OF s3, s7 : SIGNAL IS 1;
ATTRIBUTE attr1 OF OTHERS : SIGNAL IS 20;
ATTRIBUTE attr1 OF c1,c2,c3,c4,c5,c6,c7 : CONSTANT IS 101;
ATTRIBUTE attr1 OF OTHERS : CONSTANT IS 20;
BEGIN
TESTING: PROCESS
BEGIN
ASSERT s1'attr1 = 20 REPORT "Bad value for s1'attr1" SEVERITY FAILURE;
ASSERT s2'attr1 = 20 REPORT "Bad value for s2'attr1" SEVERITY FAILURE;
ASSERT s3'attr1 = 01 REPORT "Bad value for s3'attr1" SEVERITY FAILURE;
ASSERT s4'attr1 = 20 REPORT "Bad value for s4'attr1" SEVERITY FAILURE;
ASSERT s5'attr1 = 20 REPORT "Bad value for s5'attr1" SEVERITY FAILURE;
ASSERT s6'attr1 = 20 REPORT "Bad value for s6'attr1" SEVERITY FAILURE;
ASSERT s7'attr1 = 01 REPORT "Bad value for s7'attr1" SEVERITY FAILURE;
ASSERT c1'attr1 = 101 REPORT "Bad value for c1'attr1" SEVERITY FAILURE;
ASSERT c2'attr1 = 101 REPORT "Bad value for c2'attr1" SEVERITY FAILURE;
ASSERT c3'attr1 = 101 REPORT "Bad value for c3'attr1" SEVERITY FAILURE;
ASSERT c4'attr1 = 101 REPORT "Bad value for c4'attr1" SEVERITY FAILURE;
ASSERT c5'attr1 = 101 REPORT "Bad value for c5'attr1" SEVERITY FAILURE;
ASSERT c6'attr1 = 101 REPORT "Bad value for c6'attr1" SEVERITY FAILURE;
ASSERT c7'attr1 = 101 REPORT "Bad value for c7'attr1" SEVERITY FAILURE;
assert NOT( s1'attr1 = 20 and
s2'attr1 = 20 and
s3'attr1 = 01 and
s4'attr1 = 20 and
s5'attr1 = 20 and
s6'attr1 = 20 and
s7'attr1 = 01 and
c1'attr1 = 101 and
c2'attr1 = 101 and
c3'attr1 = 101 and
c4'attr1 = 101 and
c5'attr1 = 101 and
c6'attr1 = 101 and
c7'attr1 = 101 )
report "***PASSED TEST: c05s01b00x00p10n01i03100"
severity NOTE;
assert ( s1'attr1 = 20 and
s2'attr1 = 20 and
s3'attr1 = 01 and
s4'attr1 = 20 and
s5'attr1 = 20 and
s6'attr1 = 20 and
s7'attr1 = 01 and
c1'attr1 = 101 and
c2'attr1 = 101 and
c3'attr1 = 101 and
c4'attr1 = 101 and
c5'attr1 = 101 and
c6'attr1 = 101 and
c7'attr1 = 101 )
report "***FAILED TEST: c05s01b00x00p10n01i03100 - Reserved word others in entity name list test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p10n01i03100arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3100.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p10n01i03100ent IS
ATTRIBUTE attr1 : INTEGER;
END c05s01b00x00p10n01i03100ent;
ARCHITECTURE c05s01b00x00p10n01i03100arch OF c05s01b00x00p10n01i03100ent IS
SIGNAL s1,s2,s3 : BIT;
SIGNAL s4,s5 : INTEGER;
SIGNAL s6,s7 : STRING(1 TO 3);
CONSTANT c1,c2,c3 : BIT := '0';
CONSTANT c4,c5 : INTEGER := 1;
CONSTANT c6,c7 : STRING(1 TO 3) := "ABC";
ATTRIBUTE attr1 OF s3, s7 : SIGNAL IS 1;
ATTRIBUTE attr1 OF OTHERS : SIGNAL IS 20;
ATTRIBUTE attr1 OF c1,c2,c3,c4,c5,c6,c7 : CONSTANT IS 101;
ATTRIBUTE attr1 OF OTHERS : CONSTANT IS 20;
BEGIN
TESTING: PROCESS
BEGIN
ASSERT s1'attr1 = 20 REPORT "Bad value for s1'attr1" SEVERITY FAILURE;
ASSERT s2'attr1 = 20 REPORT "Bad value for s2'attr1" SEVERITY FAILURE;
ASSERT s3'attr1 = 01 REPORT "Bad value for s3'attr1" SEVERITY FAILURE;
ASSERT s4'attr1 = 20 REPORT "Bad value for s4'attr1" SEVERITY FAILURE;
ASSERT s5'attr1 = 20 REPORT "Bad value for s5'attr1" SEVERITY FAILURE;
ASSERT s6'attr1 = 20 REPORT "Bad value for s6'attr1" SEVERITY FAILURE;
ASSERT s7'attr1 = 01 REPORT "Bad value for s7'attr1" SEVERITY FAILURE;
ASSERT c1'attr1 = 101 REPORT "Bad value for c1'attr1" SEVERITY FAILURE;
ASSERT c2'attr1 = 101 REPORT "Bad value for c2'attr1" SEVERITY FAILURE;
ASSERT c3'attr1 = 101 REPORT "Bad value for c3'attr1" SEVERITY FAILURE;
ASSERT c4'attr1 = 101 REPORT "Bad value for c4'attr1" SEVERITY FAILURE;
ASSERT c5'attr1 = 101 REPORT "Bad value for c5'attr1" SEVERITY FAILURE;
ASSERT c6'attr1 = 101 REPORT "Bad value for c6'attr1" SEVERITY FAILURE;
ASSERT c7'attr1 = 101 REPORT "Bad value for c7'attr1" SEVERITY FAILURE;
assert NOT( s1'attr1 = 20 and
s2'attr1 = 20 and
s3'attr1 = 01 and
s4'attr1 = 20 and
s5'attr1 = 20 and
s6'attr1 = 20 and
s7'attr1 = 01 and
c1'attr1 = 101 and
c2'attr1 = 101 and
c3'attr1 = 101 and
c4'attr1 = 101 and
c5'attr1 = 101 and
c6'attr1 = 101 and
c7'attr1 = 101 )
report "***PASSED TEST: c05s01b00x00p10n01i03100"
severity NOTE;
assert ( s1'attr1 = 20 and
s2'attr1 = 20 and
s3'attr1 = 01 and
s4'attr1 = 20 and
s5'attr1 = 20 and
s6'attr1 = 20 and
s7'attr1 = 01 and
c1'attr1 = 101 and
c2'attr1 = 101 and
c3'attr1 = 101 and
c4'attr1 = 101 and
c5'attr1 = 101 and
c6'attr1 = 101 and
c7'attr1 = 101 )
report "***FAILED TEST: c05s01b00x00p10n01i03100 - Reserved word others in entity name list test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p10n01i03100arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3100.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p10n01i03100ent IS
ATTRIBUTE attr1 : INTEGER;
END c05s01b00x00p10n01i03100ent;
ARCHITECTURE c05s01b00x00p10n01i03100arch OF c05s01b00x00p10n01i03100ent IS
SIGNAL s1,s2,s3 : BIT;
SIGNAL s4,s5 : INTEGER;
SIGNAL s6,s7 : STRING(1 TO 3);
CONSTANT c1,c2,c3 : BIT := '0';
CONSTANT c4,c5 : INTEGER := 1;
CONSTANT c6,c7 : STRING(1 TO 3) := "ABC";
ATTRIBUTE attr1 OF s3, s7 : SIGNAL IS 1;
ATTRIBUTE attr1 OF OTHERS : SIGNAL IS 20;
ATTRIBUTE attr1 OF c1,c2,c3,c4,c5,c6,c7 : CONSTANT IS 101;
ATTRIBUTE attr1 OF OTHERS : CONSTANT IS 20;
BEGIN
TESTING: PROCESS
BEGIN
ASSERT s1'attr1 = 20 REPORT "Bad value for s1'attr1" SEVERITY FAILURE;
ASSERT s2'attr1 = 20 REPORT "Bad value for s2'attr1" SEVERITY FAILURE;
ASSERT s3'attr1 = 01 REPORT "Bad value for s3'attr1" SEVERITY FAILURE;
ASSERT s4'attr1 = 20 REPORT "Bad value for s4'attr1" SEVERITY FAILURE;
ASSERT s5'attr1 = 20 REPORT "Bad value for s5'attr1" SEVERITY FAILURE;
ASSERT s6'attr1 = 20 REPORT "Bad value for s6'attr1" SEVERITY FAILURE;
ASSERT s7'attr1 = 01 REPORT "Bad value for s7'attr1" SEVERITY FAILURE;
ASSERT c1'attr1 = 101 REPORT "Bad value for c1'attr1" SEVERITY FAILURE;
ASSERT c2'attr1 = 101 REPORT "Bad value for c2'attr1" SEVERITY FAILURE;
ASSERT c3'attr1 = 101 REPORT "Bad value for c3'attr1" SEVERITY FAILURE;
ASSERT c4'attr1 = 101 REPORT "Bad value for c4'attr1" SEVERITY FAILURE;
ASSERT c5'attr1 = 101 REPORT "Bad value for c5'attr1" SEVERITY FAILURE;
ASSERT c6'attr1 = 101 REPORT "Bad value for c6'attr1" SEVERITY FAILURE;
ASSERT c7'attr1 = 101 REPORT "Bad value for c7'attr1" SEVERITY FAILURE;
assert NOT( s1'attr1 = 20 and
s2'attr1 = 20 and
s3'attr1 = 01 and
s4'attr1 = 20 and
s5'attr1 = 20 and
s6'attr1 = 20 and
s7'attr1 = 01 and
c1'attr1 = 101 and
c2'attr1 = 101 and
c3'attr1 = 101 and
c4'attr1 = 101 and
c5'attr1 = 101 and
c6'attr1 = 101 and
c7'attr1 = 101 )
report "***PASSED TEST: c05s01b00x00p10n01i03100"
severity NOTE;
assert ( s1'attr1 = 20 and
s2'attr1 = 20 and
s3'attr1 = 01 and
s4'attr1 = 20 and
s5'attr1 = 20 and
s6'attr1 = 20 and
s7'attr1 = 01 and
c1'attr1 = 101 and
c2'attr1 = 101 and
c3'attr1 = 101 and
c4'attr1 = 101 and
c5'attr1 = 101 and
c6'attr1 = 101 and
c7'attr1 = 101 )
report "***FAILED TEST: c05s01b00x00p10n01i03100 - Reserved word others in entity name list test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p10n01i03100arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:16:34 11/30/2013
-- Design Name:
-- Module Name: RAM - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.Common.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity RAM is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
ramaddr : out STD_LOGIC_VECTOR (17 downto 0);
ramdata : inout STD_LOGIC_VECTOR (15 downto 0);
OE : out STD_LOGIC;
WE : out STD_LOGIC;
EN : out STD_LOGIC;
addr : in STD_LOGIC_VECTOR (15 downto 0);
data : in STD_LOGIC_VECTOR (15 downto 0);
dataout: out STD_LOGIC_VECTOR (15 downto 0);
r: in std_logic); --Ϊ1¼´Îª¶Á£¬·ñÔòΪд
end RAM;
architecture Behavioral of RAM is
signal flag: std_logic_vector(1 downto 0):= "00";
begin
process(clk, rst)
begin
if rst = '0' then
OE <= '1';
WE <= '1';
EN <= '1';
flag <= "00";
ramdata <= Int16_Zero;
elsif (clk'event and clk = '1') then
if r = '0' then
case flag is
when "00" =>
EN <= '0';
OE <= '1';
WE <= '1';
ramaddr <= "00" & addr;
ramdata <= data;
flag <= "01";
when "01" =>
WE <= '0';
flag <= "10";
when "10" =>
WE <= '1';
EN <= '1';
flag <= "11";
when others =>
flag <= "00";
end case;
else
case flag is
when "00" =>
EN <= '0';
OE <= '0';
WE <= '1';
ramaddr <= "00" & addr;
ramdata <= "ZZZZZZZZZZZZZZZZ";
flag <= "01";
when "01" =>
dataout <= ramdata;
flag <= "10";
when "10" =>
OE <= '1';
EN <= '1';
flag <= "11";
when others =>
flag <= "00";
end case;
end if;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
-- Title : UART
-- Project : UART
-------------------------------------------------------------------------------
-- File : MiniUart.vhd
-- Author : Philippe CARTON
-- ([email protected])
-- Organization:
-- Created : 15/12/2001
-- Last update : 8/1/2003
-- Platform : Foundation 3.1i
-- Simulators : ModelSim 5.5b
-- Synthesizers: Xilinx Synthesis
-- Targets : Xilinx Spartan
-- Dependency : IEEE std_logic_1164, Rxunit.vhd, Txunit.vhd, utils.vhd
-------------------------------------------------------------------------------
-- Description: Uart (Universal Asynchronous Receiver Transmitter) for SoC.
-- Wishbone compatable.
-------------------------------------------------------------------------------
-- Copyright (c) notice
-- This core adheres to the GNU public license
--
-------------------------------------------------------------------------------
-- Revisions :
-- Revision Number :
-- Version :
-- Date :
-- Modifier : name <email>
-- Description :
--
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity MINIUART is
generic (
MainClockSpeed : integer;
DefaultBaud : integer
);
port (
-- Wishbone signals
WB_CLK_I : in std_logic; -- clock
WB_RST_I : in std_logic; -- Reset input
WB_ADR_I : in std_logic_vector(1 downto 0); -- Adress bus
WB_DAT_I : in std_logic_vector(7 downto 0); -- DataIn Bus
WB_DAT_O : out std_logic_vector(7 downto 0); -- DataOut Bus
WB_WE_I : in std_logic; -- Write Enable
WB_STB_I : in std_logic; -- Strobe
WB_ACK_O : out std_logic; -- Acknowledge
-- process signals
IntTx_O : out std_logic; -- Transmit interrupt: indicate waiting for Byte
IntRx_O : out std_logic; -- Receive interrupt: indicate Byte received
BR_Clk_I : in std_logic; -- Clock used for Transmit/Receive
TxD_PAD_O : out std_logic; -- Tx RS232 Line
RxD_PAD_I : in std_logic; -- Rx RS232 Line
ESC_O : out std_logic;
BREAK_O : out std_logic;
uart_irq_n: out std_logic); -- Serial interrupt to CPU core
end MINIUART;
-- Architecture for UART for synthesis
architecture Behaviour of MINIUART is
component Counter
port (
Clk : in std_logic; -- Clock
Reset : in std_logic; -- Reset input
CE : in std_logic; -- Chip Enable
Count : in std_logic_vector (15 downto 0); -- Count revolution
O : out std_logic); -- Output
end component;
component RxUnit
port (
Clk : in std_logic; -- system clock signal
Reset : in std_logic; -- Reset input
Enable : in std_logic; -- Enable input
ReadA : in std_logic; -- Async Read Received Byte
RxD : in std_logic; -- RS-232 data input
RxAv : out std_logic; -- Byte available
DataO : out std_logic_vector(7 downto 0) -- Byte received
);
end component;
component TxUnit
port (
Clk : in std_logic; -- Clock signal
Reset : in std_logic; -- Reset input
Enable : in std_logic; -- Enable input
LoadA : in std_logic; -- Asynchronous Load
TxD : out std_logic; -- RS-232 data output
Busy : out std_logic; -- Tx Busy
DataI : in std_logic_vector(7 downto 0) -- Byte to transmit
);
end component;
signal RxData : std_logic_vector(7 downto 0); -- Last Byte received
signal RxData1 : std_logic_vector(7 downto 0);
signal TxData : std_logic_vector(7 downto 0); -- Last bytes transmitted
signal SReg : std_logic_vector(3 downto 0); -- Status register
signal CReg : std_logic_vector(7 downto 4); -- Control register
signal EnabRx : std_logic; -- Enable RX unit
signal EnabTx : std_logic; -- Enable TX unit
signal RxAv : std_logic; -- Data Received
signal TxBusy : std_logic; -- Transmiter Busy
signal ReadA : std_logic; -- Async Read receive buffer
signal LoadA : std_logic; -- Async Load transmit buffer
signal Sig0 : std_logic; -- gnd signal
signal Sig1 : std_logic; -- vcc signal
signal Divisor : std_logic_vector(15 downto 0); -- Baud Rate
signal TxBusy_last : std_logic;
signal RxAv_last : std_logic;
begin
sig0 <= '0';
sig1 <= '1';
Uart_Rxrate : Counter -- Baud Rate adjust
port map (BR_CLK_I, sig0, sig1, Divisor, EnabRx);
Uart_Txrate : Counter -- 4 Divider for Tx
port map (BR_CLK_I, Sig0, EnabRx, std_logic_vector(to_unsigned(4, 16)), EnabTx);
-- Uart_TxUnit : TxUnit
-- port map (BR_CLK_I, WB_RST_I, EnabTX, LoadA, TxD_PAD_O, TxBusy, TxData, IntTxFlag, IntTxEn);
Uart_TxUnit : TxUnit
port map (
Clk => BR_CLK_I, -- Clock signal
Reset => WB_RST_I, -- Reset input
Enable => EnabTX, -- Enable input
LoadA => LoadA, -- Asynchronous Load
TxD => TxD_PAD_O, -- RS-232 data output
Busy => TxBusy, -- Tx Busy
DataI => TxData -- Byte to transmit
);
-- Uart_RxUnit : RxUnit
-- port map (BR_CLK_I, WB_RST_I, EnabRX, ReadA, RxD_PAD_I, RxAv, RxData, IntRxFlag, IntRxEn);
Uart_RxUnit : RxUnit
port map (
Clk => BR_CLK_I, -- system clock signal
Reset => WB_RST_I, -- Reset input
Enable => EnabRX, -- Enable input
ReadA => ReadA, -- Async Read Received Byte
RxD => RxD_PAD_I, -- RS-232 data input
RxAv => RxAv, -- Byte available
DataO => RxData -- Byte received
);
SReg(0) <= not TxBusy;
SReg(1) <= RxAv;
-- 16MHz x 1M = 64ms
-- ESCctrl: process(WB_CLK_I)
-- variable count : unsigned(19 downto 0);
-- begin
-- if Rising_Edge(WB_CLK_I) then
-- if (WB_RST_I = '1') then
-- ESC_O <= '1';
-- count := (others => '0');
-- elsif RxData = X"1B" then
-- ESC_O <= '0';
-- count := (others => '1');
-- elsif count > 0 then
-- count := count - 1;
-- else
-- ESC_O <= '1';
-- end if;
-- end if;
-- end process;
BREAKctrl: process(WB_CLK_I)
variable count : unsigned(7 downto 0);
begin
if Rising_Edge(WB_CLK_I) then
RxData1 <= RxData;
if (WB_RST_I = '1') then
BREAK_O <= '1';
count := (others => '0');
elsif RxData1 /= X"1A" and RxData = X"1A" and CReg(7) = '1' then
BREAK_O <= '0';
count := (others => '1');
elsif count > 0 then
count := count - 1;
else
BREAK_O <= '1';
end if;
end if;
end process;
ESC_O <= '0' when RxData = X"1B" and CReg(6) = '1' else '1';
-- Implements WishBone data exchange.
-- Clocked on rising edge. Synchronous Reset RST_I
WBctrl : process(WB_CLK_I, WB_RST_I, WB_STB_I, WB_WE_I, WB_ADR_I)
variable StatM : std_logic_vector(4 downto 0);
begin
if Rising_Edge(WB_CLK_I) then
if (WB_RST_I = '1') then
ReadA <= '0';
LoadA <= '0';
Divisor <= std_logic_vector(to_unsigned(MainClockSpeed / 4 / DefaultBaud, 16));
CReg(7 downto 4) <= "0000";
SReg(2) <= '0';
SReg(3) <= '0';
else
-- Set TX Interrupt flag if enabled on falling edge of TxBusy
if TxBusy_last = '1' and TxBusy = '0' then
if CReg(4) = '1' then
SReg(2) <= '1'; -- not TxBusy;
else
SReg(2) <= '0';
end if;
end if;
TxBusy_last <= TxBusy;
-- Set RX Interrupt flag if enabled on riding edge of RxAv
if RxAv_last = '0' and RxAv = '1' then
if CReg(5) = '1' then
SReg(3) <= '1'; -- RxAv
else
SReg(3) <= '0';
end if;
end if;
RxAv_last <= RxAv;
if (WB_STB_I = '1' and WB_WE_I = '1' and WB_ADR_I = "00") then -- Write Byte to Tx
TxData <= WB_DAT_I;
LoadA <= '1'; -- Load signal
else LoadA <= '0';
end if;
if (WB_STB_I = '1' and WB_WE_I = '0' and WB_ADR_I = "00") then -- Read Byte from Rx
ReadA <= '1'; -- Read signal
else ReadA <= '0';
end if;
if (WB_STB_I = '1' and WB_WE_I = '1' and WB_ADR_I = "01") then -- Write Control
CReg <= WB_DAT_I(7 downto 4);
SReg(2) <= '0';
SReg(3) <= '0';
end if;
if (WB_STB_I = '1' and WB_WE_I = '1' and WB_ADR_I = "10") then -- Write Divisor Low
Divisor(7 downto 0) <= WB_DAT_I;
end if;
if (WB_STB_I = '1' and WB_WE_I = '1' and WB_ADR_I = "11") then -- Write Divisor High
Divisor(15 downto 8) <= WB_DAT_I;
end if;
end if;
end if;
end process;
WB_ACK_O <= WB_STB_I;
WB_DAT_O <=
RxData when WB_ADR_I = "00" else -- Read Byte from Rx
CReg & SReg when WB_ADR_I = "01" else -- Read Control/Status Reg
Divisor(7 downto 0) when WB_ADR_I = "10" else -- Read Divisor Low
Divisor(15 downto 8) when WB_ADR_I = "11" else -- Read Divisor Low
"00000000";
uart_irq_n <= not(SReg(2) or SReg(3));
end Behaviour;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:46:10 01/30/2016
-- Design Name:
-- Module Name: /home/cmp/git/tek7854mpu/debugger/debug_hdl/testbench.vhd
-- Project Name: debug_hdl
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: toplevel
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY testbench IS
END testbench;
ARCHITECTURE behavior OF testbench IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT toplevel
PORT(
clk : IN std_logic;
led : OUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
--Outputs
signal led : std_logic;
-- Clock period definitions
constant clk_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: toplevel PORT MAP (
clk => clk,
led => led
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for 1000 ms;
-- insert stimulus here
wait;
end process;
END;
|
library verilog;
use verilog.vl_types.all;
entity finalproject_cpu_nios2_oci_pib is
port(
clk : in vl_logic;
clkx2 : in vl_logic;
jrst_n : in vl_logic;
tw : in vl_logic_vector(35 downto 0);
tr_clk : out vl_logic;
tr_data : out vl_logic_vector(17 downto 0)
);
end finalproject_cpu_nios2_oci_pib;
|
--------------------------------------------------------------------------
--
-- Copyright (C) 1993, Peter J. Ashenden
-- Mail: Dept. Computer Science
-- University of Adelaide, SA 5005, Australia
-- e-mail: [email protected]
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 1, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
--------------------------------------------------------------------------
--
-- $RCSfile: mux2-behaviour.vhdl,v $ $Revision: 2.1 $ $Date: 1993/11/02 19:02:04 $
--
--------------------------------------------------------------------------
--
-- Behavioural architecture of two-input multiplexor.
--
architecture behaviour of mux2 is
begin
with sel select
y <= i0 after Tpd when '0',
i1 after Tpd when '1';
end behaviour;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity processor_node is
end entity processor_node;
-- code from book
architecture dataflow of processor_node is
-- not in book
subtype word is bit_vector(31 downto 0);
type word_vector is array (natural range <>) of word;
function resolve_unique ( drivers : word_vector ) return word is
begin
if drivers'length > 0 then
return drivers(drivers'left);
else
return X"00000000";
end if;
end function resolve_unique;
-- end not in book
signal address_bus : resolve_unique word bus;
-- . . .
-- not in book
signal cache_miss, dirty, replace_section,
snoop_hit, flag_update : bit := '0';
constant tag_section0 : bit_vector(11 downto 0) := X"000";
constant tag_section1 : bit_vector(11 downto 0) := X"001";
constant set_index : bit_vector(15 downto 0) := X"6666";
constant snoop_address : word := X"88888888";
-- end not in book
begin
cache_to_address_buffer : block ( cache_miss = '1' and dirty = '1' ) is
begin
address_bus <= guarded
tag_section0 & set_index & B"0000" when replace_section = '0' else
tag_section1 & set_index & B"0000";
end block cache_to_address_buffer;
snoop_to_address_buffer : block ( snoop_hit = '1' and flag_update = '1' ) is
begin
address_bus <= guarded snoop_address(31 downto 4) & B"0000";
end block snoop_to_address_buffer;
-- . . .
-- not in book
stimulus : process is
begin
wait for 10 ns;
dirty <= '0'; cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
dirty <= '1'; cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
replace_section <= '1';
cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
flag_update <= '0'; snoop_hit <= '1', '0' after 5 ns; wait for 10 ns;
flag_update <= '1'; snoop_hit <= '1', '0' after 5 ns; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture dataflow;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity processor_node is
end entity processor_node;
-- code from book
architecture dataflow of processor_node is
-- not in book
subtype word is bit_vector(31 downto 0);
type word_vector is array (natural range <>) of word;
function resolve_unique ( drivers : word_vector ) return word is
begin
if drivers'length > 0 then
return drivers(drivers'left);
else
return X"00000000";
end if;
end function resolve_unique;
-- end not in book
signal address_bus : resolve_unique word bus;
-- . . .
-- not in book
signal cache_miss, dirty, replace_section,
snoop_hit, flag_update : bit := '0';
constant tag_section0 : bit_vector(11 downto 0) := X"000";
constant tag_section1 : bit_vector(11 downto 0) := X"001";
constant set_index : bit_vector(15 downto 0) := X"6666";
constant snoop_address : word := X"88888888";
-- end not in book
begin
cache_to_address_buffer : block ( cache_miss = '1' and dirty = '1' ) is
begin
address_bus <= guarded
tag_section0 & set_index & B"0000" when replace_section = '0' else
tag_section1 & set_index & B"0000";
end block cache_to_address_buffer;
snoop_to_address_buffer : block ( snoop_hit = '1' and flag_update = '1' ) is
begin
address_bus <= guarded snoop_address(31 downto 4) & B"0000";
end block snoop_to_address_buffer;
-- . . .
-- not in book
stimulus : process is
begin
wait for 10 ns;
dirty <= '0'; cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
dirty <= '1'; cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
replace_section <= '1';
cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
flag_update <= '0'; snoop_hit <= '1', '0' after 5 ns; wait for 10 ns;
flag_update <= '1'; snoop_hit <= '1', '0' after 5 ns; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture dataflow;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity processor_node is
end entity processor_node;
-- code from book
architecture dataflow of processor_node is
-- not in book
subtype word is bit_vector(31 downto 0);
type word_vector is array (natural range <>) of word;
function resolve_unique ( drivers : word_vector ) return word is
begin
if drivers'length > 0 then
return drivers(drivers'left);
else
return X"00000000";
end if;
end function resolve_unique;
-- end not in book
signal address_bus : resolve_unique word bus;
-- . . .
-- not in book
signal cache_miss, dirty, replace_section,
snoop_hit, flag_update : bit := '0';
constant tag_section0 : bit_vector(11 downto 0) := X"000";
constant tag_section1 : bit_vector(11 downto 0) := X"001";
constant set_index : bit_vector(15 downto 0) := X"6666";
constant snoop_address : word := X"88888888";
-- end not in book
begin
cache_to_address_buffer : block ( cache_miss = '1' and dirty = '1' ) is
begin
address_bus <= guarded
tag_section0 & set_index & B"0000" when replace_section = '0' else
tag_section1 & set_index & B"0000";
end block cache_to_address_buffer;
snoop_to_address_buffer : block ( snoop_hit = '1' and flag_update = '1' ) is
begin
address_bus <= guarded snoop_address(31 downto 4) & B"0000";
end block snoop_to_address_buffer;
-- . . .
-- not in book
stimulus : process is
begin
wait for 10 ns;
dirty <= '0'; cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
dirty <= '1'; cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
replace_section <= '1';
cache_miss <= '1', '0' after 5 ns; wait for 10 ns;
flag_update <= '0'; snoop_hit <= '1', '0' after 5 ns; wait for 10 ns;
flag_update <= '1'; snoop_hit <= '1', '0' after 5 ns; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture dataflow;
-- end code from book
|
-- NEED RESULT: ARCH00660: Deferred constant declarations passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00660
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.3.1.1 (1)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00660
-- PKG00660/BODY
-- E00000(ARCH00660)
-- ENT00660_Test_Bench(ARCH00660_Test_Bench)
--
-- REVISION HISTORY:
--
-- 19-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
package PKG00660 is
constant co_boolean_1 : boolean
;
constant co_bit_1 : bit
;
constant co_severity_level_1 : severity_level
;
constant co_character_1 : character
;
constant co_t_enum1_1 : t_enum1
;
constant co_st_enum1_1 : st_enum1
;
constant co_integer_1 : integer
;
constant co_t_int1_1 : t_int1
;
constant co_st_int1_1 : st_int1
;
constant co_time_1 : time
;
constant co_t_phys1_1 : t_phys1
;
constant co_st_phys1_1 : st_phys1
;
constant co_real_1 : real
;
constant co_t_real1_1 : t_real1
;
constant co_st_real1_1 : st_real1
;
end PKG00660 ;
package body PKG00660 is
constant co_boolean_1 : boolean
:= c_boolean_1 ;
constant co_bit_1 : bit
:= c_bit_1 ;
constant co_severity_level_1 : severity_level
:= c_severity_level_1 ;
constant co_character_1 : character
:= c_character_1 ;
constant co_t_enum1_1 : t_enum1
:= c_t_enum1_1 ;
constant co_st_enum1_1 : st_enum1
:= c_st_enum1_1 ;
constant co_integer_1 : integer
:= c_integer_1 ;
constant co_t_int1_1 : t_int1
:= c_t_int1_1 ;
constant co_st_int1_1 : st_int1
:= c_st_int1_1 ;
constant co_time_1 : time
:= c_time_1 ;
constant co_t_phys1_1 : t_phys1
:= c_t_phys1_1 ;
constant co_st_phys1_1 : st_phys1
:= c_st_phys1_1 ;
constant co_real_1 : real
:= c_real_1 ;
constant co_t_real1_1 : t_real1
:= c_t_real1_1 ;
constant co_st_real1_1 : st_real1
:= c_st_real1_1 ;
end PKG00660 ;
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00660.all ;
architecture ARCH00660 of E00000 is
begin
process
variable correct : boolean := true ;
begin
correct := correct and co_boolean_1
= c_boolean_1 ;
correct := correct and co_bit_1
= c_bit_1 ;
correct := correct and co_severity_level_1
= c_severity_level_1 ;
correct := correct and co_character_1
= c_character_1 ;
correct := correct and co_t_enum1_1
= c_t_enum1_1 ;
correct := correct and co_st_enum1_1
= c_st_enum1_1 ;
correct := correct and co_integer_1
= c_integer_1 ;
correct := correct and co_t_int1_1
= c_t_int1_1 ;
correct := correct and co_st_int1_1
= c_st_int1_1 ;
correct := correct and co_time_1
= c_time_1 ;
correct := correct and co_t_phys1_1
= c_t_phys1_1 ;
correct := correct and co_st_phys1_1
= c_st_phys1_1 ;
correct := correct and co_real_1
= c_real_1 ;
correct := correct and co_t_real1_1
= c_t_real1_1 ;
correct := correct and co_st_real1_1
= c_st_real1_1 ;
test_report ( "ARCH00660" ,
"Deferred constant declarations" ,
correct) ;
wait ;
end process ;
end ARCH00660 ;
--
entity ENT00660_Test_Bench is
end ENT00660_Test_Bench ;
--
architecture ARCH00660_Test_Bench of ENT00660_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00660 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00660_Test_Bench ;
|
library ieee;
use ieee.std_logic_1164.all;
entity hello is
generic (constant l : natural := 8);
port (a : in std_logic_vector (after downto 0));
end hello;
architecture behav of hello is
signal clk : std_logic;
signal q : std_logic_vector (l - 1 downto 0);
begin
process
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end process;
process (clk)
begin
if rising_edge(clk) then
q <= a;
end if;
end process;
assert false report "Hello world" severity note;
end behav;
|
--------------------------------------------------------------------------------
-- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Description:
-- This is an example testbench for the FIR Compiler IP core.
-- The testbench has been generated by Vivado to accompany the IP core
-- instance you have generated.
--
-- This testbench is for demonstration purposes only. See note below for
-- instructions on how to use it with your core.
--
-- See the FIR Compiler product guide for further information
-- about this core.
--
--------------------------------------------------------------------------------
-- Using this testbench
--
-- This testbench instantiates your generated FIR Compiler core
-- instance named "fir_lp_15kHz".
--
-- Use Vivado's Run Simulation flow to run this testbench. See the Vivado
-- documentation for details.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb_fir_lp_15kHz is
end tb_fir_lp_15kHz;
architecture tb of tb_fir_lp_15kHz is
-----------------------------------------------------------------------
-- Timing constants
-----------------------------------------------------------------------
constant CLOCK_PERIOD : time := 100 ns;
constant T_HOLD : time := 10 ns;
constant T_STROBE : time := CLOCK_PERIOD - (1 ns);
-----------------------------------------------------------------------
-- DUT signals
-----------------------------------------------------------------------
-- General signals
signal aclk : std_logic := '0'; -- the master clock
-- Data slave channel signals
signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal s_axis_data_tready : std_logic := '1'; -- slave is ready
signal s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload
-- Data master channel signals
signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_data_tdata : std_logic_vector(47 downto 0) := (others => '0'); -- data payload
-----------------------------------------------------------------------
-- Aliases for AXI channel TDATA and TUSER fields
-- These are a convenience for viewing data in a simulator waveform viewer.
-- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command
-- to prevent the simulator optimizing away these signals.
-----------------------------------------------------------------------
-- Data slave channel alias signals
signal s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0');
-- Data master channel alias signals
signal m_axis_data_tdata_data : std_logic_vector(40 downto 0) := (others => '0');
begin
-----------------------------------------------------------------------
-- Instantiate the DUT
-----------------------------------------------------------------------
dut : entity work.fir_lp_15kHz
port map (
aclk => aclk,
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tdata => s_axis_data_tdata,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tdata => m_axis_data_tdata
);
-----------------------------------------------------------------------
-- Generate clock
-----------------------------------------------------------------------
clock_gen : process
begin
aclk <= '0';
wait for CLOCK_PERIOD;
loop
aclk <= '0';
wait for CLOCK_PERIOD/2;
aclk <= '1';
wait for CLOCK_PERIOD/2;
end loop;
end process clock_gen;
-----------------------------------------------------------------------
-- Generate inputs
-----------------------------------------------------------------------
stimuli : process
-- Procedure to drive a number of input samples with specific data
-- data is the data value to drive on the tdata signal
-- samples is the number of zero-data input samples to drive
procedure drive_data ( data : std_logic_vector(15 downto 0);
samples : natural := 1 ) is
variable ip_count : integer := 0;
begin
ip_count := 0;
loop
s_axis_data_tvalid <= '1';
s_axis_data_tdata <= data;
loop
wait until rising_edge(aclk);
exit when s_axis_data_tready = '1';
end loop;
ip_count := ip_count + 1;
wait for T_HOLD;
exit when ip_count >= samples;
end loop;
end procedure drive_data;
-- Procedure to drive a number of zero-data input samples
-- samples is the number of zero-data input samples to drive
procedure drive_zeros ( samples : natural := 1 ) is
begin
drive_data((others => '0'), samples);
end procedure drive_zeros;
-- Procedure to drive an impulse and let the impulse response emerge on the data master channel
-- samples is the number of input samples to drive; default is enough for impulse response output to emerge
procedure drive_impulse ( samples : natural := 213 ) is
variable impulse : std_logic_vector(15 downto 0);
begin
impulse := (others => '0'); -- initialize unused bits to zero
impulse(15 downto 0) := "0100000000000000";
drive_data(impulse);
if samples > 1 then
drive_zeros(samples-1);
end if;
end procedure drive_impulse;
begin
-- Drive inputs T_HOLD time after rising edge of clock
wait until rising_edge(aclk);
wait for T_HOLD;
-- Drive a single impulse and let the impulse response emerge
drive_impulse;
-- Drive another impulse, during which demonstrate use and effect of AXI handshaking signals
drive_impulse(2); -- start of impulse; data is now zero
s_axis_data_tvalid <= '0';
wait for CLOCK_PERIOD * 5; -- provide no data for 5 input samples worth
drive_zeros(211); -- back to normal operation
-- End of test
report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure;
wait;
end process stimuli;
-----------------------------------------------------------------------
-- Check outputs
-----------------------------------------------------------------------
check_outputs : process
variable check_ok : boolean := true;
begin
-- Check outputs T_STROBE time after rising edge of clock
wait until rising_edge(aclk);
wait for T_STROBE;
-- Do not check the output payload values, as this requires the behavioral model
-- which would make this demonstration testbench unwieldy.
-- Instead, check the protocol of the master DATA channel:
-- check that the payload is valid (not X) when TVALID is high
if m_axis_data_tvalid = '1' then
if is_x(m_axis_data_tdata) then
report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error;
check_ok := false;
end if;
end if;
assert check_ok
report "ERROR: terminating test with failures." severity failure;
end process check_outputs;
-----------------------------------------------------------------------
-- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing
-----------------------------------------------------------------------
-- Data slave channel alias signals
s_axis_data_tdata_data <= s_axis_data_tdata(15 downto 0);
-- Data master channel alias signals: update these only when they are valid
m_axis_data_tdata_data <= m_axis_data_tdata(40 downto 0) when m_axis_data_tvalid = '1';
end tb;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity alu is
Port ( input_a : in STD_LOGIC_VECTOR (31 downto 0);
input_b : in STD_LOGIC_VECTOR (31 downto 0);
SEL : in STD_LOGIC_VECTOR (4 downto 0);
out_alu : out STD_LOGIC_VECTOR(31 downto 0));
end alu;
architecture Behavioral of alu is
signal shift, hi, lo, mul_result, div_result, div_rem : std_logic_vector (31 downto 0);
begin
process(input_a, input_b, SEL)
begin
case SEL is
when "00000" =>
out_alu<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) + to_integer (unsigned(input_b)), out_alu'length)) ; --ADD
when "00001" =>
out_alu<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) - to_integer (unsigned(input_b)), out_alu'length)); --SUB
when "00010" =>
out_alu<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) + to_integer (unsigned(input_b)), out_alu'length)) ; --ADDI
when "00011" =>
hi<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) * to_integer (unsigned(input_b)), 64))(63 downto 32);
lo<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) * to_integer (unsigned(input_b)), 64))(31 downto 0);
mul_result <= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) * to_integer (unsigned(input_b)), 32));
out_alu<= mul_result;
when "00100" =>
div_result <= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) / to_integer (unsigned(input_b)), div_result'length)); --DIV
div_rem <= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) mod to_integer (unsigned(input_b)), div_rem'length));
lo <= div_result;
hi <= div_rem;
out_alu <= div_result;
when "00101" =>
if (unsigned(input_a) < unsigned(input_b)) then --SLT
out_alu <= x"00000001";
else
out_alu <= x"00000000";
end if;
when "00110" =>
if (unsigned(input_a) < unsigned(input_b)) then --SLTI
out_alu <= x"00000001";
else
out_alu <= x"00000000";
end if;
when "00111" =>
out_alu<= input_a and input_b; --AND
when "01000" =>
out_alu<= input_a or input_b; --OR
when "01001" =>
out_alu<= input_a nor input_b; --NOR
when "01010" =>
out_alu<= input_a xor input_b; --XOR
when "01011" =>
out_alu<= input_a and input_b; --ANDI
when "01100" =>
out_alu<= input_a or input_b; --ORI
when "01101" =>
out_alu<= input_a xor input_b; --xORI
when "01110" => --MOVE FROM HIGH
out_alu<= hi;
when "01111" => -- MOVE FROM LOW
out_alu<= lo;
when "10000" => -- LUI
out_alu <= input_b (15 downto 0) & std_logic_vector(to_unsigned(0, 16));
when "10001" => --sll
out_alu <= input_a ((31 - to_integer(unsigned(input_b(10 downto 6)))) downto 0) & std_logic_vector(to_unsigned(0, to_integer(unsigned(input_b(10 downto 6)))));
when "10010" => --srl
out_alu <= std_logic_vector(to_unsigned(0, to_integer(unsigned(input_b(10 downto 6))))) & input_a (31 downto (0 + to_integer(unsigned(input_b(10 downto 6)))));
when "10011" => -- sra
if input_a(31) = '0' then
out_alu <= std_logic_vector(to_unsigned(0, to_integer(unsigned(input_b(10 downto 6))))) & input_a (31 downto (0 + to_integer(unsigned(input_b(10 downto 6)))));
else
out_alu <= std_logic_vector(to_unsigned(1, to_integer(unsigned(input_b(10 downto 6))))) & input_a (31 downto (0 + to_integer(unsigned(input_b(10 downto 6)))));
end if;
when "10100" => -- lw
out_alu<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) + to_integer (unsigned(input_b)), out_alu'length)) ;
when "10101" => -- sw
out_alu<= std_logic_vector(to_unsigned(to_integer (unsigned(input_a)) + to_integer (unsigned(input_b)), out_alu'length)) ;
when "10110" => -- beq
out_alu<= std_logic_vector(to_unsigned((to_integer (unsigned(input_a)) + to_integer (unsigned(input_b)) * 4), out_alu'length));
when "10111" => -- bne
out_alu<= std_logic_vector(to_unsigned((to_integer (unsigned(input_a)) + to_integer (unsigned(input_b)) * 4), out_alu'length));
when "11000" => -- j ASSUME input b is lower 26 bits 0 padded
out_alu<= input_a(31 downto 28) & input_b(25 downto 0) & "00";
when "11001" => -- jr
out_alu <= input_a;
when "11010" => -- jal
out_alu<= input_a(31 downto 28) & input_b(25 downto 0) & "00";
when others =>
NULL;
end case;
end process;
end Behavioral; |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.math_real.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity comp_2p2z is
generic ( gain : real := 100.0; -- high DC gain for good load regulation
fp1 : real := 7.5e3; -- pole location to achieve crossover frequency
fp2 : real := 531.0e3; -- pole location to cancel effect of ESR
fz1 : real := 403.0; -- zero locations to cancel L-C filter poles
fz2 : real := 403.0 );
port ( terminal input, output, ref : electrical );
end entity comp_2p2z;
----------------------------------------------------------------
architecture ltf of comp_2p2z is
quantity vin across input to ref;
quantity vout across iout through output to ref;
constant wp1 : real := math_2_pi * fp1; -- Pole freq (in radians)
constant wp2 : real := math_2_pi * fp2;
constant wz1 : real := math_2_pi * fz1; -- Zero freq (in radians)
constant wz2 : real := math_2_pi * fz2;
constant num : real_vector := ( 1.0,
(wz1 + wz2) / (wz1 * wz2),
1.0 / (wz1 * wz2) );
constant den : real_vector := ( 1.0e-9, 1.0,
(wp1 + wp2) / (wp1 * wp2),
1.0 / (wp1 * wp2) );
begin
vout == -1.0 * gain * vin'ltf(num, den);
end architecture ltf;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.math_real.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity comp_2p2z is
generic ( gain : real := 100.0; -- high DC gain for good load regulation
fp1 : real := 7.5e3; -- pole location to achieve crossover frequency
fp2 : real := 531.0e3; -- pole location to cancel effect of ESR
fz1 : real := 403.0; -- zero locations to cancel L-C filter poles
fz2 : real := 403.0 );
port ( terminal input, output, ref : electrical );
end entity comp_2p2z;
----------------------------------------------------------------
architecture ltf of comp_2p2z is
quantity vin across input to ref;
quantity vout across iout through output to ref;
constant wp1 : real := math_2_pi * fp1; -- Pole freq (in radians)
constant wp2 : real := math_2_pi * fp2;
constant wz1 : real := math_2_pi * fz1; -- Zero freq (in radians)
constant wz2 : real := math_2_pi * fz2;
constant num : real_vector := ( 1.0,
(wz1 + wz2) / (wz1 * wz2),
1.0 / (wz1 * wz2) );
constant den : real_vector := ( 1.0e-9, 1.0,
(wp1 + wp2) / (wp1 * wp2),
1.0 / (wp1 * wp2) );
begin
vout == -1.0 * gain * vin'ltf(num, den);
end architecture ltf;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.math_real.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity comp_2p2z is
generic ( gain : real := 100.0; -- high DC gain for good load regulation
fp1 : real := 7.5e3; -- pole location to achieve crossover frequency
fp2 : real := 531.0e3; -- pole location to cancel effect of ESR
fz1 : real := 403.0; -- zero locations to cancel L-C filter poles
fz2 : real := 403.0 );
port ( terminal input, output, ref : electrical );
end entity comp_2p2z;
----------------------------------------------------------------
architecture ltf of comp_2p2z is
quantity vin across input to ref;
quantity vout across iout through output to ref;
constant wp1 : real := math_2_pi * fp1; -- Pole freq (in radians)
constant wp2 : real := math_2_pi * fp2;
constant wz1 : real := math_2_pi * fz1; -- Zero freq (in radians)
constant wz2 : real := math_2_pi * fz2;
constant num : real_vector := ( 1.0,
(wz1 + wz2) / (wz1 * wz2),
1.0 / (wz1 * wz2) );
constant den : real_vector := ( 1.0e-9, 1.0,
(wp1 + wp2) / (wp1 * wp2),
1.0 / (wp1 * wp2) );
begin
vout == -1.0 * gain * vin'ltf(num, den);
end architecture ltf;
|
library ieee;
use ieee.std_logic_1164.all;
entity test_fifo is
end test_fifo;
architecture behavioural of test_fifo is
component FIFO is
generic (
addr_bits: natural
);
port (
clock : in std_logic;
push : in std_logic; -- Enable data write
pop : in std_logic; -- Enable data read if possible (push takes priority)
input : in std_logic_vector(7 downto 0); -- Data in
output : out std_logic_vector(7 downto 0); -- Data out
full : out std_logic;
empty : out std_logic
);
end component FIFO;
signal clock: std_logic;
signal push : std_logic := '0';
signal pop : std_logic := '0';
signal input : std_logic_vector(7 downto 0);
signal output : std_logic_vector(7 downto 0);
signal full : std_logic;
signal empty : std_logic;
procedure CYCLE(signal clock: out std_logic) is
begin
clock <= '0';
wait for 1 ns;
clock <= '1';
wait for 1 ns;
end procedure;
procedure PUSH_IMPL(value : in std_logic_vector(7 downto 0);
signal clock : out std_logic;
signal push : out std_logic;
signal pop : out std_logic;
signal fifo_input : out std_logic_vector(7 downto 0)) is
begin
push <= '1';
pop <= '0';
fifo_input <= value;
CYCLE(clock);
end procedure;
procedure POP_IMPL(signal clock: out std_logic;
signal push: out std_logic;
signal pop : out std_logic) is
begin
push <= '0';
pop <= '1';
CYCLE(clock);
end procedure;
begin
queue : FIFO generic map(addr_bits => 3) -- fifo with 8 elements
port map (clock, push, pop, input, output, full, empty);
process
-- Helper macros
-- PUSH wrapper
procedure PUSH_MACRO(value: in std_logic_vector(7 downto 0)) is
begin
PUSH_IMPL(value, clock, push, pop, input);
end procedure;
-- POP wrapper
procedure POP_MACRO(value: out std_logic_vector(7 downto 0)) is
begin
POP_IMPL(clock, push, pop);
value := output;
end procedure;
variable retval : std_logic_vector(7 downto 0);
begin
wait for 1 ns;
assert full = '0'
report "Fresh queue should not be full"
severity error;
assert empty = '1'
report "Fresh queue should be empty"
severity error;
PUSH_MACRO("10101010");
assert full = '0'
report "1 element queue should not be full" severity error;
assert empty = '0'
report "1 element queue should not be empty" severity error;
for i in 1 to 6 loop
CYCLE(clock);
assert full = '0'
report "queue should not be full" severity error;
assert empty = '0'
report "queue should not be empty" severity error;
end loop;
CYCLE(clock);
assert full = '1'
report "8 element queue should be full" severity error;
assert empty = '0'
report "8 element queue should not be empty" severity error;
-- Ensure queue maintains size
push <= '0';
pop <= '0';
CYCLE(clock);
assert full = '1'
report "8 element queue should be full" severity error;
assert empty = '0'
report "8 element queue should not be empty" severity error;
-- Drain queue
for i in 1 to 7 loop
POP_MACRO(retval);
assert full = '0'
report "draining queue should not be full" severity error;
assert empty = '0'
report "draining queue should not be empty" severity error;
end loop;
POP_MACRO(retval);
assert full = '0'
report "Empty queue should not be full" severity error;
assert empty = '1'
report "Empty queue should be empty" severity error;
-- Ensure queue maintains size
push <= '0';
pop <= '0';
CYCLE(clock);
assert full = '0'
report "Empty queue should not be full" severity error;
assert empty = '1'
report "Empty queue should be empty" severity error;
PUSH_MACRO("00001111");
POP_MACRO(retval);
assert retval = "00001111"
report "Returned value should match pushed value" severity error;
PUSH_MACRO("00000001");
PUSH_MACRO("00000010");
PUSH_MACRO("00000100");
PUSH_MACRO("00001000");
POP_MACRO(retval);
assert retval = "00000001"
report "FIFO Queue should maintain FIFO ordering" severity error;
POP_MACRO(retval);
assert retval = "00000010"
report "FIFO Queue should maintain FIFO ordering" severity error;
POP_MACRO(retval);
assert retval = "00000100"
report "FIFO Queue should maintain FIFO ordering" severity error;
POP_MACRO(retval);
assert retval = "00001000"
report "FIFO Queue should maintain FIFO ordering" severity error;
assert empty = '1'
report "Queue should be empty here" severity error;
PUSH_MACRO("00000001"); -- 1
PUSH_MACRO("00000010"); -- 2
PUSH_MACRO("00000100"); -- 3
PUSH_MACRO("00001000"); -- 4
PUSH_MACRO("00010000"); -- 5
PUSH_MACRO("00100000"); -- 6
PUSH_MACRO("01000000"); -- 7
PUSH_MACRO("10000000"); -- 8
assert full = '1'
report "Queue should be full here"
severity error;
PUSH_MACRO("11111111"); -- Overflow
PUSH_MACRO("11111111"); -- Overflow
assert full = '1'
report "Queue should be full after overflow"
severity error;
POP_MACRO(retval);
assert retval = "00000001"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "00000010"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "00000100"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "00001000"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "00010000"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "00100000"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "01000000"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
POP_MACRO(retval);
assert retval = "10000000"
report "FIFO Queue should maintain FIFO ordering in the presence of overflow"
severity error;
assert empty = '1'
report "Queue should be fully drained here"
severity error;
POP_MACRO(retval);
assert retval = "10000000" -- Just keeps repeating last read value
report "FIFO Queue should have dropped the overflow values"
severity error;
assert empty = '1'
report "Queue should remain fully drained after over-emptying"
severity error;
assert full = '0'
report "Queue should remain fully drained after over-emptying"
severity error;
PUSH_MACRO("11110000");
assert empty = '0'
report "Queue should work correctly after over-emptying"
severity error;
assert full = '0'
report "Queue should work correctly after over-emptying"
severity error;
POP_MACRO(retval);
assert retval = "11110000";
assert empty = '1'
report "Queue should become fully drained here"
severity error;
assert full = '0'
report "Queue should become fully drained here"
severity error;
wait;
end process;
end behavioural;
|
--*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : memc3_wrapper.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $
-- \ \ / \ Date Created :
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This module instantiates mcb_raw_wrapper module.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_wrapper is
generic (
C_MEMCLK_PERIOD : integer := 2500;
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_ARB_NUM_TIME_SLOTS : integer := 12;
C_ARB_TIME_SLOT_0 : bit_vector := "000";
C_ARB_TIME_SLOT_1 : bit_vector := "000";
C_ARB_TIME_SLOT_2 : bit_vector := "000";
C_ARB_TIME_SLOT_3 : bit_vector := "000";
C_ARB_TIME_SLOT_4 : bit_vector := "000";
C_ARB_TIME_SLOT_5 : bit_vector := "000";
C_ARB_TIME_SLOT_6 : bit_vector := "000";
C_ARB_TIME_SLOT_7 : bit_vector := "000";
C_ARB_TIME_SLOT_8 : bit_vector := "000";
C_ARB_TIME_SLOT_9 : bit_vector := "000";
C_ARB_TIME_SLOT_10 : bit_vector := "000";
C_ARB_TIME_SLOT_11 : bit_vector := "000";
C_MEM_TRAS : integer := 45000;
C_MEM_TRCD : integer := 12500;
C_MEM_TREFI : integer := 7800000;
C_MEM_TRFC : integer := 127500;
C_MEM_TRP : integer := 12500;
C_MEM_TWR : integer := 15000;
C_MEM_TRTP : integer := 7500;
C_MEM_TWTR : integer := 7500;
C_MEM_ADDR_ORDER : string :="ROW_BANK_COLUMN";
C_MEM_TYPE : string :="DDR2";
C_MEM_DENSITY : string :="1Gb";
C_NUM_DQ_PINS : integer := 4;
C_MEM_BURST_LEN : integer := 8;
C_MEM_CAS_LATENCY : integer := 5;
C_MEM_ADDR_WIDTH : integer := 14;
C_MEM_BANKADDR_WIDTH : integer := 3;
C_MEM_NUM_COL_BITS : integer := 11;
C_MEM_DDR1_2_ODS : string := "FULL";
C_MEM_DDR2_RTT : string := "50OHMS";
C_MEM_DDR2_DIFF_DQS_EN : string := "YES";
C_MEM_DDR2_3_PA_SR : string := "FULL";
C_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL";
C_MEM_DDR3_CAS_LATENCY : integer:= 7;
C_MEM_DDR3_CAS_WR_LATENCY : integer:= 5;
C_MEM_DDR3_ODS : string := "DIV6";
C_MEM_DDR3_RTT : string := "DIV2";
C_MEM_DDR3_AUTO_SR : string := "ENABLED";
C_MEM_MOBILE_PA_SR : string := "FULL";
C_MEM_MDDR_ODS : string := "FULL";
C_MC_CALIB_BYPASS : string := "NO";
C_LDQSP_TAP_DELAY_VAL : integer := 0;
C_UDQSP_TAP_DELAY_VAL : integer := 0;
C_LDQSN_TAP_DELAY_VAL : integer := 0;
C_UDQSN_TAP_DELAY_VAL : integer := 0;
C_DQ0_TAP_DELAY_VAL : integer := 0;
C_DQ1_TAP_DELAY_VAL : integer := 0;
C_DQ2_TAP_DELAY_VAL : integer := 0;
C_DQ3_TAP_DELAY_VAL : integer := 0;
C_DQ4_TAP_DELAY_VAL : integer := 0;
C_DQ5_TAP_DELAY_VAL : integer := 0;
C_DQ6_TAP_DELAY_VAL : integer := 0;
C_DQ7_TAP_DELAY_VAL : integer := 0;
C_DQ8_TAP_DELAY_VAL : integer := 0;
C_DQ9_TAP_DELAY_VAL : integer := 0;
C_DQ10_TAP_DELAY_VAL : integer := 0;
C_DQ11_TAP_DELAY_VAL : integer := 0;
C_DQ12_TAP_DELAY_VAL : integer := 0;
C_DQ13_TAP_DELAY_VAL : integer := 0;
C_DQ14_TAP_DELAY_VAL : integer := 0;
C_DQ15_TAP_DELAY_VAL : integer := 0;
C_SKIP_IN_TERM_CAL : integer := 0;
C_SKIP_DYNAMIC_CAL : integer := 0;
C_SIMULATION : string := "FALSE";
C_MC_CALIBRATION_MODE : string := "CALIBRATION";
C_MC_CALIBRATION_DELAY : string := "QUARTER";
C_CALIB_SOFT_IP : string := "TRUE"
);
port
(
-- high-speed PLL clock interface
sysclk_2x : in std_logic;
sysclk_2x_180 : in std_logic;
pll_ce_0 : in std_logic;
pll_ce_90 : in std_logic;
pll_lock : in std_logic;
async_rst : in std_logic;
--User Port0 Interface Signals
p0_cmd_clk : in std_logic;
p0_cmd_en : in std_logic;
p0_cmd_instr : in std_logic_vector(2 downto 0) ;
p0_cmd_bl : in std_logic_vector(5 downto 0) ;
p0_cmd_byte_addr : in std_logic_vector(29 downto 0) ;
p0_cmd_empty : out std_logic;
p0_cmd_full : out std_logic;
-- Data Wr Port signals
p0_wr_clk : in std_logic;
p0_wr_en : in std_logic;
p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0) ;
p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0) ;
p0_wr_full : out std_logic;
p0_wr_empty : out std_logic;
p0_wr_count : out std_logic_vector(6 downto 0) ;
p0_wr_underrun : out std_logic;
p0_wr_error : out std_logic;
--Data Rd Port signals
p0_rd_clk : in std_logic;
p0_rd_en : in std_logic;
p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0) ;
p0_rd_full : out std_logic;
p0_rd_empty : out std_logic;
p0_rd_count : out std_logic_vector(6 downto 0) ;
p0_rd_overflow : out std_logic;
p0_rd_error : out std_logic;
-- memory interface signals
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
mcb3_dram_a : out std_logic_vector(C_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_odt : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_cke : out std_logic;
mcb3_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 downto 0);
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_reset_n : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
-- Calibration signals
mcb_drp_clk : in std_logic;
calib_done : out std_logic;
selfrefresh_enter : in std_logic;
selfrefresh_mode : out std_logic
);
end entity;
architecture acch of memc3_wrapper is
component mcb_raw_wrapper IS
GENERIC (
C_MEMCLK_PERIOD : integer;
C_PORT_ENABLE : std_logic_vector(5 downto 0);
C_MEM_ADDR_ORDER : string;
C_ARB_NUM_TIME_SLOTS : integer;
C_ARB_TIME_SLOT_0 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_1 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_2 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_3 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_4 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_5 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_6 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_7 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_8 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_9 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_10 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_11 : bit_vector(17 downto 0);
C_PORT_CONFIG : string;
C_MEM_TRAS : integer;
C_MEM_TRCD : integer;
C_MEM_TREFI : integer;
C_MEM_TRFC : integer;
C_MEM_TRP : integer;
C_MEM_TWR : integer;
C_MEM_TRTP : integer;
C_MEM_TWTR : integer;
C_NUM_DQ_PINS : integer;
C_MEM_TYPE : string;
C_MEM_DENSITY : string;
C_MEM_BURST_LEN : integer;
C_MEM_CAS_LATENCY : integer;
C_MEM_ADDR_WIDTH : integer;
C_MEM_BANKADDR_WIDTH : integer;
C_MEM_NUM_COL_BITS : integer;
C_MEM_DDR3_CAS_LATENCY : integer;
C_MEM_MOBILE_PA_SR : string;
C_MEM_DDR1_2_ODS : string;
C_MEM_DDR3_ODS : string;
C_MEM_DDR2_RTT : string;
C_MEM_DDR3_RTT : string;
C_MEM_MDDR_ODS : string;
C_MEM_DDR2_DIFF_DQS_EN : string;
C_MEM_DDR2_3_PA_SR : string;
C_MEM_DDR3_CAS_WR_LATENCY : integer;
C_MEM_DDR3_AUTO_SR : string;
C_MEM_DDR2_3_HIGH_TEMP_SR : string;
C_MEM_DDR3_DYN_WRT_ODT : string;
C_MC_CALIB_BYPASS : string;
C_MC_CALIBRATION_RA : bit_vector(15 DOWNTO 0);
C_MC_CALIBRATION_BA : bit_vector(2 DOWNTO 0);
C_CALIB_SOFT_IP : string;
C_MC_CALIBRATION_CA : bit_vector(11 DOWNTO 0);
C_MC_CALIBRATION_CLK_DIV : integer;
C_MC_CALIBRATION_MODE : string;
C_MC_CALIBRATION_DELAY : string;
LDQSP_TAP_DELAY_VAL : integer;
UDQSP_TAP_DELAY_VAL : integer;
LDQSN_TAP_DELAY_VAL : integer;
UDQSN_TAP_DELAY_VAL : integer;
DQ0_TAP_DELAY_VAL : integer;
DQ1_TAP_DELAY_VAL : integer;
DQ2_TAP_DELAY_VAL : integer;
DQ3_TAP_DELAY_VAL : integer;
DQ4_TAP_DELAY_VAL : integer;
DQ5_TAP_DELAY_VAL : integer;
DQ6_TAP_DELAY_VAL : integer;
DQ7_TAP_DELAY_VAL : integer;
DQ8_TAP_DELAY_VAL : integer;
DQ9_TAP_DELAY_VAL : integer;
DQ10_TAP_DELAY_VAL : integer;
DQ11_TAP_DELAY_VAL : integer;
DQ12_TAP_DELAY_VAL : integer;
DQ13_TAP_DELAY_VAL : integer;
DQ14_TAP_DELAY_VAL : integer;
DQ15_TAP_DELAY_VAL : integer;
C_P0_MASK_SIZE : integer;
C_P0_DATA_PORT_SIZE : integer;
C_P1_MASK_SIZE : integer;
C_P1_DATA_PORT_SIZE : integer;
C_SIMULATION : string ;
C_SKIP_IN_TERM_CAL : integer;
C_SKIP_DYNAMIC_CAL : integer;
C_SKIP_DYN_IN_TERM : integer;
C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0)
);
PORT (
-- HIGH-SPEED PLL clock interface
sysclk_2x : in std_logic;
sysclk_2x_180 : in std_logic;
pll_ce_0 : in std_logic;
pll_ce_90 : in std_logic;
pll_lock : in std_logic;
sys_rst : in std_logic;
p0_arb_en : in std_logic;
p0_cmd_clk : in std_logic;
p0_cmd_en : in std_logic;
p0_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p0_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p0_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p0_cmd_empty : out std_logic;
p0_cmd_full : out std_logic;
p0_wr_clk : in std_logic;
p0_wr_en : in std_logic;
p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 DOWNTO 0);
p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0);
p0_wr_full : out std_logic;
p0_wr_empty : out std_logic;
p0_wr_count : out std_logic_vector(6 DOWNTO 0);
p0_wr_underrun : out std_logic;
p0_wr_error : out std_logic;
p0_rd_clk : in std_logic;
p0_rd_en : in std_logic;
p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0);
p0_rd_full : out std_logic;
p0_rd_empty : out std_logic;
p0_rd_count : out std_logic_vector(6 DOWNTO 0);
p0_rd_overflow : out std_logic;
p0_rd_error : out std_logic;
p1_arb_en : in std_logic;
p1_cmd_clk : in std_logic;
p1_cmd_en : in std_logic;
p1_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p1_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p1_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p1_cmd_empty : out std_logic;
p1_cmd_full : out std_logic;
p1_wr_clk : in std_logic;
p1_wr_en : in std_logic;
p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 DOWNTO 0);
p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0);
p1_wr_full : out std_logic;
p1_wr_empty : out std_logic;
p1_wr_count : out std_logic_vector(6 DOWNTO 0);
p1_wr_underrun : out std_logic;
p1_wr_error : out std_logic;
p1_rd_clk : in std_logic;
p1_rd_en : in std_logic;
p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0);
p1_rd_full : out std_logic;
p1_rd_empty : out std_logic;
p1_rd_count : out std_logic_vector(6 DOWNTO 0);
p1_rd_overflow : out std_logic;
p1_rd_error : out std_logic;
p2_arb_en : in std_logic;
p2_cmd_clk : in std_logic;
p2_cmd_en : in std_logic;
p2_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p2_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p2_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p2_cmd_empty : out std_logic;
p2_cmd_full : out std_logic;
p2_wr_clk : in std_logic;
p2_wr_en : in std_logic;
p2_wr_mask : in std_logic_vector(3 DOWNTO 0);
p2_wr_data : in std_logic_vector(31 DOWNTO 0);
p2_wr_full : out std_logic;
p2_wr_empty : out std_logic;
p2_wr_count : out std_logic_vector(6 DOWNTO 0);
p2_wr_underrun : out std_logic;
p2_wr_error : out std_logic;
p2_rd_clk : in std_logic;
p2_rd_en : in std_logic;
p2_rd_data : out std_logic_vector(31 DOWNTO 0);
p2_rd_full : out std_logic;
p2_rd_empty : out std_logic;
p2_rd_count : out std_logic_vector(6 DOWNTO 0);
p2_rd_overflow : out std_logic;
p2_rd_error : out std_logic;
p3_arb_en : in std_logic;
p3_cmd_clk : in std_logic;
p3_cmd_en : in std_logic;
p3_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p3_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p3_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p3_cmd_empty : out std_logic;
p3_cmd_full : out std_logic;
p3_wr_clk : in std_logic;
p3_wr_en : in std_logic;
p3_wr_mask : in std_logic_vector(3 DOWNTO 0);
p3_wr_data : in std_logic_vector(31 DOWNTO 0);
p3_wr_full : out std_logic;
p3_wr_empty : out std_logic;
p3_wr_count : out std_logic_vector(6 DOWNTO 0);
p3_wr_underrun : out std_logic;
p3_wr_error : out std_logic;
p3_rd_clk : in std_logic;
p3_rd_en : in std_logic;
p3_rd_data : out std_logic_vector(31 DOWNTO 0);
p3_rd_full : out std_logic;
p3_rd_empty : out std_logic;
p3_rd_count : out std_logic_vector(6 DOWNTO 0);
p3_rd_overflow : out std_logic;
p3_rd_error : out std_logic;
p4_arb_en : in std_logic;
p4_cmd_clk : in std_logic;
p4_cmd_en : in std_logic;
p4_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p4_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p4_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p4_cmd_empty : out std_logic;
p4_cmd_full : out std_logic;
p4_wr_clk : in std_logic;
p4_wr_en : in std_logic;
p4_wr_mask : in std_logic_vector(3 DOWNTO 0);
p4_wr_data : in std_logic_vector(31 DOWNTO 0);
p4_wr_full : out std_logic;
p4_wr_empty : out std_logic;
p4_wr_count : out std_logic_vector(6 DOWNTO 0);
p4_wr_underrun : out std_logic;
p4_wr_error : out std_logic;
p4_rd_clk : in std_logic;
p4_rd_en : in std_logic;
p4_rd_data : out std_logic_vector(31 DOWNTO 0);
p4_rd_full : out std_logic;
p4_rd_empty : out std_logic;
p4_rd_count : out std_logic_vector(6 DOWNTO 0);
p4_rd_overflow : out std_logic;
p4_rd_error : out std_logic;
p5_arb_en : in std_logic;
p5_cmd_clk : in std_logic;
p5_cmd_en : in std_logic;
p5_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p5_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p5_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p5_cmd_empty : out std_logic;
p5_cmd_full : out std_logic;
p5_wr_clk : in std_logic;
p5_wr_en : in std_logic;
p5_wr_mask : in std_logic_vector(3 DOWNTO 0);
p5_wr_data : in std_logic_vector(31 DOWNTO 0);
p5_wr_full : out std_logic;
p5_wr_empty : out std_logic;
p5_wr_count : out std_logic_vector(6 DOWNTO 0);
p5_wr_underrun : out std_logic;
p5_wr_error : out std_logic;
p5_rd_clk : in std_logic;
p5_rd_en : in std_logic;
p5_rd_data : out std_logic_vector(31 DOWNTO 0);
p5_rd_full : out std_logic;
p5_rd_empty : out std_logic;
p5_rd_count : out std_logic_vector(6 DOWNTO 0);
p5_rd_overflow : out std_logic;
p5_rd_error : out std_logic;
mcbx_dram_addr : out std_logic_vector(C_MEM_ADDR_WIDTH - 1 DOWNTO 0);
mcbx_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH - 1 DOWNTO 0);
mcbx_dram_ras_n : out std_logic;
mcbx_dram_cas_n : out std_logic;
mcbx_dram_we_n : out std_logic;
mcbx_dram_cke : out std_logic;
mcbx_dram_clk : out std_logic;
mcbx_dram_clk_n : out std_logic;
mcbx_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 DOWNTO 0);
mcbx_dram_dqs : inout std_logic;
mcbx_dram_dqs_n : inout std_logic;
mcbx_dram_udqs : inout std_logic;
mcbx_dram_udqs_n : inout std_logic;
mcbx_dram_udm : out std_logic;
mcbx_dram_ldm : out std_logic;
mcbx_dram_odt : out std_logic;
mcbx_dram_ddr3_rst : out std_logic;
calib_recal : in std_logic;
rzq : inout std_logic;
zio : inout std_logic;
ui_read : in std_logic;
ui_add : in std_logic;
ui_cs : in std_logic;
ui_clk : in std_logic;
ui_sdi : in std_logic;
ui_addr : in std_logic_vector(4 DOWNTO 0);
ui_broadcast : in std_logic;
ui_drp_update : in std_logic;
ui_done_cal : in std_logic;
ui_cmd : in std_logic;
ui_cmd_in : in std_logic;
ui_cmd_en : in std_logic;
ui_dqcount : in std_logic_vector(3 DOWNTO 0);
ui_dq_lower_dec : in std_logic;
ui_dq_lower_inc : in std_logic;
ui_dq_upper_dec : in std_logic;
ui_dq_upper_inc : in std_logic;
ui_udqs_inc : in std_logic;
ui_udqs_dec : in std_logic;
ui_ldqs_inc : in std_logic;
ui_ldqs_dec : in std_logic;
uo_data : out std_logic_vector(7 DOWNTO 0);
uo_data_valid : out std_logic;
uo_done_cal : out std_logic;
uo_cmd_ready_in : out std_logic;
uo_refrsh_flag : out std_logic;
uo_cal_start : out std_logic;
uo_sdo : out std_logic;
status : out std_logic_vector(31 DOWNTO 0);
selfrefresh_enter : in std_logic;
selfrefresh_mode : out std_logic
);
end component;
signal uo_data : std_logic_vector(7 downto 0);
constant C_PORT_ENABLE : std_logic_vector(5 downto 0) := "000001";
constant C_PORT_CONFIG : string := "B32_B32_R32_R32_R32_R32";
constant ARB_TIME_SLOT_0 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_0(2 downto 0));
constant ARB_TIME_SLOT_1 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_1(2 downto 0));
constant ARB_TIME_SLOT_2 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_2(2 downto 0));
constant ARB_TIME_SLOT_3 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_3(2 downto 0));
constant ARB_TIME_SLOT_4 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_4(2 downto 0));
constant ARB_TIME_SLOT_5 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_5(2 downto 0));
constant ARB_TIME_SLOT_6 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_6(2 downto 0));
constant ARB_TIME_SLOT_7 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_7(2 downto 0));
constant ARB_TIME_SLOT_8 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_8(2 downto 0));
constant ARB_TIME_SLOT_9 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_9(2 downto 0));
constant ARB_TIME_SLOT_10 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_10(2 downto 0));
constant ARB_TIME_SLOT_11 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_11(2 downto 0));
constant C_MC_CALIBRATION_CLK_DIV : integer := 1;
constant C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000" + "0000010000"; -- 16 cycles are added to avoid trfc violations
constant C_SKIP_DYN_IN_TERM : integer := 1;
constant C_MC_CALIBRATION_RA : bit_vector(15 downto 0) := X"0000";
constant C_MC_CALIBRATION_BA : bit_vector(2 downto 0) := o"0";
constant C_MC_CALIBRATION_CA : bit_vector(11 downto 0) := X"000";
constant C_MEM_DDR3_DYN_WRT_ODT : string := "OFF";
signal status : std_logic_vector(31 downto 0);
signal uo_data_valid : std_logic;
signal uo_cmd_ready_in : std_logic;
signal uo_refrsh_flag : std_logic;
signal uo_cal_start : std_logic;
signal uo_sdo : std_logic;
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of acch : architecture IS
"mig_v3_9_ddr3_s6, Coregen 13.3";
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of acch : architecture IS "mcb3_ddr3_s6,mig_v3_9,{LANGUAGE=VHDL, SYNTHESIS_TOOL=ISE, NO_OF_CONTROLLERS=2, AXI_ENABLE=0, MEM_INTERFACE_TYPE=DDR3_SDRAM, CLK_PERIOD=2500, MEMORY_PART=mt41j128m16xx-15e, MEMORY_DEVICE_WIDTH=16, OUTPUT_DRV=DIV6, RTT_NOM=DIV4, AUTO_SR=ENABLED, HIGH_TEMP_SR=NORMAL, PORT_CONFIG=Two 32-bit bi-directional and four 32-bit unidirectional ports, MEM_ADDR_ORDER=ROW_BANK_COLUMN, PORT_ENABLE=Port0, INPUT_PIN_TERMINATION=CALIB_TERM, DATA_TERMINATION=25 Ohms, CLKFBOUT_MULT_F=2, CLKOUT_DIVIDE=1, DEBUG_PORT=0, INPUT_CLK_TYPE=Differential}";
begin
memc3_mcb_raw_wrapper_inst : mcb_raw_wrapper
generic map
(
C_MEMCLK_PERIOD => C_MEMCLK_PERIOD,
C_P0_MASK_SIZE => C_P0_MASK_SIZE,
C_P0_DATA_PORT_SIZE => C_P0_DATA_PORT_SIZE,
C_P1_MASK_SIZE => C_P1_MASK_SIZE,
C_P1_DATA_PORT_SIZE => C_P1_DATA_PORT_SIZE,
C_ARB_NUM_TIME_SLOTS => C_ARB_NUM_TIME_SLOTS,
C_ARB_TIME_SLOT_0 => ARB_TIME_SLOT_0,
C_ARB_TIME_SLOT_1 => ARB_TIME_SLOT_1,
C_ARB_TIME_SLOT_2 => ARB_TIME_SLOT_2,
C_ARB_TIME_SLOT_3 => ARB_TIME_SLOT_3,
C_ARB_TIME_SLOT_4 => ARB_TIME_SLOT_4,
C_ARB_TIME_SLOT_5 => ARB_TIME_SLOT_5,
C_ARB_TIME_SLOT_6 => ARB_TIME_SLOT_6,
C_ARB_TIME_SLOT_7 => ARB_TIME_SLOT_7,
C_ARB_TIME_SLOT_8 => ARB_TIME_SLOT_8,
C_ARB_TIME_SLOT_9 => ARB_TIME_SLOT_9,
C_ARB_TIME_SLOT_10 => ARB_TIME_SLOT_10,
C_ARB_TIME_SLOT_11 => ARB_TIME_SLOT_11,
C_PORT_CONFIG => C_PORT_CONFIG,
C_PORT_ENABLE => C_PORT_ENABLE,
C_MEM_TRAS => C_MEM_TRAS,
C_MEM_TRCD => C_MEM_TRCD,
C_MEM_TREFI => C_MEM_TREFI,
C_MEM_TRFC => C_MEM_TRFC,
C_MEM_TRP => C_MEM_TRP,
C_MEM_TWR => C_MEM_TWR,
C_MEM_TRTP => C_MEM_TRTP,
C_MEM_TWTR => C_MEM_TWTR,
C_MEM_ADDR_ORDER => C_MEM_ADDR_ORDER,
C_NUM_DQ_PINS => C_NUM_DQ_PINS,
C_MEM_TYPE => C_MEM_TYPE,
C_MEM_DENSITY => C_MEM_DENSITY,
C_MEM_BURST_LEN => C_MEM_BURST_LEN,
C_MEM_CAS_LATENCY => C_MEM_CAS_LATENCY,
C_MEM_ADDR_WIDTH => C_MEM_ADDR_WIDTH,
C_MEM_BANKADDR_WIDTH => C_MEM_BANKADDR_WIDTH,
C_MEM_NUM_COL_BITS => C_MEM_NUM_COL_BITS,
C_MEM_DDR1_2_ODS => C_MEM_DDR1_2_ODS,
C_MEM_DDR2_RTT => C_MEM_DDR2_RTT,
C_MEM_DDR2_DIFF_DQS_EN => C_MEM_DDR2_DIFF_DQS_EN,
C_MEM_DDR2_3_PA_SR => C_MEM_DDR2_3_PA_SR,
C_MEM_DDR2_3_HIGH_TEMP_SR => C_MEM_DDR2_3_HIGH_TEMP_SR,
C_MEM_DDR3_CAS_LATENCY => C_MEM_DDR3_CAS_LATENCY,
C_MEM_DDR3_ODS => C_MEM_DDR3_ODS,
C_MEM_DDR3_RTT => C_MEM_DDR3_RTT,
C_MEM_DDR3_CAS_WR_LATENCY => C_MEM_DDR3_CAS_WR_LATENCY,
C_MEM_DDR3_AUTO_SR => C_MEM_DDR3_AUTO_SR,
C_MEM_DDR3_DYN_WRT_ODT => C_MEM_DDR3_DYN_WRT_ODT,
C_MEM_MOBILE_PA_SR => C_MEM_MOBILE_PA_SR,
C_MEM_MDDR_ODS => C_MEM_MDDR_ODS,
C_MC_CALIBRATION_CLK_DIV => C_MC_CALIBRATION_CLK_DIV,
C_MC_CALIBRATION_MODE => C_MC_CALIBRATION_MODE,
C_MC_CALIBRATION_DELAY => C_MC_CALIBRATION_DELAY,
C_MC_CALIB_BYPASS => C_MC_CALIB_BYPASS,
C_MC_CALIBRATION_RA => C_MC_CALIBRATION_RA,
C_MC_CALIBRATION_BA => C_MC_CALIBRATION_BA,
C_MC_CALIBRATION_CA => C_MC_CALIBRATION_CA,
C_CALIB_SOFT_IP => C_CALIB_SOFT_IP,
C_SIMULATION => C_SIMULATION,
C_SKIP_IN_TERM_CAL => C_SKIP_IN_TERM_CAL,
C_SKIP_DYNAMIC_CAL => C_SKIP_DYNAMIC_CAL,
C_SKIP_DYN_IN_TERM => C_SKIP_DYN_IN_TERM,
C_MEM_TZQINIT_MAXCNT => C_MEM_TZQINIT_MAXCNT,
LDQSP_TAP_DELAY_VAL => C_LDQSP_TAP_DELAY_VAL,
UDQSP_TAP_DELAY_VAL => C_UDQSP_TAP_DELAY_VAL,
LDQSN_TAP_DELAY_VAL => C_LDQSN_TAP_DELAY_VAL,
UDQSN_TAP_DELAY_VAL => C_UDQSN_TAP_DELAY_VAL,
DQ0_TAP_DELAY_VAL => C_DQ0_TAP_DELAY_VAL,
DQ1_TAP_DELAY_VAL => C_DQ1_TAP_DELAY_VAL,
DQ2_TAP_DELAY_VAL => C_DQ2_TAP_DELAY_VAL,
DQ3_TAP_DELAY_VAL => C_DQ3_TAP_DELAY_VAL,
DQ4_TAP_DELAY_VAL => C_DQ4_TAP_DELAY_VAL,
DQ5_TAP_DELAY_VAL => C_DQ5_TAP_DELAY_VAL,
DQ6_TAP_DELAY_VAL => C_DQ6_TAP_DELAY_VAL,
DQ7_TAP_DELAY_VAL => C_DQ7_TAP_DELAY_VAL,
DQ8_TAP_DELAY_VAL => C_DQ8_TAP_DELAY_VAL,
DQ9_TAP_DELAY_VAL => C_DQ9_TAP_DELAY_VAL,
DQ10_TAP_DELAY_VAL => C_DQ10_TAP_DELAY_VAL,
DQ11_TAP_DELAY_VAL => C_DQ11_TAP_DELAY_VAL,
DQ12_TAP_DELAY_VAL => C_DQ12_TAP_DELAY_VAL,
DQ13_TAP_DELAY_VAL => C_DQ13_TAP_DELAY_VAL,
DQ14_TAP_DELAY_VAL => C_DQ14_TAP_DELAY_VAL,
DQ15_TAP_DELAY_VAL => C_DQ15_TAP_DELAY_VAL
)
port map
(
sys_rst => async_rst,
sysclk_2x => sysclk_2x,
sysclk_2x_180 => sysclk_2x_180,
pll_ce_0 => pll_ce_0,
pll_ce_90 => pll_ce_90,
pll_lock => pll_lock,
mcbx_dram_addr => mcb3_dram_a,
mcbx_dram_ba => mcb3_dram_ba,
mcbx_dram_ras_n => mcb3_dram_ras_n,
mcbx_dram_cas_n => mcb3_dram_cas_n,
mcbx_dram_we_n => mcb3_dram_we_n,
mcbx_dram_cke => mcb3_dram_cke,
mcbx_dram_clk => mcb3_dram_ck,
mcbx_dram_clk_n => mcb3_dram_ck_n,
mcbx_dram_dq => mcb3_dram_dq,
mcbx_dram_odt => mcb3_dram_odt,
mcbx_dram_ldm => mcb3_dram_dm,
mcbx_dram_udm => mcb3_dram_udm,
mcbx_dram_dqs => mcb3_dram_dqs,
mcbx_dram_dqs_n => mcb3_dram_dqs_n,
mcbx_dram_udqs => mcb3_dram_udqs,
mcbx_dram_udqs_n => mcb3_dram_udqs_n,
mcbx_dram_ddr3_rst => mcb3_dram_reset_n,
calib_recal => '0',
rzq => mcb3_rzq,
zio => mcb3_zio,
ui_read => '0',
ui_add => '0',
ui_cs => '0',
ui_clk => mcb_drp_clk,
ui_sdi => '0',
ui_addr => (others => '0'),
ui_broadcast => '0',
ui_drp_update => '0',
ui_done_cal => '1',
ui_cmd => '0',
ui_cmd_in => '0',
ui_cmd_en => '0',
ui_dqcount => (others => '0'),
ui_dq_lower_dec => '0',
ui_dq_lower_inc => '0',
ui_dq_upper_dec => '0',
ui_dq_upper_inc => '0',
ui_udqs_inc => '0',
ui_udqs_dec => '0',
ui_ldqs_inc => '0',
ui_ldqs_dec => '0',
uo_data => uo_data,
uo_data_valid => uo_data_valid,
uo_done_cal => calib_done,
uo_cmd_ready_in => uo_cmd_ready_in,
uo_refrsh_flag => uo_refrsh_flag,
uo_cal_start => uo_cal_start,
uo_sdo => uo_sdo,
status => status,
selfrefresh_enter => '0',
selfrefresh_mode => selfrefresh_mode,
p0_arb_en => '1',
p0_cmd_clk => p0_cmd_clk,
p0_cmd_en => p0_cmd_en,
p0_cmd_instr => p0_cmd_instr,
p0_cmd_bl => p0_cmd_bl,
p0_cmd_byte_addr => p0_cmd_byte_addr,
p0_cmd_empty => p0_cmd_empty,
p0_cmd_full => p0_cmd_full,
p0_wr_clk => p0_wr_clk,
p0_wr_en => p0_wr_en,
p0_wr_mask => p0_wr_mask,
p0_wr_data => p0_wr_data,
p0_wr_full => p0_wr_full,
p0_wr_empty => p0_wr_empty,
p0_wr_count => p0_wr_count,
p0_wr_underrun => p0_wr_underrun,
p0_wr_error => p0_wr_error,
p0_rd_clk => p0_rd_clk,
p0_rd_en => p0_rd_en,
p0_rd_data => p0_rd_data,
p0_rd_full => p0_rd_full,
p0_rd_empty => p0_rd_empty,
p0_rd_count => p0_rd_count,
p0_rd_overflow => p0_rd_overflow,
p0_rd_error => p0_rd_error,
p1_arb_en => '0',
p1_cmd_clk => '0',
p1_cmd_en => '0',
p1_cmd_instr => (others => '0'),
p1_cmd_bl => (others => '0'),
p1_cmd_byte_addr => (others => '0'),
p1_cmd_empty => open,
p1_cmd_full => open,
p1_rd_clk => '0',
p1_rd_en => '0',
p1_rd_data => open,
p1_rd_full => open,
p1_rd_empty => open,
p1_rd_count => open,
p1_rd_overflow => open,
p1_rd_error => open,
p1_wr_clk => '0',
p1_wr_en => '0',
p1_wr_mask => (others => '0'),
p1_wr_data => (others => '0'),
p1_wr_full => open,
p1_wr_empty => open,
p1_wr_count => open,
p1_wr_underrun => open,
p1_wr_error => open,
p2_arb_en => '0',
p2_cmd_clk => '0',
p2_cmd_en => '0',
p2_cmd_instr => (others => '0'),
p2_cmd_bl => (others => '0'),
p2_cmd_byte_addr => (others => '0'),
p2_cmd_empty => open,
p2_cmd_full => open,
p2_rd_clk => '0',
p2_rd_en => '0',
p2_rd_data => open,
p2_rd_full => open,
p2_rd_empty => open,
p2_rd_count => open,
p2_rd_overflow => open,
p2_rd_error => open,
p2_wr_clk => '0',
p2_wr_en => '0',
p2_wr_mask => (others => '0'),
p2_wr_data => (others => '0'),
p2_wr_full => open,
p2_wr_empty => open,
p2_wr_count => open,
p2_wr_underrun => open,
p2_wr_error => open,
p3_arb_en => '0',
p3_cmd_clk => '0',
p3_cmd_en => '0',
p3_cmd_instr => (others => '0'),
p3_cmd_bl => (others => '0'),
p3_cmd_byte_addr => (others => '0'),
p3_cmd_empty => open,
p3_cmd_full => open,
p3_rd_clk => '0',
p3_rd_en => '0',
p3_rd_data => open,
p3_rd_full => open,
p3_rd_empty => open,
p3_rd_count => open,
p3_rd_overflow => open,
p3_rd_error => open,
p3_wr_clk => '0',
p3_wr_en => '0',
p3_wr_mask => (others => '0'),
p3_wr_data => (others => '0'),
p3_wr_full => open,
p3_wr_empty => open,
p3_wr_count => open,
p3_wr_underrun => open,
p3_wr_error => open,
p4_arb_en => '0',
p4_cmd_clk => '0',
p4_cmd_en => '0',
p4_cmd_instr => (others => '0'),
p4_cmd_bl => (others => '0'),
p4_cmd_byte_addr => (others => '0'),
p4_cmd_empty => open,
p4_cmd_full => open,
p4_rd_clk => '0',
p4_rd_en => '0',
p4_rd_data => open,
p4_rd_full => open,
p4_rd_empty => open,
p4_rd_count => open,
p4_rd_overflow => open,
p4_rd_error => open,
p4_wr_clk => '0',
p4_wr_en => '0',
p4_wr_mask => (others => '0'),
p4_wr_data => (others => '0'),
p4_wr_full => open,
p4_wr_empty => open,
p4_wr_count => open,
p4_wr_underrun => open,
p4_wr_error => open,
p5_arb_en => '0',
p5_cmd_clk => '0',
p5_cmd_en => '0',
p5_cmd_instr => (others => '0'),
p5_cmd_bl => (others => '0'),
p5_cmd_byte_addr => (others => '0'),
p5_cmd_empty => open,
p5_cmd_full => open,
p5_rd_clk => '0',
p5_rd_en => '0',
p5_rd_data => open,
p5_rd_full => open,
p5_rd_empty => open,
p5_rd_count => open,
p5_rd_overflow => open,
p5_rd_error => open,
p5_wr_clk => '0',
p5_wr_en => '0',
p5_wr_mask => (others => '0'),
p5_wr_data => (others => '0'),
p5_wr_full => open,
p5_wr_empty => open,
p5_wr_count => open,
p5_wr_underrun => open,
p5_wr_error => open
);
end architecture;
|
--*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : memc3_wrapper.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $
-- \ \ / \ Date Created :
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This module instantiates mcb_raw_wrapper module.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_wrapper is
generic (
C_MEMCLK_PERIOD : integer := 2500;
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_ARB_NUM_TIME_SLOTS : integer := 12;
C_ARB_TIME_SLOT_0 : bit_vector := "000";
C_ARB_TIME_SLOT_1 : bit_vector := "000";
C_ARB_TIME_SLOT_2 : bit_vector := "000";
C_ARB_TIME_SLOT_3 : bit_vector := "000";
C_ARB_TIME_SLOT_4 : bit_vector := "000";
C_ARB_TIME_SLOT_5 : bit_vector := "000";
C_ARB_TIME_SLOT_6 : bit_vector := "000";
C_ARB_TIME_SLOT_7 : bit_vector := "000";
C_ARB_TIME_SLOT_8 : bit_vector := "000";
C_ARB_TIME_SLOT_9 : bit_vector := "000";
C_ARB_TIME_SLOT_10 : bit_vector := "000";
C_ARB_TIME_SLOT_11 : bit_vector := "000";
C_MEM_TRAS : integer := 45000;
C_MEM_TRCD : integer := 12500;
C_MEM_TREFI : integer := 7800000;
C_MEM_TRFC : integer := 127500;
C_MEM_TRP : integer := 12500;
C_MEM_TWR : integer := 15000;
C_MEM_TRTP : integer := 7500;
C_MEM_TWTR : integer := 7500;
C_MEM_ADDR_ORDER : string :="ROW_BANK_COLUMN";
C_MEM_TYPE : string :="DDR2";
C_MEM_DENSITY : string :="1Gb";
C_NUM_DQ_PINS : integer := 4;
C_MEM_BURST_LEN : integer := 8;
C_MEM_CAS_LATENCY : integer := 5;
C_MEM_ADDR_WIDTH : integer := 14;
C_MEM_BANKADDR_WIDTH : integer := 3;
C_MEM_NUM_COL_BITS : integer := 11;
C_MEM_DDR1_2_ODS : string := "FULL";
C_MEM_DDR2_RTT : string := "50OHMS";
C_MEM_DDR2_DIFF_DQS_EN : string := "YES";
C_MEM_DDR2_3_PA_SR : string := "FULL";
C_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL";
C_MEM_DDR3_CAS_LATENCY : integer:= 7;
C_MEM_DDR3_CAS_WR_LATENCY : integer:= 5;
C_MEM_DDR3_ODS : string := "DIV6";
C_MEM_DDR3_RTT : string := "DIV2";
C_MEM_DDR3_AUTO_SR : string := "ENABLED";
C_MEM_MOBILE_PA_SR : string := "FULL";
C_MEM_MDDR_ODS : string := "FULL";
C_MC_CALIB_BYPASS : string := "NO";
C_LDQSP_TAP_DELAY_VAL : integer := 0;
C_UDQSP_TAP_DELAY_VAL : integer := 0;
C_LDQSN_TAP_DELAY_VAL : integer := 0;
C_UDQSN_TAP_DELAY_VAL : integer := 0;
C_DQ0_TAP_DELAY_VAL : integer := 0;
C_DQ1_TAP_DELAY_VAL : integer := 0;
C_DQ2_TAP_DELAY_VAL : integer := 0;
C_DQ3_TAP_DELAY_VAL : integer := 0;
C_DQ4_TAP_DELAY_VAL : integer := 0;
C_DQ5_TAP_DELAY_VAL : integer := 0;
C_DQ6_TAP_DELAY_VAL : integer := 0;
C_DQ7_TAP_DELAY_VAL : integer := 0;
C_DQ8_TAP_DELAY_VAL : integer := 0;
C_DQ9_TAP_DELAY_VAL : integer := 0;
C_DQ10_TAP_DELAY_VAL : integer := 0;
C_DQ11_TAP_DELAY_VAL : integer := 0;
C_DQ12_TAP_DELAY_VAL : integer := 0;
C_DQ13_TAP_DELAY_VAL : integer := 0;
C_DQ14_TAP_DELAY_VAL : integer := 0;
C_DQ15_TAP_DELAY_VAL : integer := 0;
C_SKIP_IN_TERM_CAL : integer := 0;
C_SKIP_DYNAMIC_CAL : integer := 0;
C_SIMULATION : string := "FALSE";
C_MC_CALIBRATION_MODE : string := "CALIBRATION";
C_MC_CALIBRATION_DELAY : string := "QUARTER";
C_CALIB_SOFT_IP : string := "TRUE"
);
port
(
-- high-speed PLL clock interface
sysclk_2x : in std_logic;
sysclk_2x_180 : in std_logic;
pll_ce_0 : in std_logic;
pll_ce_90 : in std_logic;
pll_lock : in std_logic;
async_rst : in std_logic;
--User Port0 Interface Signals
p0_cmd_clk : in std_logic;
p0_cmd_en : in std_logic;
p0_cmd_instr : in std_logic_vector(2 downto 0) ;
p0_cmd_bl : in std_logic_vector(5 downto 0) ;
p0_cmd_byte_addr : in std_logic_vector(29 downto 0) ;
p0_cmd_empty : out std_logic;
p0_cmd_full : out std_logic;
-- Data Wr Port signals
p0_wr_clk : in std_logic;
p0_wr_en : in std_logic;
p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0) ;
p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0) ;
p0_wr_full : out std_logic;
p0_wr_empty : out std_logic;
p0_wr_count : out std_logic_vector(6 downto 0) ;
p0_wr_underrun : out std_logic;
p0_wr_error : out std_logic;
--Data Rd Port signals
p0_rd_clk : in std_logic;
p0_rd_en : in std_logic;
p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0) ;
p0_rd_full : out std_logic;
p0_rd_empty : out std_logic;
p0_rd_count : out std_logic_vector(6 downto 0) ;
p0_rd_overflow : out std_logic;
p0_rd_error : out std_logic;
-- memory interface signals
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
mcb3_dram_a : out std_logic_vector(C_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_odt : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_cke : out std_logic;
mcb3_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 downto 0);
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_reset_n : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
-- Calibration signals
mcb_drp_clk : in std_logic;
calib_done : out std_logic;
selfrefresh_enter : in std_logic;
selfrefresh_mode : out std_logic
);
end entity;
architecture acch of memc3_wrapper is
component mcb_raw_wrapper IS
GENERIC (
C_MEMCLK_PERIOD : integer;
C_PORT_ENABLE : std_logic_vector(5 downto 0);
C_MEM_ADDR_ORDER : string;
C_ARB_NUM_TIME_SLOTS : integer;
C_ARB_TIME_SLOT_0 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_1 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_2 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_3 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_4 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_5 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_6 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_7 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_8 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_9 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_10 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_11 : bit_vector(17 downto 0);
C_PORT_CONFIG : string;
C_MEM_TRAS : integer;
C_MEM_TRCD : integer;
C_MEM_TREFI : integer;
C_MEM_TRFC : integer;
C_MEM_TRP : integer;
C_MEM_TWR : integer;
C_MEM_TRTP : integer;
C_MEM_TWTR : integer;
C_NUM_DQ_PINS : integer;
C_MEM_TYPE : string;
C_MEM_DENSITY : string;
C_MEM_BURST_LEN : integer;
C_MEM_CAS_LATENCY : integer;
C_MEM_ADDR_WIDTH : integer;
C_MEM_BANKADDR_WIDTH : integer;
C_MEM_NUM_COL_BITS : integer;
C_MEM_DDR3_CAS_LATENCY : integer;
C_MEM_MOBILE_PA_SR : string;
C_MEM_DDR1_2_ODS : string;
C_MEM_DDR3_ODS : string;
C_MEM_DDR2_RTT : string;
C_MEM_DDR3_RTT : string;
C_MEM_MDDR_ODS : string;
C_MEM_DDR2_DIFF_DQS_EN : string;
C_MEM_DDR2_3_PA_SR : string;
C_MEM_DDR3_CAS_WR_LATENCY : integer;
C_MEM_DDR3_AUTO_SR : string;
C_MEM_DDR2_3_HIGH_TEMP_SR : string;
C_MEM_DDR3_DYN_WRT_ODT : string;
C_MC_CALIB_BYPASS : string;
C_MC_CALIBRATION_RA : bit_vector(15 DOWNTO 0);
C_MC_CALIBRATION_BA : bit_vector(2 DOWNTO 0);
C_CALIB_SOFT_IP : string;
C_MC_CALIBRATION_CA : bit_vector(11 DOWNTO 0);
C_MC_CALIBRATION_CLK_DIV : integer;
C_MC_CALIBRATION_MODE : string;
C_MC_CALIBRATION_DELAY : string;
LDQSP_TAP_DELAY_VAL : integer;
UDQSP_TAP_DELAY_VAL : integer;
LDQSN_TAP_DELAY_VAL : integer;
UDQSN_TAP_DELAY_VAL : integer;
DQ0_TAP_DELAY_VAL : integer;
DQ1_TAP_DELAY_VAL : integer;
DQ2_TAP_DELAY_VAL : integer;
DQ3_TAP_DELAY_VAL : integer;
DQ4_TAP_DELAY_VAL : integer;
DQ5_TAP_DELAY_VAL : integer;
DQ6_TAP_DELAY_VAL : integer;
DQ7_TAP_DELAY_VAL : integer;
DQ8_TAP_DELAY_VAL : integer;
DQ9_TAP_DELAY_VAL : integer;
DQ10_TAP_DELAY_VAL : integer;
DQ11_TAP_DELAY_VAL : integer;
DQ12_TAP_DELAY_VAL : integer;
DQ13_TAP_DELAY_VAL : integer;
DQ14_TAP_DELAY_VAL : integer;
DQ15_TAP_DELAY_VAL : integer;
C_P0_MASK_SIZE : integer;
C_P0_DATA_PORT_SIZE : integer;
C_P1_MASK_SIZE : integer;
C_P1_DATA_PORT_SIZE : integer;
C_SIMULATION : string ;
C_SKIP_IN_TERM_CAL : integer;
C_SKIP_DYNAMIC_CAL : integer;
C_SKIP_DYN_IN_TERM : integer;
C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0)
);
PORT (
-- HIGH-SPEED PLL clock interface
sysclk_2x : in std_logic;
sysclk_2x_180 : in std_logic;
pll_ce_0 : in std_logic;
pll_ce_90 : in std_logic;
pll_lock : in std_logic;
sys_rst : in std_logic;
p0_arb_en : in std_logic;
p0_cmd_clk : in std_logic;
p0_cmd_en : in std_logic;
p0_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p0_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p0_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p0_cmd_empty : out std_logic;
p0_cmd_full : out std_logic;
p0_wr_clk : in std_logic;
p0_wr_en : in std_logic;
p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 DOWNTO 0);
p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0);
p0_wr_full : out std_logic;
p0_wr_empty : out std_logic;
p0_wr_count : out std_logic_vector(6 DOWNTO 0);
p0_wr_underrun : out std_logic;
p0_wr_error : out std_logic;
p0_rd_clk : in std_logic;
p0_rd_en : in std_logic;
p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0);
p0_rd_full : out std_logic;
p0_rd_empty : out std_logic;
p0_rd_count : out std_logic_vector(6 DOWNTO 0);
p0_rd_overflow : out std_logic;
p0_rd_error : out std_logic;
p1_arb_en : in std_logic;
p1_cmd_clk : in std_logic;
p1_cmd_en : in std_logic;
p1_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p1_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p1_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p1_cmd_empty : out std_logic;
p1_cmd_full : out std_logic;
p1_wr_clk : in std_logic;
p1_wr_en : in std_logic;
p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 DOWNTO 0);
p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0);
p1_wr_full : out std_logic;
p1_wr_empty : out std_logic;
p1_wr_count : out std_logic_vector(6 DOWNTO 0);
p1_wr_underrun : out std_logic;
p1_wr_error : out std_logic;
p1_rd_clk : in std_logic;
p1_rd_en : in std_logic;
p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0);
p1_rd_full : out std_logic;
p1_rd_empty : out std_logic;
p1_rd_count : out std_logic_vector(6 DOWNTO 0);
p1_rd_overflow : out std_logic;
p1_rd_error : out std_logic;
p2_arb_en : in std_logic;
p2_cmd_clk : in std_logic;
p2_cmd_en : in std_logic;
p2_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p2_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p2_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p2_cmd_empty : out std_logic;
p2_cmd_full : out std_logic;
p2_wr_clk : in std_logic;
p2_wr_en : in std_logic;
p2_wr_mask : in std_logic_vector(3 DOWNTO 0);
p2_wr_data : in std_logic_vector(31 DOWNTO 0);
p2_wr_full : out std_logic;
p2_wr_empty : out std_logic;
p2_wr_count : out std_logic_vector(6 DOWNTO 0);
p2_wr_underrun : out std_logic;
p2_wr_error : out std_logic;
p2_rd_clk : in std_logic;
p2_rd_en : in std_logic;
p2_rd_data : out std_logic_vector(31 DOWNTO 0);
p2_rd_full : out std_logic;
p2_rd_empty : out std_logic;
p2_rd_count : out std_logic_vector(6 DOWNTO 0);
p2_rd_overflow : out std_logic;
p2_rd_error : out std_logic;
p3_arb_en : in std_logic;
p3_cmd_clk : in std_logic;
p3_cmd_en : in std_logic;
p3_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p3_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p3_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p3_cmd_empty : out std_logic;
p3_cmd_full : out std_logic;
p3_wr_clk : in std_logic;
p3_wr_en : in std_logic;
p3_wr_mask : in std_logic_vector(3 DOWNTO 0);
p3_wr_data : in std_logic_vector(31 DOWNTO 0);
p3_wr_full : out std_logic;
p3_wr_empty : out std_logic;
p3_wr_count : out std_logic_vector(6 DOWNTO 0);
p3_wr_underrun : out std_logic;
p3_wr_error : out std_logic;
p3_rd_clk : in std_logic;
p3_rd_en : in std_logic;
p3_rd_data : out std_logic_vector(31 DOWNTO 0);
p3_rd_full : out std_logic;
p3_rd_empty : out std_logic;
p3_rd_count : out std_logic_vector(6 DOWNTO 0);
p3_rd_overflow : out std_logic;
p3_rd_error : out std_logic;
p4_arb_en : in std_logic;
p4_cmd_clk : in std_logic;
p4_cmd_en : in std_logic;
p4_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p4_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p4_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p4_cmd_empty : out std_logic;
p4_cmd_full : out std_logic;
p4_wr_clk : in std_logic;
p4_wr_en : in std_logic;
p4_wr_mask : in std_logic_vector(3 DOWNTO 0);
p4_wr_data : in std_logic_vector(31 DOWNTO 0);
p4_wr_full : out std_logic;
p4_wr_empty : out std_logic;
p4_wr_count : out std_logic_vector(6 DOWNTO 0);
p4_wr_underrun : out std_logic;
p4_wr_error : out std_logic;
p4_rd_clk : in std_logic;
p4_rd_en : in std_logic;
p4_rd_data : out std_logic_vector(31 DOWNTO 0);
p4_rd_full : out std_logic;
p4_rd_empty : out std_logic;
p4_rd_count : out std_logic_vector(6 DOWNTO 0);
p4_rd_overflow : out std_logic;
p4_rd_error : out std_logic;
p5_arb_en : in std_logic;
p5_cmd_clk : in std_logic;
p5_cmd_en : in std_logic;
p5_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p5_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p5_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p5_cmd_empty : out std_logic;
p5_cmd_full : out std_logic;
p5_wr_clk : in std_logic;
p5_wr_en : in std_logic;
p5_wr_mask : in std_logic_vector(3 DOWNTO 0);
p5_wr_data : in std_logic_vector(31 DOWNTO 0);
p5_wr_full : out std_logic;
p5_wr_empty : out std_logic;
p5_wr_count : out std_logic_vector(6 DOWNTO 0);
p5_wr_underrun : out std_logic;
p5_wr_error : out std_logic;
p5_rd_clk : in std_logic;
p5_rd_en : in std_logic;
p5_rd_data : out std_logic_vector(31 DOWNTO 0);
p5_rd_full : out std_logic;
p5_rd_empty : out std_logic;
p5_rd_count : out std_logic_vector(6 DOWNTO 0);
p5_rd_overflow : out std_logic;
p5_rd_error : out std_logic;
mcbx_dram_addr : out std_logic_vector(C_MEM_ADDR_WIDTH - 1 DOWNTO 0);
mcbx_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH - 1 DOWNTO 0);
mcbx_dram_ras_n : out std_logic;
mcbx_dram_cas_n : out std_logic;
mcbx_dram_we_n : out std_logic;
mcbx_dram_cke : out std_logic;
mcbx_dram_clk : out std_logic;
mcbx_dram_clk_n : out std_logic;
mcbx_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 DOWNTO 0);
mcbx_dram_dqs : inout std_logic;
mcbx_dram_dqs_n : inout std_logic;
mcbx_dram_udqs : inout std_logic;
mcbx_dram_udqs_n : inout std_logic;
mcbx_dram_udm : out std_logic;
mcbx_dram_ldm : out std_logic;
mcbx_dram_odt : out std_logic;
mcbx_dram_ddr3_rst : out std_logic;
calib_recal : in std_logic;
rzq : inout std_logic;
zio : inout std_logic;
ui_read : in std_logic;
ui_add : in std_logic;
ui_cs : in std_logic;
ui_clk : in std_logic;
ui_sdi : in std_logic;
ui_addr : in std_logic_vector(4 DOWNTO 0);
ui_broadcast : in std_logic;
ui_drp_update : in std_logic;
ui_done_cal : in std_logic;
ui_cmd : in std_logic;
ui_cmd_in : in std_logic;
ui_cmd_en : in std_logic;
ui_dqcount : in std_logic_vector(3 DOWNTO 0);
ui_dq_lower_dec : in std_logic;
ui_dq_lower_inc : in std_logic;
ui_dq_upper_dec : in std_logic;
ui_dq_upper_inc : in std_logic;
ui_udqs_inc : in std_logic;
ui_udqs_dec : in std_logic;
ui_ldqs_inc : in std_logic;
ui_ldqs_dec : in std_logic;
uo_data : out std_logic_vector(7 DOWNTO 0);
uo_data_valid : out std_logic;
uo_done_cal : out std_logic;
uo_cmd_ready_in : out std_logic;
uo_refrsh_flag : out std_logic;
uo_cal_start : out std_logic;
uo_sdo : out std_logic;
status : out std_logic_vector(31 DOWNTO 0);
selfrefresh_enter : in std_logic;
selfrefresh_mode : out std_logic
);
end component;
signal uo_data : std_logic_vector(7 downto 0);
constant C_PORT_ENABLE : std_logic_vector(5 downto 0) := "000001";
constant C_PORT_CONFIG : string := "B32_B32_R32_R32_R32_R32";
constant ARB_TIME_SLOT_0 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_0(2 downto 0));
constant ARB_TIME_SLOT_1 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_1(2 downto 0));
constant ARB_TIME_SLOT_2 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_2(2 downto 0));
constant ARB_TIME_SLOT_3 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_3(2 downto 0));
constant ARB_TIME_SLOT_4 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_4(2 downto 0));
constant ARB_TIME_SLOT_5 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_5(2 downto 0));
constant ARB_TIME_SLOT_6 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_6(2 downto 0));
constant ARB_TIME_SLOT_7 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_7(2 downto 0));
constant ARB_TIME_SLOT_8 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_8(2 downto 0));
constant ARB_TIME_SLOT_9 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_9(2 downto 0));
constant ARB_TIME_SLOT_10 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_10(2 downto 0));
constant ARB_TIME_SLOT_11 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_11(2 downto 0));
constant C_MC_CALIBRATION_CLK_DIV : integer := 1;
constant C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000" + "0000010000"; -- 16 cycles are added to avoid trfc violations
constant C_SKIP_DYN_IN_TERM : integer := 1;
constant C_MC_CALIBRATION_RA : bit_vector(15 downto 0) := X"0000";
constant C_MC_CALIBRATION_BA : bit_vector(2 downto 0) := o"0";
constant C_MC_CALIBRATION_CA : bit_vector(11 downto 0) := X"000";
constant C_MEM_DDR3_DYN_WRT_ODT : string := "OFF";
signal status : std_logic_vector(31 downto 0);
signal uo_data_valid : std_logic;
signal uo_cmd_ready_in : std_logic;
signal uo_refrsh_flag : std_logic;
signal uo_cal_start : std_logic;
signal uo_sdo : std_logic;
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of acch : architecture IS
"mig_v3_9_ddr3_s6, Coregen 13.3";
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of acch : architecture IS "mcb3_ddr3_s6,mig_v3_9,{LANGUAGE=VHDL, SYNTHESIS_TOOL=ISE, NO_OF_CONTROLLERS=2, AXI_ENABLE=0, MEM_INTERFACE_TYPE=DDR3_SDRAM, CLK_PERIOD=2500, MEMORY_PART=mt41j128m16xx-15e, MEMORY_DEVICE_WIDTH=16, OUTPUT_DRV=DIV6, RTT_NOM=DIV4, AUTO_SR=ENABLED, HIGH_TEMP_SR=NORMAL, PORT_CONFIG=Two 32-bit bi-directional and four 32-bit unidirectional ports, MEM_ADDR_ORDER=ROW_BANK_COLUMN, PORT_ENABLE=Port0, INPUT_PIN_TERMINATION=CALIB_TERM, DATA_TERMINATION=25 Ohms, CLKFBOUT_MULT_F=2, CLKOUT_DIVIDE=1, DEBUG_PORT=0, INPUT_CLK_TYPE=Differential}";
begin
memc3_mcb_raw_wrapper_inst : mcb_raw_wrapper
generic map
(
C_MEMCLK_PERIOD => C_MEMCLK_PERIOD,
C_P0_MASK_SIZE => C_P0_MASK_SIZE,
C_P0_DATA_PORT_SIZE => C_P0_DATA_PORT_SIZE,
C_P1_MASK_SIZE => C_P1_MASK_SIZE,
C_P1_DATA_PORT_SIZE => C_P1_DATA_PORT_SIZE,
C_ARB_NUM_TIME_SLOTS => C_ARB_NUM_TIME_SLOTS,
C_ARB_TIME_SLOT_0 => ARB_TIME_SLOT_0,
C_ARB_TIME_SLOT_1 => ARB_TIME_SLOT_1,
C_ARB_TIME_SLOT_2 => ARB_TIME_SLOT_2,
C_ARB_TIME_SLOT_3 => ARB_TIME_SLOT_3,
C_ARB_TIME_SLOT_4 => ARB_TIME_SLOT_4,
C_ARB_TIME_SLOT_5 => ARB_TIME_SLOT_5,
C_ARB_TIME_SLOT_6 => ARB_TIME_SLOT_6,
C_ARB_TIME_SLOT_7 => ARB_TIME_SLOT_7,
C_ARB_TIME_SLOT_8 => ARB_TIME_SLOT_8,
C_ARB_TIME_SLOT_9 => ARB_TIME_SLOT_9,
C_ARB_TIME_SLOT_10 => ARB_TIME_SLOT_10,
C_ARB_TIME_SLOT_11 => ARB_TIME_SLOT_11,
C_PORT_CONFIG => C_PORT_CONFIG,
C_PORT_ENABLE => C_PORT_ENABLE,
C_MEM_TRAS => C_MEM_TRAS,
C_MEM_TRCD => C_MEM_TRCD,
C_MEM_TREFI => C_MEM_TREFI,
C_MEM_TRFC => C_MEM_TRFC,
C_MEM_TRP => C_MEM_TRP,
C_MEM_TWR => C_MEM_TWR,
C_MEM_TRTP => C_MEM_TRTP,
C_MEM_TWTR => C_MEM_TWTR,
C_MEM_ADDR_ORDER => C_MEM_ADDR_ORDER,
C_NUM_DQ_PINS => C_NUM_DQ_PINS,
C_MEM_TYPE => C_MEM_TYPE,
C_MEM_DENSITY => C_MEM_DENSITY,
C_MEM_BURST_LEN => C_MEM_BURST_LEN,
C_MEM_CAS_LATENCY => C_MEM_CAS_LATENCY,
C_MEM_ADDR_WIDTH => C_MEM_ADDR_WIDTH,
C_MEM_BANKADDR_WIDTH => C_MEM_BANKADDR_WIDTH,
C_MEM_NUM_COL_BITS => C_MEM_NUM_COL_BITS,
C_MEM_DDR1_2_ODS => C_MEM_DDR1_2_ODS,
C_MEM_DDR2_RTT => C_MEM_DDR2_RTT,
C_MEM_DDR2_DIFF_DQS_EN => C_MEM_DDR2_DIFF_DQS_EN,
C_MEM_DDR2_3_PA_SR => C_MEM_DDR2_3_PA_SR,
C_MEM_DDR2_3_HIGH_TEMP_SR => C_MEM_DDR2_3_HIGH_TEMP_SR,
C_MEM_DDR3_CAS_LATENCY => C_MEM_DDR3_CAS_LATENCY,
C_MEM_DDR3_ODS => C_MEM_DDR3_ODS,
C_MEM_DDR3_RTT => C_MEM_DDR3_RTT,
C_MEM_DDR3_CAS_WR_LATENCY => C_MEM_DDR3_CAS_WR_LATENCY,
C_MEM_DDR3_AUTO_SR => C_MEM_DDR3_AUTO_SR,
C_MEM_DDR3_DYN_WRT_ODT => C_MEM_DDR3_DYN_WRT_ODT,
C_MEM_MOBILE_PA_SR => C_MEM_MOBILE_PA_SR,
C_MEM_MDDR_ODS => C_MEM_MDDR_ODS,
C_MC_CALIBRATION_CLK_DIV => C_MC_CALIBRATION_CLK_DIV,
C_MC_CALIBRATION_MODE => C_MC_CALIBRATION_MODE,
C_MC_CALIBRATION_DELAY => C_MC_CALIBRATION_DELAY,
C_MC_CALIB_BYPASS => C_MC_CALIB_BYPASS,
C_MC_CALIBRATION_RA => C_MC_CALIBRATION_RA,
C_MC_CALIBRATION_BA => C_MC_CALIBRATION_BA,
C_MC_CALIBRATION_CA => C_MC_CALIBRATION_CA,
C_CALIB_SOFT_IP => C_CALIB_SOFT_IP,
C_SIMULATION => C_SIMULATION,
C_SKIP_IN_TERM_CAL => C_SKIP_IN_TERM_CAL,
C_SKIP_DYNAMIC_CAL => C_SKIP_DYNAMIC_CAL,
C_SKIP_DYN_IN_TERM => C_SKIP_DYN_IN_TERM,
C_MEM_TZQINIT_MAXCNT => C_MEM_TZQINIT_MAXCNT,
LDQSP_TAP_DELAY_VAL => C_LDQSP_TAP_DELAY_VAL,
UDQSP_TAP_DELAY_VAL => C_UDQSP_TAP_DELAY_VAL,
LDQSN_TAP_DELAY_VAL => C_LDQSN_TAP_DELAY_VAL,
UDQSN_TAP_DELAY_VAL => C_UDQSN_TAP_DELAY_VAL,
DQ0_TAP_DELAY_VAL => C_DQ0_TAP_DELAY_VAL,
DQ1_TAP_DELAY_VAL => C_DQ1_TAP_DELAY_VAL,
DQ2_TAP_DELAY_VAL => C_DQ2_TAP_DELAY_VAL,
DQ3_TAP_DELAY_VAL => C_DQ3_TAP_DELAY_VAL,
DQ4_TAP_DELAY_VAL => C_DQ4_TAP_DELAY_VAL,
DQ5_TAP_DELAY_VAL => C_DQ5_TAP_DELAY_VAL,
DQ6_TAP_DELAY_VAL => C_DQ6_TAP_DELAY_VAL,
DQ7_TAP_DELAY_VAL => C_DQ7_TAP_DELAY_VAL,
DQ8_TAP_DELAY_VAL => C_DQ8_TAP_DELAY_VAL,
DQ9_TAP_DELAY_VAL => C_DQ9_TAP_DELAY_VAL,
DQ10_TAP_DELAY_VAL => C_DQ10_TAP_DELAY_VAL,
DQ11_TAP_DELAY_VAL => C_DQ11_TAP_DELAY_VAL,
DQ12_TAP_DELAY_VAL => C_DQ12_TAP_DELAY_VAL,
DQ13_TAP_DELAY_VAL => C_DQ13_TAP_DELAY_VAL,
DQ14_TAP_DELAY_VAL => C_DQ14_TAP_DELAY_VAL,
DQ15_TAP_DELAY_VAL => C_DQ15_TAP_DELAY_VAL
)
port map
(
sys_rst => async_rst,
sysclk_2x => sysclk_2x,
sysclk_2x_180 => sysclk_2x_180,
pll_ce_0 => pll_ce_0,
pll_ce_90 => pll_ce_90,
pll_lock => pll_lock,
mcbx_dram_addr => mcb3_dram_a,
mcbx_dram_ba => mcb3_dram_ba,
mcbx_dram_ras_n => mcb3_dram_ras_n,
mcbx_dram_cas_n => mcb3_dram_cas_n,
mcbx_dram_we_n => mcb3_dram_we_n,
mcbx_dram_cke => mcb3_dram_cke,
mcbx_dram_clk => mcb3_dram_ck,
mcbx_dram_clk_n => mcb3_dram_ck_n,
mcbx_dram_dq => mcb3_dram_dq,
mcbx_dram_odt => mcb3_dram_odt,
mcbx_dram_ldm => mcb3_dram_dm,
mcbx_dram_udm => mcb3_dram_udm,
mcbx_dram_dqs => mcb3_dram_dqs,
mcbx_dram_dqs_n => mcb3_dram_dqs_n,
mcbx_dram_udqs => mcb3_dram_udqs,
mcbx_dram_udqs_n => mcb3_dram_udqs_n,
mcbx_dram_ddr3_rst => mcb3_dram_reset_n,
calib_recal => '0',
rzq => mcb3_rzq,
zio => mcb3_zio,
ui_read => '0',
ui_add => '0',
ui_cs => '0',
ui_clk => mcb_drp_clk,
ui_sdi => '0',
ui_addr => (others => '0'),
ui_broadcast => '0',
ui_drp_update => '0',
ui_done_cal => '1',
ui_cmd => '0',
ui_cmd_in => '0',
ui_cmd_en => '0',
ui_dqcount => (others => '0'),
ui_dq_lower_dec => '0',
ui_dq_lower_inc => '0',
ui_dq_upper_dec => '0',
ui_dq_upper_inc => '0',
ui_udqs_inc => '0',
ui_udqs_dec => '0',
ui_ldqs_inc => '0',
ui_ldqs_dec => '0',
uo_data => uo_data,
uo_data_valid => uo_data_valid,
uo_done_cal => calib_done,
uo_cmd_ready_in => uo_cmd_ready_in,
uo_refrsh_flag => uo_refrsh_flag,
uo_cal_start => uo_cal_start,
uo_sdo => uo_sdo,
status => status,
selfrefresh_enter => '0',
selfrefresh_mode => selfrefresh_mode,
p0_arb_en => '1',
p0_cmd_clk => p0_cmd_clk,
p0_cmd_en => p0_cmd_en,
p0_cmd_instr => p0_cmd_instr,
p0_cmd_bl => p0_cmd_bl,
p0_cmd_byte_addr => p0_cmd_byte_addr,
p0_cmd_empty => p0_cmd_empty,
p0_cmd_full => p0_cmd_full,
p0_wr_clk => p0_wr_clk,
p0_wr_en => p0_wr_en,
p0_wr_mask => p0_wr_mask,
p0_wr_data => p0_wr_data,
p0_wr_full => p0_wr_full,
p0_wr_empty => p0_wr_empty,
p0_wr_count => p0_wr_count,
p0_wr_underrun => p0_wr_underrun,
p0_wr_error => p0_wr_error,
p0_rd_clk => p0_rd_clk,
p0_rd_en => p0_rd_en,
p0_rd_data => p0_rd_data,
p0_rd_full => p0_rd_full,
p0_rd_empty => p0_rd_empty,
p0_rd_count => p0_rd_count,
p0_rd_overflow => p0_rd_overflow,
p0_rd_error => p0_rd_error,
p1_arb_en => '0',
p1_cmd_clk => '0',
p1_cmd_en => '0',
p1_cmd_instr => (others => '0'),
p1_cmd_bl => (others => '0'),
p1_cmd_byte_addr => (others => '0'),
p1_cmd_empty => open,
p1_cmd_full => open,
p1_rd_clk => '0',
p1_rd_en => '0',
p1_rd_data => open,
p1_rd_full => open,
p1_rd_empty => open,
p1_rd_count => open,
p1_rd_overflow => open,
p1_rd_error => open,
p1_wr_clk => '0',
p1_wr_en => '0',
p1_wr_mask => (others => '0'),
p1_wr_data => (others => '0'),
p1_wr_full => open,
p1_wr_empty => open,
p1_wr_count => open,
p1_wr_underrun => open,
p1_wr_error => open,
p2_arb_en => '0',
p2_cmd_clk => '0',
p2_cmd_en => '0',
p2_cmd_instr => (others => '0'),
p2_cmd_bl => (others => '0'),
p2_cmd_byte_addr => (others => '0'),
p2_cmd_empty => open,
p2_cmd_full => open,
p2_rd_clk => '0',
p2_rd_en => '0',
p2_rd_data => open,
p2_rd_full => open,
p2_rd_empty => open,
p2_rd_count => open,
p2_rd_overflow => open,
p2_rd_error => open,
p2_wr_clk => '0',
p2_wr_en => '0',
p2_wr_mask => (others => '0'),
p2_wr_data => (others => '0'),
p2_wr_full => open,
p2_wr_empty => open,
p2_wr_count => open,
p2_wr_underrun => open,
p2_wr_error => open,
p3_arb_en => '0',
p3_cmd_clk => '0',
p3_cmd_en => '0',
p3_cmd_instr => (others => '0'),
p3_cmd_bl => (others => '0'),
p3_cmd_byte_addr => (others => '0'),
p3_cmd_empty => open,
p3_cmd_full => open,
p3_rd_clk => '0',
p3_rd_en => '0',
p3_rd_data => open,
p3_rd_full => open,
p3_rd_empty => open,
p3_rd_count => open,
p3_rd_overflow => open,
p3_rd_error => open,
p3_wr_clk => '0',
p3_wr_en => '0',
p3_wr_mask => (others => '0'),
p3_wr_data => (others => '0'),
p3_wr_full => open,
p3_wr_empty => open,
p3_wr_count => open,
p3_wr_underrun => open,
p3_wr_error => open,
p4_arb_en => '0',
p4_cmd_clk => '0',
p4_cmd_en => '0',
p4_cmd_instr => (others => '0'),
p4_cmd_bl => (others => '0'),
p4_cmd_byte_addr => (others => '0'),
p4_cmd_empty => open,
p4_cmd_full => open,
p4_rd_clk => '0',
p4_rd_en => '0',
p4_rd_data => open,
p4_rd_full => open,
p4_rd_empty => open,
p4_rd_count => open,
p4_rd_overflow => open,
p4_rd_error => open,
p4_wr_clk => '0',
p4_wr_en => '0',
p4_wr_mask => (others => '0'),
p4_wr_data => (others => '0'),
p4_wr_full => open,
p4_wr_empty => open,
p4_wr_count => open,
p4_wr_underrun => open,
p4_wr_error => open,
p5_arb_en => '0',
p5_cmd_clk => '0',
p5_cmd_en => '0',
p5_cmd_instr => (others => '0'),
p5_cmd_bl => (others => '0'),
p5_cmd_byte_addr => (others => '0'),
p5_cmd_empty => open,
p5_cmd_full => open,
p5_rd_clk => '0',
p5_rd_en => '0',
p5_rd_data => open,
p5_rd_full => open,
p5_rd_empty => open,
p5_rd_count => open,
p5_rd_overflow => open,
p5_rd_error => open,
p5_wr_clk => '0',
p5_wr_en => '0',
p5_wr_mask => (others => '0'),
p5_wr_data => (others => '0'),
p5_wr_full => open,
p5_wr_empty => open,
p5_wr_count => open,
p5_wr_underrun => open,
p5_wr_error => open
);
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:32:46 06/20/2014
-- Design Name:
-- Module Name: channel_avg - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY channel_avg IS
GENERIC (
NCH : positive := 16;
OUTCH_WIDTH : positive := 16;
INTERNAL_WIDTH : positive := 32;
INDATA_WIDTH : positive := 256;
OUTDATA_WIDTH : positive := 256
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
-- high 4-bit is offset, 2**(low 4-bit) is number of points to average
CONFIG : IN std_logic_vector(7 DOWNTO 0);
TRIG : IN std_logic;
INDATA_Q : IN std_logic_vector(INDATA_WIDTH-1 DOWNTO 0);
OUTVALID : OUT std_logic;
OUTDATA_Q : OUT std_logic_vector(OUTDATA_WIDTH-1 DOWNTO 0)
);
END channel_avg;
ARCHITECTURE Behavioral OF channel_avg IS
SIGNAL trig_prev : std_logic;
SIGNAL trig_prev1 : std_logic;
SIGNAL trig_prev2 : std_logic;
SIGNAL trig_synced : std_logic;
--
SIGNAL avg_n : positive;
--
TYPE INTERNALVAL IS ARRAY(NCH-1 DOWNTO 0) OF signed(INTERNAL_WIDTH-1 DOWNTO 0);
SIGNAL inch_val : INTERNALVAL;
SIGNAL internal_val : INTERNALVAL;
BEGIN
PROCESS (CLK) IS
VARIABLE i : integer;
BEGIN
IF falling_edge(CLK) THEN -- register half-cycle earlier
FOR i IN 0 TO NCH-1 LOOP
inch_val(i) <= resize(signed(INDATA_Q(16*(i+1)-1 DOWNTO 16*i)), INTERNAL_WIDTH);
END LOOP;
END IF;
END PROCESS;
-- capture the rising edge of trigger
PROCESS (CLK, RESET) IS
BEGIN
IF RESET = '1' THEN
trig_prev <= '0';
trig_prev1 <= '0';
trig_prev2 <= '0';
ELSIF rising_edge(CLK) THEN
trig_prev <= TRIG;
trig_prev1 <= trig_prev;
trig_prev2 <= trig_prev1;
END IF;
END PROCESS;
trig_synced <= '1' WHEN trig_prev2 = '0' AND trig_prev1 = '1' ELSE '0';
avg_n <= to_integer(unsigned(CONFIG(3 DOWNTO 0)));
PROCESS (CLK, RESET) IS
VARIABLE i : integer;
VARIABLE j : unsigned(15 DOWNTO 0);
BEGIN
IF RESET = '1' THEN
FOR i IN 0 TO NCH-1 LOOP
internal_val(i) <= (OTHERS => '0');
END LOOP;
OUTVALID <= '0';
j := (OTHERS => '0');
ELSIF rising_edge(CLK) THEN
IF trig_synced = '1' THEN
j := resize(unsigned(CONFIG(7 DOWNTO 4)), j'length) + 1;
END IF;
FOR i IN 0 TO NCH-1 LOOP
IF j = 1 THEN
internal_val(i) <= inch_val(i);
ELSE
internal_val(i) <= internal_val(i) + inch_val(i);
END IF;
END LOOP;
IF j(avg_n) = '1' THEN
j := to_unsigned(1, j'length);
OUTVALID <= '1';
ELSE
j := j + 1;
OUTVALID <= '0';
END IF;
END IF;
END PROCESS;
outdata_q_inst : FOR i IN 0 TO NCH-1 GENERATE
OUTDATA_Q(OUTCH_WIDTH*(i+1)-1 DOWNTO OUTCH_WIDTH*i) <=
std_logic_vector(internal_val(i)(OUTCH_WIDTH-1+avg_n DOWNTO avg_n));
END GENERATE;
END Behavioral;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.