content
stringlengths 1
1.04M
⌀ |
---|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity deslocador_bit is
port (
);
end deslocador_bit;
architecture Behavioral of deslocador_bit is
begin
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity deslocador_bit is
port (
);
end deslocador_bit;
architecture Behavioral of deslocador_bit is
begin
end Behavioral;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! Mux entity brief description
--! Detailed description of this
--! mux design element.
entity mux_using_with is
port (
din_0 : in std_logic; --! Mux first input
din_1 : in std_logic; --! Mux Second input
sel : in std_logic; --! Select input
mux_out : out std_logic --! Mux output
);
end entity;
--! @brief Architure definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin
with (sel) select
mux_out <= din_0 when '0',
din_1 when others;
end architecture;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016
-- Date : Fri Sep 22 20:11:26 2017
-- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ tx_axis_gen_sim_netlist.vhdl
-- Design : tx_axis_gen
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7k325tffg676-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dmem is
port (
\goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 64 downto 0 );
clk : in STD_LOGIC;
EN : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 );
\gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dmem;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dmem is
signal p_0_out : STD_LOGIC_VECTOR ( 64 downto 0 );
signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute METHODOLOGY_DRC_VIOS : string;
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_64 : label is "";
attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is "";
begin
RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(1 downto 0),
DIB(1 downto 0) => din(3 downto 2),
DIC(1 downto 0) => din(5 downto 4),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(1 downto 0),
DOB(1 downto 0) => p_0_out(3 downto 2),
DOC(1 downto 0) => p_0_out(5 downto 4),
DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(13 downto 12),
DIB(1 downto 0) => din(15 downto 14),
DIC(1 downto 0) => din(17 downto 16),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(13 downto 12),
DOB(1 downto 0) => p_0_out(15 downto 14),
DOC(1 downto 0) => p_0_out(17 downto 16),
DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(19 downto 18),
DIB(1 downto 0) => din(21 downto 20),
DIC(1 downto 0) => din(23 downto 22),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(19 downto 18),
DOB(1 downto 0) => p_0_out(21 downto 20),
DOC(1 downto 0) => p_0_out(23 downto 22),
DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(25 downto 24),
DIB(1 downto 0) => din(27 downto 26),
DIC(1 downto 0) => din(29 downto 28),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(25 downto 24),
DOB(1 downto 0) => p_0_out(27 downto 26),
DOC(1 downto 0) => p_0_out(29 downto 28),
DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(31 downto 30),
DIB(1 downto 0) => din(33 downto 32),
DIC(1 downto 0) => din(35 downto 34),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(31 downto 30),
DOB(1 downto 0) => p_0_out(33 downto 32),
DOC(1 downto 0) => p_0_out(35 downto 34),
DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(37 downto 36),
DIB(1 downto 0) => din(39 downto 38),
DIC(1 downto 0) => din(41 downto 40),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(37 downto 36),
DOB(1 downto 0) => p_0_out(39 downto 38),
DOC(1 downto 0) => p_0_out(41 downto 40),
DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(43 downto 42),
DIB(1 downto 0) => din(45 downto 44),
DIC(1 downto 0) => din(47 downto 46),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(43 downto 42),
DOB(1 downto 0) => p_0_out(45 downto 44),
DOC(1 downto 0) => p_0_out(47 downto 46),
DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(49 downto 48),
DIB(1 downto 0) => din(51 downto 50),
DIC(1 downto 0) => din(53 downto 52),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(49 downto 48),
DOB(1 downto 0) => p_0_out(51 downto 50),
DOC(1 downto 0) => p_0_out(53 downto 52),
DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(55 downto 54),
DIB(1 downto 0) => din(57 downto 56),
DIC(1 downto 0) => din(59 downto 58),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(55 downto 54),
DOB(1 downto 0) => p_0_out(57 downto 56),
DOC(1 downto 0) => p_0_out(59 downto 58),
DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_60_64: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(61 downto 60),
DIB(1 downto 0) => din(63 downto 62),
DIC(1) => '0',
DIC(0) => din(64),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(61 downto 60),
DOB(1 downto 0) => p_0_out(63 downto 62),
DOC(1) => NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED(1),
DOC(0) => p_0_out(64),
DOD(1 downto 0) => NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => Q(3 downto 0),
DIA(1 downto 0) => din(7 downto 6),
DIB(1 downto 0) => din(9 downto 8),
DIC(1 downto 0) => din(11 downto 10),
DID(1 downto 0) => B"00",
DOA(1 downto 0) => p_0_out(7 downto 6),
DOB(1 downto 0) => p_0_out(9 downto 8),
DOC(1 downto 0) => p_0_out(11 downto 10),
DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => EN
);
\gpr1.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(0),
Q => \goreg_dm.dout_i_reg[64]\(0)
);
\gpr1.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(10),
Q => \goreg_dm.dout_i_reg[64]\(10)
);
\gpr1.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(11),
Q => \goreg_dm.dout_i_reg[64]\(11)
);
\gpr1.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(12),
Q => \goreg_dm.dout_i_reg[64]\(12)
);
\gpr1.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(13),
Q => \goreg_dm.dout_i_reg[64]\(13)
);
\gpr1.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(14),
Q => \goreg_dm.dout_i_reg[64]\(14)
);
\gpr1.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(15),
Q => \goreg_dm.dout_i_reg[64]\(15)
);
\gpr1.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(16),
Q => \goreg_dm.dout_i_reg[64]\(16)
);
\gpr1.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(17),
Q => \goreg_dm.dout_i_reg[64]\(17)
);
\gpr1.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(18),
Q => \goreg_dm.dout_i_reg[64]\(18)
);
\gpr1.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(19),
Q => \goreg_dm.dout_i_reg[64]\(19)
);
\gpr1.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(1),
Q => \goreg_dm.dout_i_reg[64]\(1)
);
\gpr1.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(20),
Q => \goreg_dm.dout_i_reg[64]\(20)
);
\gpr1.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(21),
Q => \goreg_dm.dout_i_reg[64]\(21)
);
\gpr1.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(22),
Q => \goreg_dm.dout_i_reg[64]\(22)
);
\gpr1.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(23),
Q => \goreg_dm.dout_i_reg[64]\(23)
);
\gpr1.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(24),
Q => \goreg_dm.dout_i_reg[64]\(24)
);
\gpr1.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(25),
Q => \goreg_dm.dout_i_reg[64]\(25)
);
\gpr1.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(26),
Q => \goreg_dm.dout_i_reg[64]\(26)
);
\gpr1.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(27),
Q => \goreg_dm.dout_i_reg[64]\(27)
);
\gpr1.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(28),
Q => \goreg_dm.dout_i_reg[64]\(28)
);
\gpr1.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(29),
Q => \goreg_dm.dout_i_reg[64]\(29)
);
\gpr1.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(2),
Q => \goreg_dm.dout_i_reg[64]\(2)
);
\gpr1.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(30),
Q => \goreg_dm.dout_i_reg[64]\(30)
);
\gpr1.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(31),
Q => \goreg_dm.dout_i_reg[64]\(31)
);
\gpr1.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(32),
Q => \goreg_dm.dout_i_reg[64]\(32)
);
\gpr1.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(33),
Q => \goreg_dm.dout_i_reg[64]\(33)
);
\gpr1.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(34),
Q => \goreg_dm.dout_i_reg[64]\(34)
);
\gpr1.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(35),
Q => \goreg_dm.dout_i_reg[64]\(35)
);
\gpr1.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(36),
Q => \goreg_dm.dout_i_reg[64]\(36)
);
\gpr1.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(37),
Q => \goreg_dm.dout_i_reg[64]\(37)
);
\gpr1.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(38),
Q => \goreg_dm.dout_i_reg[64]\(38)
);
\gpr1.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(39),
Q => \goreg_dm.dout_i_reg[64]\(39)
);
\gpr1.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(3),
Q => \goreg_dm.dout_i_reg[64]\(3)
);
\gpr1.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(40),
Q => \goreg_dm.dout_i_reg[64]\(40)
);
\gpr1.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(41),
Q => \goreg_dm.dout_i_reg[64]\(41)
);
\gpr1.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(42),
Q => \goreg_dm.dout_i_reg[64]\(42)
);
\gpr1.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(43),
Q => \goreg_dm.dout_i_reg[64]\(43)
);
\gpr1.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(44),
Q => \goreg_dm.dout_i_reg[64]\(44)
);
\gpr1.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(45),
Q => \goreg_dm.dout_i_reg[64]\(45)
);
\gpr1.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(46),
Q => \goreg_dm.dout_i_reg[64]\(46)
);
\gpr1.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(47),
Q => \goreg_dm.dout_i_reg[64]\(47)
);
\gpr1.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(48),
Q => \goreg_dm.dout_i_reg[64]\(48)
);
\gpr1.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(49),
Q => \goreg_dm.dout_i_reg[64]\(49)
);
\gpr1.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(4),
Q => \goreg_dm.dout_i_reg[64]\(4)
);
\gpr1.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(50),
Q => \goreg_dm.dout_i_reg[64]\(50)
);
\gpr1.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(51),
Q => \goreg_dm.dout_i_reg[64]\(51)
);
\gpr1.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(52),
Q => \goreg_dm.dout_i_reg[64]\(52)
);
\gpr1.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(53),
Q => \goreg_dm.dout_i_reg[64]\(53)
);
\gpr1.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(54),
Q => \goreg_dm.dout_i_reg[64]\(54)
);
\gpr1.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(55),
Q => \goreg_dm.dout_i_reg[64]\(55)
);
\gpr1.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(56),
Q => \goreg_dm.dout_i_reg[64]\(56)
);
\gpr1.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(57),
Q => \goreg_dm.dout_i_reg[64]\(57)
);
\gpr1.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(58),
Q => \goreg_dm.dout_i_reg[64]\(58)
);
\gpr1.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(59),
Q => \goreg_dm.dout_i_reg[64]\(59)
);
\gpr1.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(5),
Q => \goreg_dm.dout_i_reg[64]\(5)
);
\gpr1.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(60),
Q => \goreg_dm.dout_i_reg[64]\(60)
);
\gpr1.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(61),
Q => \goreg_dm.dout_i_reg[64]\(61)
);
\gpr1.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(62),
Q => \goreg_dm.dout_i_reg[64]\(62)
);
\gpr1.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(63),
Q => \goreg_dm.dout_i_reg[64]\(63)
);
\gpr1.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(64),
Q => \goreg_dm.dout_i_reg[64]\(64)
);
\gpr1.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(6),
Q => \goreg_dm.dout_i_reg[64]\(6)
);
\gpr1.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(7),
Q => \goreg_dm.dout_i_reg[64]\(7)
);
\gpr1.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(8),
Q => \goreg_dm.dout_i_reg[64]\(8)
);
\gpr1.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_0_out(9),
Q => \goreg_dm.dout_i_reg[64]\(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
\gpr1.dout_i_reg[1]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair0";
begin
Q(3 downto 0) <= \^q\(3 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => plusOp(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => plusOp(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => plusOp(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => plusOp(3)
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(0),
Q => \gpr1.dout_i_reg[1]\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(1),
Q => \gpr1.dout_i_reg[1]\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(2),
Q => \gpr1.dout_i_reg[1]\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(3),
Q => \gpr1.dout_i_reg[1]\(3)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => E(0),
D => plusOp(0),
PRE => AR(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => plusOp(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => plusOp(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => plusOp(3),
Q => \^q\(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_fwft is
port (
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC;
\out\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_fwft;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_fwft is
signal aempty_fwft_fb_i : STD_LOGIC;
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true;
signal aempty_fwft_i : STD_LOGIC;
attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true;
signal aempty_fwft_i0 : STD_LOGIC;
signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true;
signal empty_fwft_fb_i : STD_LOGIC;
attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true;
signal empty_fwft_fb_o_i : STD_LOGIC;
attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true;
signal empty_fwft_fb_o_i0 : STD_LOGIC;
signal empty_fwft_i : STD_LOGIC;
attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true;
signal empty_fwft_i0 : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal user_valid : STD_LOGIC;
attribute DONT_TOUCH of user_valid : signal is std.standard.true;
attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of aempty_fwft_fb_i_reg : label is "yes";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no";
attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true;
attribute KEEP of aempty_fwft_i_reg : label is "yes";
attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no";
attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true;
attribute KEEP of empty_fwft_fb_i_reg : label is "yes";
attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no";
attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true;
attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes";
attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no";
attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true;
attribute KEEP of empty_fwft_i_reg : label is "yes";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true;
attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true;
attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true;
attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes";
attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no";
begin
empty <= empty_fwft_i;
aempty_fwft_fb_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EEFD8000"
)
port map (
I0 => curr_fwft_state(0),
I1 => \out\,
I2 => rd_en,
I3 => curr_fwft_state(1),
I4 => aempty_fwft_fb_i,
O => aempty_fwft_i0
);
aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => aempty_fwft_i0,
PRE => AR(0),
Q => aempty_fwft_fb_i
);
aempty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => aempty_fwft_i0,
PRE => AR(0),
Q => aempty_fwft_i
);
empty_fwft_fb_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F320"
)
port map (
I0 => rd_en,
I1 => curr_fwft_state(1),
I2 => curr_fwft_state(0),
I3 => empty_fwft_fb_i,
O => empty_fwft_i0
);
empty_fwft_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_i0,
PRE => AR(0),
Q => empty_fwft_fb_i
);
empty_fwft_fb_o_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F320"
)
port map (
I0 => rd_en,
I1 => curr_fwft_state(1),
I2 => curr_fwft_state(0),
I3 => empty_fwft_fb_o_i,
O => empty_fwft_fb_o_i0
);
empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_fb_o_i0,
PRE => AR(0),
Q => empty_fwft_fb_o_i
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_i0,
PRE => AR(0),
Q => empty_fwft_i
);
\gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00BF"
)
port map (
I0 => rd_en,
I1 => curr_fwft_state(0),
I2 => curr_fwft_state(1),
I3 => \out\,
O => \gc0.count_reg[0]\(0)
);
\goreg_dm.dout_i[64]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"A2"
)
port map (
I0 => curr_fwft_state(1),
I1 => curr_fwft_state(0),
I2 => rd_en,
O => \goreg_dm.dout_i_reg[64]\(0)
);
\gpr1.dout_i[64]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00F7"
)
port map (
I0 => curr_fwft_state(1),
I1 => curr_fwft_state(0),
I2 => rd_en,
I3 => \out\,
O => E(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"BA"
)
port map (
I0 => curr_fwft_state(1),
I1 => rd_en,
I2 => curr_fwft_state(0),
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"20FF"
)
port map (
I0 => curr_fwft_state(1),
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => \out\,
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => AR(0),
D => next_fwft_state(0),
Q => curr_fwft_state(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => AR(0),
D => next_fwft_state(1),
Q => curr_fwft_state(1)
);
\gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => AR(0),
D => next_fwft_state(0),
Q => user_valid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss is
port (
\out\ : out STD_LOGIC;
ram_empty_fb_i_reg_0 : in STD_LOGIC;
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss is
signal ram_empty_fb_i : STD_LOGIC;
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true;
signal ram_empty_i : STD_LOGIC;
attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true;
attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of ram_empty_fb_i_reg : label is "yes";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true;
attribute KEEP of ram_empty_i_reg : label is "yes";
attribute equivalent_register_removal of ram_empty_i_reg : label is "no";
begin
\out\ <= ram_empty_fb_i;
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_empty_fb_i_reg_0,
PRE => AR(0),
Q => ram_empty_fb_i
);
ram_empty_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_empty_fb_i_reg_0,
PRE => AR(0),
Q => ram_empty_i
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff is
port (
\out\ : out STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : out STD_LOGIC;
in0 : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff is
signal Q_reg : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
begin
\out\ <= Q_reg;
\Q_reg_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => in0(0),
Q => Q_reg,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => in0(0),
I1 => Q_reg,
O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 is
port (
\out\ : out STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : out STD_LOGIC;
in0 : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 : entity is "synchronizer_ff";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 is
signal Q_reg : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
begin
\out\ <= Q_reg;
\Q_reg_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => in0(0),
Q => Q_reg,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => in0(0),
I1 => Q_reg,
O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 is
port (
AS : out STD_LOGIC_VECTOR ( 0 to 0 );
\out\ : in STD_LOGIC;
clk : in STD_LOGIC;
in0 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 : entity is "synchronizer_ff";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 is
signal Q_reg : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => \out\,
Q => Q_reg,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => in0(0),
I1 => Q_reg,
O => AS(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 is
port (
AS : out STD_LOGIC_VECTOR ( 0 to 0 );
\out\ : in STD_LOGIC;
clk : in STD_LOGIC;
in0 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 : entity is "synchronizer_ff";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 is
signal Q_reg : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => \out\,
Q => Q_reg,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => in0(0),
I1 => Q_reg,
O => AS(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr is
port (
p_2_out : out STD_LOGIC;
ram_full_comb : out STD_LOGIC;
ram_empty_i_reg : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
almost_full : in STD_LOGIC;
p_7_out : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_rst_busy : in STD_LOGIC;
wr_en : in STD_LOGIC;
\out\ : in STD_LOGIC;
ram_empty_fb_i_reg : in STD_LOGIC;
\gc0.count_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \gaf.gaf0.ram_afull_i_i_3_n_0\ : STD_LOGIC;
signal \gwss.wsts/comp0\ : STD_LOGIC;
signal \gwss.wsts/comp1\ : STD_LOGIC;
signal \gwss.wsts/p_0_in\ : STD_LOGIC;
signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ram_empty_fb_i_i_3_n_0 : STD_LOGIC;
signal ram_empty_fb_i_i_4_n_0 : STD_LOGIC;
signal ram_empty_fb_i_i_5_n_0 : STD_LOGIC;
signal ram_full_fb_i_i_3_n_0 : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gaf.gaf0.ram_afull_i_i_3\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \gcc0.gc1.gsym.count[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \gcc0.gc1.gsym.count[2]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \gcc0.gc1.gsym.count[3]_i_1\ : label is "soft_lutpair2";
begin
Q(3 downto 0) <= \^q\(3 downto 0);
\gaf.gaf0.ram_afull_i_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00AA0000CCEE0CCC"
)
port map (
I0 => \gwss.wsts/p_0_in\,
I1 => almost_full,
I2 => \gwss.wsts/comp1\,
I3 => p_7_out,
I4 => E(0),
I5 => wr_rst_busy,
O => p_2_out
);
\gaf.gaf0.ram_afull_i_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"00009009"
)
port map (
I0 => \gc0.count_d1_reg[3]\(3),
I1 => wr_pntr_plus2(3),
I2 => \gc0.count_d1_reg[3]\(2),
I3 => wr_pntr_plus2(2),
I4 => \gaf.gaf0.ram_afull_i_i_3_n_0\,
O => \gwss.wsts/p_0_in\
);
\gaf.gaf0.ram_afull_i_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => wr_pntr_plus2(1),
I1 => \gc0.count_d1_reg[3]\(1),
I2 => wr_pntr_plus2(0),
I3 => \gc0.count_d1_reg[3]\(0),
O => \gaf.gaf0.ram_afull_i_i_3_n_0\
);
\gcc0.gc1.gsym.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => wr_pntr_plus2(0),
O => \plusOp__0\(0)
);
\gcc0.gc1.gsym.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => wr_pntr_plus2(0),
I1 => wr_pntr_plus2(1),
O => \plusOp__0\(1)
);
\gcc0.gc1.gsym.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => wr_pntr_plus2(0),
I1 => wr_pntr_plus2(1),
I2 => wr_pntr_plus2(2),
O => \plusOp__0\(2)
);
\gcc0.gc1.gsym.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => wr_pntr_plus2(1),
I1 => wr_pntr_plus2(0),
I2 => wr_pntr_plus2(2),
I3 => wr_pntr_plus2(3),
O => \plusOp__0\(3)
);
\gcc0.gc1.gsym.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => E(0),
D => wr_pntr_plus2(0),
PRE => AR(0),
Q => p_12_out(0)
);
\gcc0.gc1.gsym.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => wr_pntr_plus2(1),
Q => p_12_out(1)
);
\gcc0.gc1.gsym.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => wr_pntr_plus2(2),
Q => p_12_out(2)
);
\gcc0.gc1.gsym.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => wr_pntr_plus2(3),
Q => p_12_out(3)
);
\gcc0.gc1.gsym.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_12_out(0),
Q => \^q\(0)
);
\gcc0.gc1.gsym.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_12_out(1),
Q => \^q\(1)
);
\gcc0.gc1.gsym.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_12_out(2),
Q => \^q\(2)
);
\gcc0.gc1.gsym.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_12_out(3),
Q => \^q\(3)
);
\gcc0.gc1.gsym.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(0),
Q => wr_pntr_plus2(0)
);
\gcc0.gc1.gsym.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => E(0),
D => \plusOp__0\(1),
PRE => AR(0),
Q => wr_pntr_plus2(1)
);
\gcc0.gc1.gsym.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(2),
Q => wr_pntr_plus2(2)
);
\gcc0.gc1.gsym.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(3),
Q => wr_pntr_plus2(3)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FCFC44FC"
)
port map (
I0 => \gwss.wsts/comp0\,
I1 => ram_empty_fb_i_reg,
I2 => ram_empty_fb_i_i_3_n_0,
I3 => wr_en,
I4 => \out\,
O => ram_empty_i_reg
);
ram_empty_fb_i_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"00009009"
)
port map (
I0 => \gc0.count_d1_reg[3]\(3),
I1 => \^q\(3),
I2 => \gc0.count_d1_reg[3]\(2),
I3 => \^q\(2),
I4 => ram_empty_fb_i_i_4_n_0,
O => \gwss.wsts/comp0\
);
ram_empty_fb_i_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"4100004100000000"
)
port map (
I0 => ram_empty_fb_i_i_5_n_0,
I1 => \^q\(2),
I2 => \gc0.count_reg[3]\(2),
I3 => \^q\(3),
I4 => \gc0.count_reg[3]\(3),
I5 => p_7_out,
O => ram_empty_fb_i_i_3_n_0
);
ram_empty_fb_i_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^q\(1),
I1 => \gc0.count_d1_reg[3]\(1),
I2 => \^q\(0),
I3 => \gc0.count_d1_reg[3]\(0),
O => ram_empty_fb_i_i_4_n_0
);
ram_empty_fb_i_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^q\(1),
I1 => \gc0.count_reg[3]\(1),
I2 => \^q\(0),
I3 => \gc0.count_reg[3]\(0),
O => ram_empty_fb_i_i_5_n_0
);
ram_full_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0008000800F8F0F8"
)
port map (
I0 => \gwss.wsts/comp1\,
I1 => wr_en,
I2 => \out\,
I3 => p_7_out,
I4 => \gwss.wsts/comp0\,
I5 => wr_rst_busy,
O => ram_full_comb
);
ram_full_fb_i_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"00009009"
)
port map (
I0 => \gc0.count_d1_reg[3]\(3),
I1 => p_12_out(3),
I2 => \gc0.count_d1_reg[3]\(2),
I3 => p_12_out(2),
I4 => ram_full_fb_i_i_3_n_0,
O => \gwss.wsts/comp1\
);
ram_full_fb_i_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => p_12_out(1),
I1 => \gc0.count_d1_reg[3]\(1),
I2 => p_12_out(0),
I3 => \gc0.count_d1_reg[3]\(0),
O => ram_full_fb_i_i_3_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss is
port (
\out\ : out STD_LOGIC;
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_full_comb : in STD_LOGIC;
clk : in STD_LOGIC;
\grstd1.grst_full.grst_f.rst_d2_reg\ : in STD_LOGIC;
p_2_out : in STD_LOGIC;
wr_en : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss is
signal p_15_out : STD_LOGIC;
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of p_15_out : signal is std.standard.true;
signal ram_afull_i : STD_LOGIC;
attribute DONT_TOUCH of ram_afull_i : signal is std.standard.true;
signal ram_full_fb_i : STD_LOGIC;
attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true;
signal ram_full_i : STD_LOGIC;
attribute DONT_TOUCH of ram_full_i : signal is std.standard.true;
attribute DONT_TOUCH of \gaf.gaf0.ram_afull_i_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \gaf.gaf0.ram_afull_i_reg\ : label is "yes";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \gaf.gaf0.ram_afull_i_reg\ : label is "no";
attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true;
attribute KEEP of ram_full_fb_i_reg : label is "yes";
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true;
attribute KEEP of ram_full_i_reg : label is "yes";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
almost_full <= ram_afull_i;
full <= ram_full_i;
\out\ <= ram_full_fb_i;
\gaf.gaf0.ram_afull_i_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => p_2_out,
PRE => \grstd1.grst_full.grst_f.rst_d2_reg\,
Q => ram_afull_i
);
\gcc0.gc1.gsym.count_d1[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => ram_full_fb_i,
O => E(0)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => p_15_out
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_full_comb,
PRE => \grstd1.grst_full.grst_f.rst_d2_reg\,
Q => ram_full_fb_i
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_full_comb,
PRE => \grstd1.grst_full.grst_f.rst_d2_reg\,
Q => ram_full_i
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory is
port (
dout : out STD_LOGIC_VECTOR ( 64 downto 0 );
clk : in STD_LOGIC;
EN : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 );
\gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
AR : in STD_LOGIC_VECTOR ( 0 to 0 );
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory is
signal dout_i : STD_LOGIC_VECTOR ( 64 downto 0 );
begin
\gdm.dm_gen.dm\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dmem
port map (
AR(0) => AR(0),
E(0) => E(0),
EN => EN,
Q(3 downto 0) => Q(3 downto 0),
clk => clk,
din(64 downto 0) => din(64 downto 0),
\gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
\goreg_dm.dout_i_reg[64]\(64 downto 0) => dout_i(64 downto 0)
);
\goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(0),
Q => dout(0)
);
\goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(10),
Q => dout(10)
);
\goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(11),
Q => dout(11)
);
\goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(12),
Q => dout(12)
);
\goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(13),
Q => dout(13)
);
\goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(14),
Q => dout(14)
);
\goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(15),
Q => dout(15)
);
\goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(16),
Q => dout(16)
);
\goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(17),
Q => dout(17)
);
\goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(18),
Q => dout(18)
);
\goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(19),
Q => dout(19)
);
\goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(1),
Q => dout(1)
);
\goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(20),
Q => dout(20)
);
\goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(21),
Q => dout(21)
);
\goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(22),
Q => dout(22)
);
\goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(23),
Q => dout(23)
);
\goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(24),
Q => dout(24)
);
\goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(25),
Q => dout(25)
);
\goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(26),
Q => dout(26)
);
\goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(27),
Q => dout(27)
);
\goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(28),
Q => dout(28)
);
\goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(29),
Q => dout(29)
);
\goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(2),
Q => dout(2)
);
\goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(30),
Q => dout(30)
);
\goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(31),
Q => dout(31)
);
\goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(32),
Q => dout(32)
);
\goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(33),
Q => dout(33)
);
\goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(34),
Q => dout(34)
);
\goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(35),
Q => dout(35)
);
\goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(36),
Q => dout(36)
);
\goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(37),
Q => dout(37)
);
\goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(38),
Q => dout(38)
);
\goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(39),
Q => dout(39)
);
\goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(3),
Q => dout(3)
);
\goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(40),
Q => dout(40)
);
\goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(41),
Q => dout(41)
);
\goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(42),
Q => dout(42)
);
\goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(43),
Q => dout(43)
);
\goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(44),
Q => dout(44)
);
\goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(45),
Q => dout(45)
);
\goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(46),
Q => dout(46)
);
\goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(47),
Q => dout(47)
);
\goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(48),
Q => dout(48)
);
\goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(49),
Q => dout(49)
);
\goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(4),
Q => dout(4)
);
\goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(50),
Q => dout(50)
);
\goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(51),
Q => dout(51)
);
\goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(52),
Q => dout(52)
);
\goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(53),
Q => dout(53)
);
\goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(54),
Q => dout(54)
);
\goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(55),
Q => dout(55)
);
\goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(56),
Q => dout(56)
);
\goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(57),
Q => dout(57)
);
\goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(58),
Q => dout(58)
);
\goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(59),
Q => dout(59)
);
\goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(5),
Q => dout(5)
);
\goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(60),
Q => dout(60)
);
\goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(61),
Q => dout(61)
);
\goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(62),
Q => dout(62)
);
\goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(63),
Q => dout(63)
);
\goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(64),
Q => dout(64)
);
\goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(6),
Q => dout(6)
);
\goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(7),
Q => dout(7)
);
\goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(8),
Q => dout(8)
);
\goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
CLR => AR(0),
D => dout_i(9),
Q => dout(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic is
port (
\out\ : out STD_LOGIC;
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
p_7_out : out STD_LOGIC;
\goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\gpr1.dout_i_reg[1]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
ram_empty_fb_i_reg : in STD_LOGIC;
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic is
signal \^out\ : STD_LOGIC;
signal \^p_7_out\ : STD_LOGIC;
begin
\out\ <= \^out\;
p_7_out <= \^p_7_out\;
\gr1.gr1_int.rfwft\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_fwft
port map (
AR(0) => AR(0),
E(0) => E(0),
clk => clk,
empty => empty,
\gc0.count_reg[0]\(0) => \^p_7_out\,
\goreg_dm.dout_i_reg[64]\(0) => \goreg_dm.dout_i_reg[64]\(0),
\out\ => \^out\,
rd_en => rd_en
);
\grss.rsts\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss
port map (
AR(0) => AR(0),
clk => clk,
\out\ => \^out\,
ram_empty_fb_i_reg_0 => ram_empty_fb_i_reg
);
rpntr: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr
port map (
AR(0) => AR(0),
E(0) => \^p_7_out\,
Q(3 downto 0) => Q(3 downto 0),
clk => clk,
\gpr1.dout_i_reg[1]\(3 downto 0) => \gpr1.dout_i_reg[1]\(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo is
signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\ : STD_LOGIC;
signal p_7_out : STD_LOGIC;
signal p_8_out : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no";
begin
\gc0.count_reg[1]\(1) <= rd_rst_reg(2);
\gc0.count_reg[1]\(0) <= rd_rst_reg(0);
\grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2;
\out\(0) <= wr_rst_reg(1);
wr_rst_busy <= rst_d3;
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst_wr_reg2,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => rst_d1,
PRE => rst_wr_reg2,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => rst_d2,
PRE => rst_wr_reg2,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff
port map (
clk => clk,
in0(0) => rd_rst_asreg,
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\,
\out\ => p_7_out
);
\ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0
port map (
clk => clk,
in0(0) => wr_rst_asreg,
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\,
\out\ => p_8_out
);
\ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1
port map (
AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\,
clk => clk,
in0(0) => rd_rst_asreg,
\out\ => p_7_out
);
\ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2
port map (
AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\,
clk => clk,
in0(0) => wr_rst_asreg,
\out\ => p_8_out
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\,
PRE => rst_rd_reg2,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\,
Q => rd_rst_reg(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\,
Q => rd_rst_reg(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\,
Q => rd_rst_reg(2)
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rst_rd_reg1,
PRE => rst,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rst_wr_reg1,
PRE => rst,
Q => rst_wr_reg2
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\,
PRE => rst_wr_reg2,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\,
Q => wr_rst_reg(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\,
Q => wr_rst_reg(1)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\,
Q => wr_rst_reg(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic is
port (
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_empty_i_reg : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
clk : in STD_LOGIC;
\out\ : in STD_LOGIC;
wr_en : in STD_LOGIC;
p_7_out : in STD_LOGIC;
wr_rst_busy : in STD_LOGIC;
ram_empty_fb_i_reg : in STD_LOGIC;
\gc0.count_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^almost_full\ : STD_LOGIC;
signal \gwss.wsts_n_0\ : STD_LOGIC;
signal p_2_out : STD_LOGIC;
signal ram_full_comb : STD_LOGIC;
begin
E(0) <= \^e\(0);
almost_full <= \^almost_full\;
\gwss.wsts\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss
port map (
E(0) => \^e\(0),
almost_full => \^almost_full\,
clk => clk,
full => full,
\grstd1.grst_full.grst_f.rst_d2_reg\ => \out\,
\out\ => \gwss.wsts_n_0\,
p_2_out => p_2_out,
ram_full_comb => ram_full_comb,
wr_en => wr_en
);
wpntr: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr
port map (
AR(0) => AR(0),
E(0) => \^e\(0),
Q(3 downto 0) => Q(3 downto 0),
almost_full => \^almost_full\,
clk => clk,
\gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0),
\gc0.count_reg[3]\(3 downto 0) => \gc0.count_reg[3]\(3 downto 0),
\out\ => \gwss.wsts_n_0\,
p_2_out => p_2_out,
p_7_out => p_7_out,
ram_empty_fb_i_reg => ram_empty_fb_i_reg,
ram_empty_i_reg => ram_empty_i_reg,
ram_full_comb => ram_full_comb,
wr_en => wr_en,
wr_rst_busy => wr_rst_busy
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo is
port (
wr_rst_busy : out STD_LOGIC;
empty : out STD_LOGIC;
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 64 downto 0 );
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo is
signal \gntv_or_sync_fifo.gl0.rd_n_2\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_2\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC;
signal p_0_out_0 : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_11_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_2_out : STD_LOGIC;
signal p_5_out : STD_LOGIC;
signal p_7_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 );
signal rst_full_ff_i : STD_LOGIC;
signal \^wr_rst_busy\ : STD_LOGIC;
signal wr_rst_i : STD_LOGIC_VECTOR ( 1 to 1 );
begin
wr_rst_busy <= \^wr_rst_busy\;
\gntv_or_sync_fifo.gl0.rd\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic
port map (
AR(0) => rd_rst_i(2),
E(0) => \gntv_or_sync_fifo.gl0.rd_n_2\,
Q(3 downto 0) => rd_pntr_plus1(3 downto 0),
clk => clk,
empty => empty,
\goreg_dm.dout_i_reg[64]\(0) => p_5_out,
\gpr1.dout_i_reg[1]\(3 downto 0) => p_0_out_0(3 downto 0),
\out\ => p_2_out,
p_7_out => p_7_out,
ram_empty_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\,
rd_en => rd_en
);
\gntv_or_sync_fifo.gl0.wr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic
port map (
AR(0) => wr_rst_i(1),
E(0) => \gntv_or_sync_fifo.gl0.wr_n_2\,
Q(3 downto 0) => p_11_out(3 downto 0),
almost_full => almost_full,
clk => clk,
full => full,
\gc0.count_d1_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0),
\gc0.count_reg[3]\(3 downto 0) => rd_pntr_plus1(3 downto 0),
\out\ => rst_full_ff_i,
p_7_out => p_7_out,
ram_empty_fb_i_reg => p_2_out,
ram_empty_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\,
wr_en => wr_en,
wr_rst_busy => \^wr_rst_busy\
);
\gntv_or_sync_fifo.mem\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory
port map (
AR(0) => rd_rst_i(0),
E(0) => \gntv_or_sync_fifo.gl0.rd_n_2\,
EN => \gntv_or_sync_fifo.gl0.wr_n_2\,
Q(3 downto 0) => p_11_out(3 downto 0),
clk => clk,
din(64 downto 0) => din(64 downto 0),
dout(64 downto 0) => dout(64 downto 0),
\gc0.count_d1_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0),
\gpregsm1.curr_fwft_state_reg[1]\(0) => p_5_out
);
rstblk: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo
port map (
clk => clk,
\gc0.count_reg[1]\(1) => rd_rst_i(2),
\gc0.count_reg[1]\(0) => rd_rst_i(0),
\grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i,
\out\(0) => wr_rst_i(1),
rst => rst,
wr_rst_busy => \^wr_rst_busy\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top is
port (
wr_rst_busy : out STD_LOGIC;
empty : out STD_LOGIC;
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 64 downto 0 );
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top is
begin
\grf.rf\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo
port map (
almost_full => almost_full,
clk => clk,
din(64 downto 0) => din(64 downto 0),
dout(64 downto 0) => dout(64 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en,
wr_rst_busy => wr_rst_busy
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth is
port (
wr_rst_busy : out STD_LOGIC;
empty : out STD_LOGIC;
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 64 downto 0 );
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth is
begin
\gconvfifo.rf\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top
port map (
almost_full => almost_full,
clk => clk,
din(64 downto 0) => din(64 downto 0),
dout(64 downto 0) => dout(64 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en,
wr_rst_busy => wr_rst_busy
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 3 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 64 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 5;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 65;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 65;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "kintex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 15;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 14;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 5;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_SELECT_XPM : integer;
attribute C_SELECT_XPM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 5;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth
port map (
almost_full => almost_full,
clk => clk,
din(64 downto 0) => din(64 downto 0),
dout(64 downto 0) => dout(64 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en,
wr_rst_busy => wr_rst_busy
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 64 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 64 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
empty : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "tx_axis_gen,fifo_generator_v13_1_2,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "fifo_generator_v13_1_2,Vivado 2016.3";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 1;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 5;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 65;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 1;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 65;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "kintex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 1;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 15;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 14;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 5;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 16;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 4;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SELECT_XPM : integer;
attribute C_SELECT_XPM of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 1;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 5;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 16;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => almost_full,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3 downto 0) => B"0000",
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3 downto 0) => B"0000",
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3 downto 0) => B"0000",
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3 downto 0) => B"0000",
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3 downto 0) => B"0000",
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3 downto 0) => B"0000",
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9 downto 0) => B"0000000000",
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9 downto 0) => B"0000000000",
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9 downto 0) => B"0000000000",
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9 downto 0) => B"0000000000",
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => clk,
data_count(4 downto 0) => NLW_U0_data_count_UNCONNECTED(4 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(64 downto 0) => din(64 downto 0),
dout(64 downto 0) => dout(64 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1 downto 0) => B"00",
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1 downto 0) => B"00",
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(3 downto 0) => B"0000",
prog_empty_thresh_assert(3 downto 0) => B"0000",
prog_empty_thresh_negate(3 downto 0) => B"0000",
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(3 downto 0) => B"0000",
prog_full_thresh_assert(3 downto 0) => B"0000",
prog_full_thresh_negate(3 downto 0) => B"0000",
rd_clk => '0',
rd_data_count(4 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(4 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arcache(3 downto 0) => B"0000",
s_axi_arid(0) => '0',
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arlock(0) => '0',
s_axi_arprot(2 downto 0) => B"000",
s_axi_arqos(3 downto 0) => B"0000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => B"000",
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awcache(3 downto 0) => B"0000",
s_axi_awid(0) => '0',
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awlock(0) => '0',
s_axi_awprot(2 downto 0) => B"000",
s_axi_awqos(3 downto 0) => B"0000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => B"000",
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7 downto 0) => B"00000000",
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7 downto 0) => B"00000000",
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3 downto 0) => B"0000",
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => '0',
wr_data_count(4 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(4 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity genericCounter is
generic (
BITS:natural := 4;
MAX_COUNT:natural := 15);
port (
clk: in std_logic;
rst: in std_logic;
ena: in std_logic;
count: out std_logic_vector(BITS-1 downto 0);
carry_o: out std_logic
);
end;
architecture genericCounter_arq of genericCounter is
begin
--El comportamiento se puede hacer de forma logica o por diagrama karnaugh.
process(clk,rst)
variable tmp_count: integer range 0 to MAX_COUNT+1;
begin
if rst = '1' then
count <= (others => '0');
carry_o <= '0';
elsif rising_edge(clk) then
if ena = '1' then
tmp_count:=tmp_count + 1;
if tmp_count = MAX_COUNT then
carry_o <= '1';
elsif tmp_count = MAX_COUNT+1 then
tmp_count := 0;
carry_o <= '0';
else
carry_o <= '0';
end if;
end if;
end if;
count <= std_logic_vector(TO_UNSIGNED(tmp_count,BITS));
end process;
end; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity genericCounter is
generic (
BITS:natural := 4;
MAX_COUNT:natural := 15);
port (
clk: in std_logic;
rst: in std_logic;
ena: in std_logic;
count: out std_logic_vector(BITS-1 downto 0);
carry_o: out std_logic
);
end;
architecture genericCounter_arq of genericCounter is
begin
--El comportamiento se puede hacer de forma logica o por diagrama karnaugh.
process(clk,rst)
variable tmp_count: integer range 0 to MAX_COUNT+1;
begin
if rst = '1' then
count <= (others => '0');
carry_o <= '0';
elsif rising_edge(clk) then
if ena = '1' then
tmp_count:=tmp_count + 1;
if tmp_count = MAX_COUNT then
carry_o <= '1';
elsif tmp_count = MAX_COUNT+1 then
tmp_count := 0;
carry_o <= '0';
else
carry_o <= '0';
end if;
end if;
end if;
count <= std_logic_vector(TO_UNSIGNED(tmp_count,BITS));
end process;
end; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity genericCounter is
generic (
BITS:natural := 4;
MAX_COUNT:natural := 15);
port (
clk: in std_logic;
rst: in std_logic;
ena: in std_logic;
count: out std_logic_vector(BITS-1 downto 0);
carry_o: out std_logic
);
end;
architecture genericCounter_arq of genericCounter is
begin
--El comportamiento se puede hacer de forma logica o por diagrama karnaugh.
process(clk,rst)
variable tmp_count: integer range 0 to MAX_COUNT+1;
begin
if rst = '1' then
count <= (others => '0');
carry_o <= '0';
elsif rising_edge(clk) then
if ena = '1' then
tmp_count:=tmp_count + 1;
if tmp_count = MAX_COUNT then
carry_o <= '1';
elsif tmp_count = MAX_COUNT+1 then
tmp_count := 0;
carry_o <= '0';
else
carry_o <= '0';
end if;
end if;
end if;
count <= std_logic_vector(TO_UNSIGNED(tmp_count,BITS));
end process;
end; |
----------------------------------------------------------------------------
-- This file is a part of the LEON VHDL model
-- Copyright (C) 1999 European Space Agency (ESA)
--
-- This library is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2 of the License, or (at your option) any later version.
--
-- See the file COPYING.LGPL for the full details of the license.
-----------------------------------------------------------------------------
-- Entity: pci
-- File: pci.vhd
-- Author: Jiri Gaisler - Gaisler Reserch
-- Description: Module containing all possible PCI cores
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.target.all;
use work.config.all;
use work.iface.all;
use work.amba.all;
use work.ambacomp.all;
entity pci is
port (
resetn : in std_logic;
clk : in clk_type;
pcii : in pci_in_type;
pcio : out pci_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi1 : in ahb_mst_in_type;
ahbmo1 : out ahb_mst_out_type;
ahbmi2 : in ahb_mst_in_type;
ahbmo2 : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
irq : out std_logic
;clk_out: out std_logic
);
end;
architecture rtl of pci is
signal gnd4 : std_logic_vector(3 downto 0);
begin
-- InSilicon PCI core
pci_is0 : if PCICORE = insilicon generate
pci0 : pci_is
port map (
rst_n =>resetn, app_clk => clk, pci_clk => pcii.pci_clk_in,
pbi => apbi, pbo => apbo, irq => irq,
TargetMasterOut => ahbmo1, TargetMasterIn => ahbmi1,
pci_in => pcii, pci_out => pcio,
InitSlaveOut => ahbso, InitSlaveIn => ahbsi,
InitMasterOut => ahbmo2, InitMasterIn => ahbmi2
);
end generate;
pci_actel0 : if PCICORE = actel generate
-- pci0 : pci_actel
-- generic map (
-- USER_DEVICE_ID => PCI_DEVICE_ID, USER_VENDOR_ID => PCI_VENDOR_ID,
-- USER_REVISION_ID => PCI_REVISION_ID, USER_SUBSYSTEM_ID => PCI_SUBSYS_ID,
-- USER_BASE_CLASS => PCI_CLASS_CODE)
-- port map (
-- rst => resetn, clk => pcii.pci_clk_in, clk_out => clk_out, pcii => pcii, pcio => pcio,
-- ahbmi => ahbmi1, ahbmo => ahbmo1, ahbsi => ahbsi, ahbso => ahbso
-- );
--
-- ahbmo2.hbusreq <= '0';
-- ahbmo2.hlock <= '0';
-- ahbmo2.htrans <= HTRANS_IDLE;
-- ahbmo2.haddr <= (others => '0');
-- ahbmo2.hwrite <= '0';
-- ahbmo2.hburst <= HBURST_SINGLE;
-- ahbmo2.hprot <= (others => '0');
-- ahbmo2.hwdata <= (others => '0');
-- irq <= '0';
end generate;
-- Optional ESA PCI core
-- pci_esa0 : if PCICORE = esa generate
-- pci0 : pci_esa
-- port map (
-- resetn => rst.syncrst, app_clk => clko.clk,
-- pci_in => pcii, pci_out => pcio,
-- ahbmasterin => ahbmi(1), ahbmasterout => ahbmo(1),
-- ahbslavein => ahbsi(2), ahbslaveout => ahbso(2),
-- apbslavein => apbi(10), apbslaveout => apbo(10), irq => pciirq
-- );
-- pciresetn <= pcii.pci_rst_in_n;
-- end generate;
end ;
|
--
-------------------------------------------------------------------------------------------
-- Copyright © 2011, Xilinx, Inc.
-- This file contains confidential and proprietary information of Xilinx, Inc. and is
-- protected under U.S. and international copyright and other intellectual property laws.
-------------------------------------------------------------------------------------------
--
-- Disclaimer:
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to
-- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE
-- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY
-- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT,
-- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable
-- (whether in contract or tort, including negligence, or under any other theory
-- of liability) for any loss or damage of any kind or nature related to, arising
-- under or in connection with these materials, including for any direct, or any
-- indirect, special, incidental, or consequential loss or damage (including loss
-- of data, profits, goodwill, or any type of loss or damage suffered as a result
-- of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-safe, or for use in any
-- application requiring fail-safe performance, such as life-support or safety
-- devices or systems, Class III medical devices, nuclear facilities, applications
-- related to the deployment of airbags, or any other applications that could lead
-- to death, personal injury, or severe property or environmental damage
-- (individually and collectively, "Critical Applications"). Customer assumes the
-- sole risk and liability of any use of Xilinx products in Critical Applications,
-- subject only to applicable laws and regulations governing limitations on product
-- liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------------------
--
-- UART Receiver with integral 16 byte FIFO buffer
--
-- 8 bit, no parity, 1 stop bit
--
-- This module was made for use with Spartan-6 Generation Devices and is also ideally
-- suited for use with Virtex-6 and 7-Series devices.
--
-- Version 1 - 31st March 2011.
--
-- Ken Chapman
-- Xilinx Ltd
-- Benchmark House
-- 203 Brooklands Road
-- Weybridge
-- Surrey KT13 ORH
-- United Kingdom
--
-- [email protected]
--
-------------------------------------------------------------------------------------------
--
-- Format of this file.
--
-- The module defines the implementation of the logic using Xilinx primitives.
-- These ensure predictable synthesis results and maximise the density of the
-- implementation. The Unisim Library is used to define Xilinx primitives. It is also
-- used during simulation.
-- The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
-------------------------------------------------------------------------------------------
--
-- Library declarations
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
--
-------------------------------------------------------------------------------------------
--
-- Main Entity for
--
entity uart_rx6 is
Port ( serial_in : in std_logic;
en_16_x_baud : in std_logic;
data_out : out std_logic_vector(7 downto 0);
buffer_read : in std_logic;
buffer_data_present : out std_logic;
buffer_half_full : out std_logic;
buffer_full : out std_logic;
buffer_reset : in std_logic;
clk : in std_logic);
end uart_rx6;
--
-------------------------------------------------------------------------------------------
--
-- Start of Main Architecture for uart_rx6
--
architecture low_level_definition of uart_rx6 is
--
-------------------------------------------------------------------------------------------
--
-- Signals used in uart_rx6
--
-------------------------------------------------------------------------------------------
--
signal pointer_value : std_logic_vector(3 downto 0);
signal pointer : std_logic_vector(3 downto 0);
signal en_pointer : std_logic;
signal zero : std_logic;
signal full_int : std_logic;
signal data_present_value : std_logic;
signal data_present_int : std_logic;
signal sample_value : std_logic;
signal sample : std_logic;
signal sample_dly_value : std_logic;
signal sample_dly : std_logic;
signal stop_bit_value : std_logic;
signal stop_bit : std_logic;
signal data_value : std_logic_vector(7 downto 0);
signal data : std_logic_vector(7 downto 0);
signal run_value : std_logic;
signal run : std_logic;
signal start_bit_value : std_logic;
signal start_bit : std_logic;
signal div_value : std_logic_vector(3 downto 0);
signal div : std_logic_vector(3 downto 0);
signal div_carry : std_logic;
signal sample_input_value : std_logic;
signal sample_input : std_logic;
signal buffer_write_value : std_logic;
signal buffer_write : std_logic;
--
-------------------------------------------------------------------------------------------
--
-- Attributes to guide mapping of logic into Slices.
-------------------------------------------------------------------------------------------
--
--
attribute hblknm : string;
attribute hblknm of pointer3_lut : label is "uart_rx6_1";
attribute hblknm of pointer3_flop : label is "uart_rx6_1";
attribute hblknm of pointer2_lut : label is "uart_rx6_1";
attribute hblknm of pointer2_flop : label is "uart_rx6_1";
attribute hblknm of pointer01_lut : label is "uart_rx6_1";
attribute hblknm of pointer1_flop : label is "uart_rx6_1";
attribute hblknm of pointer0_flop : label is "uart_rx6_1";
attribute hblknm of data_present_lut : label is "uart_rx6_1";
attribute hblknm of data_present_flop : label is "uart_rx6_1";
--
attribute hblknm of data01_lut : label is "uart_rx6_2";
attribute hblknm of data0_flop : label is "uart_rx6_2";
attribute hblknm of data1_flop : label is "uart_rx6_2";
attribute hblknm of data23_lut : label is "uart_rx6_2";
attribute hblknm of data2_flop : label is "uart_rx6_2";
attribute hblknm of data3_flop : label is "uart_rx6_2";
attribute hblknm of data45_lut : label is "uart_rx6_2";
attribute hblknm of data4_flop : label is "uart_rx6_2";
attribute hblknm of data5_flop : label is "uart_rx6_2";
attribute hblknm of data67_lut : label is "uart_rx6_2";
attribute hblknm of data6_flop : label is "uart_rx6_2";
attribute hblknm of data7_flop : label is "uart_rx6_2";
--
attribute hblknm of div01_lut : label is "uart_rx6_3";
attribute hblknm of div23_lut : label is "uart_rx6_3";
attribute hblknm of div0_flop : label is "uart_rx6_3";
attribute hblknm of div1_flop : label is "uart_rx6_3";
attribute hblknm of div2_flop : label is "uart_rx6_3";
attribute hblknm of div3_flop : label is "uart_rx6_3";
attribute hblknm of sample_input_lut : label is "uart_rx6_3";
attribute hblknm of sample_input_flop : label is "uart_rx6_3";
attribute hblknm of full_lut : label is "uart_rx6_3";
--
attribute hblknm of sample_lut : label is "uart_rx6_4";
attribute hblknm of sample_flop : label is "uart_rx6_4";
attribute hblknm of sample_dly_flop : label is "uart_rx6_4";
attribute hblknm of stop_bit_lut : label is "uart_rx6_4";
attribute hblknm of stop_bit_flop : label is "uart_rx6_4";
attribute hblknm of buffer_write_flop : label is "uart_rx6_4";
attribute hblknm of start_bit_lut : label is "uart_rx6_4";
attribute hblknm of start_bit_flop : label is "uart_rx6_4";
attribute hblknm of run_lut : label is "uart_rx6_4";
attribute hblknm of run_flop : label is "uart_rx6_4";
--
--
-------------------------------------------------------------------------------------------
--
-- Start of uart_rx6 circuit description
--
-------------------------------------------------------------------------------------------
--
begin
-- SRL16E data storage
data_width_loop: for i in 0 to 7 generate
attribute hblknm : string;
attribute hblknm of storage_srl : label is "uart_rx6_5";
begin
storage_srl: SRL16E
generic map (INIT => X"0000")
port map( D => data(i),
CE => buffer_write,
CLK => clk,
A0 => pointer(0),
A1 => pointer(1),
A2 => pointer(2),
A3 => pointer(3),
Q => data_out(i) );
end generate data_width_loop;
pointer3_lut: LUT6
generic map (INIT => X"FF00FE00FF80FF00")
port map( I0 => pointer(0),
I1 => pointer(1),
I2 => pointer(2),
I3 => pointer(3),
I4 => buffer_write,
I5 => buffer_read,
O => pointer_value(3));
pointer3_flop: FDR
port map ( D => pointer_value(3),
Q => pointer(3),
R => buffer_reset,
C => clk);
pointer2_lut: LUT6
generic map (INIT => X"F0F0E1E0F878F0F0")
port map( I0 => pointer(0),
I1 => pointer(1),
I2 => pointer(2),
I3 => pointer(3),
I4 => buffer_write,
I5 => buffer_read,
O => pointer_value(2));
pointer2_flop: FDR
port map ( D => pointer_value(2),
Q => pointer(2),
R => buffer_reset,
C => clk);
pointer01_lut: LUT6_2
generic map (INIT => X"CC9060CCAA5050AA")
port map( I0 => pointer(0),
I1 => pointer(1),
I2 => en_pointer,
I3 => buffer_write,
I4 => buffer_read,
I5 => '1',
O5 => pointer_value(0),
O6 => pointer_value(1));
pointer1_flop: FDR
port map ( D => pointer_value(1),
Q => pointer(1),
R => buffer_reset,
C => clk);
pointer0_flop: FDR
port map ( D => pointer_value(0),
Q => pointer(0),
R => buffer_reset,
C => clk);
data_present_lut: LUT6_2
generic map (INIT => X"F4FCF4FC040004C0")
port map( I0 => zero,
I1 => data_present_int,
I2 => buffer_write,
I3 => buffer_read,
I4 => full_int,
I5 => '1',
O5 => en_pointer,
O6 => data_present_value);
data_present_flop: FDR
port map ( D => data_present_value,
Q => data_present_int,
R => buffer_reset,
C => clk);
full_lut: LUT6_2
generic map (INIT => X"0001000080000000")
port map( I0 => pointer(0),
I1 => pointer(1),
I2 => pointer(2),
I3 => pointer(3),
I4 => '1',
I5 => '1',
O5 => full_int,
O6 => zero);
sample_lut: LUT6_2
generic map (INIT => X"CCF00000AACC0000")
port map( I0 => serial_in,
I1 => sample,
I2 => sample_dly,
I3 => en_16_x_baud,
I4 => '1',
I5 => '1',
O5 => sample_value,
O6 => sample_dly_value);
sample_flop: FD
port map ( D => sample_value,
Q => sample,
C => clk);
sample_dly_flop: FD
port map ( D => sample_dly_value,
Q => sample_dly,
C => clk);
stop_bit_lut: LUT6_2
generic map (INIT => X"CAFFCAFF0000C0C0")
port map( I0 => stop_bit,
I1 => sample,
I2 => sample_input,
I3 => run,
I4 => data(0),
I5 => '1',
O5 => buffer_write_value,
O6 => stop_bit_value);
buffer_write_flop: FD
port map ( D => buffer_write_value,
Q => buffer_write,
C => clk);
stop_bit_flop: FD
port map ( D => stop_bit_value,
Q => stop_bit,
C => clk);
data01_lut: LUT6_2
generic map (INIT => X"F0CCFFFFCCAAFFFF")
port map( I0 => data(0),
I1 => data(1),
I2 => data(2),
I3 => sample_input,
I4 => run,
I5 => '1',
O5 => data_value(0),
O6 => data_value(1));
data0_flop: FD
port map ( D => data_value(0),
Q => data(0),
C => clk);
data1_flop: FD
port map ( D => data_value(1),
Q => data(1),
C => clk);
data23_lut: LUT6_2
generic map (INIT => X"F0CCFFFFCCAAFFFF")
port map( I0 => data(2),
I1 => data(3),
I2 => data(4),
I3 => sample_input,
I4 => run,
I5 => '1',
O5 => data_value(2),
O6 => data_value(3));
data2_flop: FD
port map ( D => data_value(2),
Q => data(2),
C => clk);
data3_flop: FD
port map ( D => data_value(3),
Q => data(3),
C => clk);
data45_lut: LUT6_2
generic map (INIT => X"F0CCFFFFCCAAFFFF")
port map( I0 => data(4),
I1 => data(5),
I2 => data(6),
I3 => sample_input,
I4 => run,
I5 => '1',
O5 => data_value(4),
O6 => data_value(5));
data4_flop: FD
port map ( D => data_value(4),
Q => data(4),
C => clk);
data5_flop: FD
port map ( D => data_value(5),
Q => data(5),
C => clk);
data67_lut: LUT6_2
generic map (INIT => X"F0CCFFFFCCAAFFFF")
port map( I0 => data(6),
I1 => data(7),
I2 => stop_bit,
I3 => sample_input,
I4 => run,
I5 => '1',
O5 => data_value(6),
O6 => data_value(7));
data6_flop: FD
port map ( D => data_value(6),
Q => data(6),
C => clk);
data7_flop: FD
port map ( D => data_value(7),
Q => data(7),
C => clk);
run_lut: LUT6
generic map (INIT => X"2F2FAFAF0000FF00")
port map( I0 => data(0),
I1 => start_bit,
I2 => sample_input,
I3 => sample_dly,
I4 => sample,
I5 => run,
O => run_value);
run_flop: FD
port map ( D => run_value,
Q => run,
C => clk);
start_bit_lut: LUT6
generic map (INIT => X"222200F000000000")
port map( I0 => start_bit,
I1 => sample_input,
I2 => sample_dly,
I3 => sample,
I4 => run,
I5 => '1',
O => start_bit_value);
start_bit_flop: FD
port map ( D => start_bit_value,
Q => start_bit,
C => clk);
div01_lut: LUT6_2
generic map (INIT => X"6C0000005A000000")
port map( I0 => div(0),
I1 => div(1),
I2 => en_16_x_baud,
I3 => run,
I4 => '1',
I5 => '1',
O5 => div_value(0),
O6 => div_value(1));
div0_flop: FD
port map ( D => div_value(0),
Q => div(0),
C => clk);
div1_flop: FD
port map ( D => div_value(1),
Q => div(1),
C => clk);
div23_lut: LUT6_2
generic map (INIT => X"6CCC00005AAA0000")
port map( I0 => div(2),
I1 => div(3),
I2 => div_carry,
I3 => en_16_x_baud,
I4 => run,
I5 => '1',
O5 => div_value(2),
O6 => div_value(3));
div2_flop: FD
port map ( D => div_value(2),
Q => div(2),
C => clk);
div3_flop: FD
port map ( D => div_value(3),
Q => div(3),
C => clk);
sample_input_lut: LUT6_2
generic map (INIT => X"0080000088888888")
port map( I0 => div(0),
I1 => div(1),
I2 => div(2),
I3 => div(3),
I4 => en_16_x_baud,
I5 => '1',
O5 => div_carry,
O6 => sample_input_value);
sample_input_flop: FD
port map ( D => sample_input_value,
Q => sample_input,
C => clk);
-- assign internal signals to outputs
buffer_full <= full_int;
buffer_half_full <= pointer(3);
buffer_data_present <= data_present_int;
end low_level_definition;
-------------------------------------------------------------------------------------------
--
-- END OF FILE uart_rx6.vhd
--
-------------------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:11:19 04/22/2016
-- Design Name:
-- Module Name: SH_PCREG - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SH_PCREG is
generic( PCWIDTH:integer:=5;
STACKDEPTH:integer:=4);
Port (CLK : in STD_LOGIC;
RST : in STD_LOGIC;
ADRIN : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
EN : in STD_LOGIC;
WR : in STD_LOGIC; -- '1' is Push, '0' is Pop
ADROUT : buffer STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
OVFLW : out STD_LOGIC);
end SH_PCREG;
architecture Behavioral of SH_PCREG is
type REG_ARRAY_TYPE is array (0 to STACKDEPTH-2) of STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
signal PC_STACK: REG_ARRAY_TYPE;
begin
--ADROUT <= PC_STACK(0);
process(CLK,RST)
begin
if RST = '1' then
PC_STACK <= (OTHERS => (OTHERS => '0'));
ADROUT <= (OTHERS => '0');
elsif (CLK'event and CLK = '1' and EN = '1') then
case WR is
when '1' =>
-- case PC_STACK(3) is
-- when "00000" => OVFLW <= '0';
-- when OTHERS => OVFLW <= '1';
-- end case;
PC_STACK(2) <= PC_STACK(1);
PC_STACK(1) <= PC_STACK(0);
PC_STACK(0) <= ADROUT;
ADROUT <= ADRIN;
-- ADROUT <= PC_STACK(0);
WHEN '0' =>
-- ADROUT <= PC_STACK(0);
ADROUT <= PC_STACK(0);
PC_STACK(0) <= PC_STACK(1);
PC_STACK(1) <= PC_STACK(2);
PC_STACK(2) <= (OTHERS => '0');
when OTHERS => ADROUT <= ADRIN; -- Sort of a do nothing
end case;
-- ADROUT <= PC_STACK(0);
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:11:19 04/22/2016
-- Design Name:
-- Module Name: SH_PCREG - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SH_PCREG is
generic( PCWIDTH:integer:=5;
STACKDEPTH:integer:=4);
Port (CLK : in STD_LOGIC;
RST : in STD_LOGIC;
ADRIN : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
EN : in STD_LOGIC;
WR : in STD_LOGIC; -- '1' is Push, '0' is Pop
ADROUT : buffer STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
OVFLW : out STD_LOGIC);
end SH_PCREG;
architecture Behavioral of SH_PCREG is
type REG_ARRAY_TYPE is array (0 to STACKDEPTH-2) of STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
signal PC_STACK: REG_ARRAY_TYPE;
begin
--ADROUT <= PC_STACK(0);
process(CLK,RST)
begin
if RST = '1' then
PC_STACK <= (OTHERS => (OTHERS => '0'));
ADROUT <= (OTHERS => '0');
elsif (CLK'event and CLK = '1' and EN = '1') then
case WR is
when '1' =>
-- case PC_STACK(3) is
-- when "00000" => OVFLW <= '0';
-- when OTHERS => OVFLW <= '1';
-- end case;
PC_STACK(2) <= PC_STACK(1);
PC_STACK(1) <= PC_STACK(0);
PC_STACK(0) <= ADROUT;
ADROUT <= ADRIN;
-- ADROUT <= PC_STACK(0);
WHEN '0' =>
-- ADROUT <= PC_STACK(0);
ADROUT <= PC_STACK(0);
PC_STACK(0) <= PC_STACK(1);
PC_STACK(1) <= PC_STACK(2);
PC_STACK(2) <= (OTHERS => '0');
when OTHERS => ADROUT <= ADRIN; -- Sort of a do nothing
end case;
-- ADROUT <= PC_STACK(0);
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:11:19 04/22/2016
-- Design Name:
-- Module Name: SH_PCREG - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SH_PCREG is
generic( PCWIDTH:integer:=5;
STACKDEPTH:integer:=4);
Port (CLK : in STD_LOGIC;
RST : in STD_LOGIC;
ADRIN : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
EN : in STD_LOGIC;
WR : in STD_LOGIC; -- '1' is Push, '0' is Pop
ADROUT : buffer STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
OVFLW : out STD_LOGIC);
end SH_PCREG;
architecture Behavioral of SH_PCREG is
type REG_ARRAY_TYPE is array (0 to STACKDEPTH-2) of STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
signal PC_STACK: REG_ARRAY_TYPE;
begin
--ADROUT <= PC_STACK(0);
process(CLK,RST)
begin
if RST = '1' then
PC_STACK <= (OTHERS => (OTHERS => '0'));
ADROUT <= (OTHERS => '0');
elsif (CLK'event and CLK = '1' and EN = '1') then
case WR is
when '1' =>
-- case PC_STACK(3) is
-- when "00000" => OVFLW <= '0';
-- when OTHERS => OVFLW <= '1';
-- end case;
PC_STACK(2) <= PC_STACK(1);
PC_STACK(1) <= PC_STACK(0);
PC_STACK(0) <= ADROUT;
ADROUT <= ADRIN;
-- ADROUT <= PC_STACK(0);
WHEN '0' =>
-- ADROUT <= PC_STACK(0);
ADROUT <= PC_STACK(0);
PC_STACK(0) <= PC_STACK(1);
PC_STACK(1) <= PC_STACK(2);
PC_STACK(2) <= (OTHERS => '0');
when OTHERS => ADROUT <= ADRIN; -- Sort of a do nothing
end case;
-- ADROUT <= PC_STACK(0);
end if;
end process;
end Behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: rstgen
-- File: rstgen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Reset generation with glitch filter
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity rstgen is
generic (
acthigh : integer := 0;
syncrst : integer := 0;
scanen : integer := 0;
syncin : integer := 0);
port (
rstin : in std_ulogic;
clk : in std_ulogic;
clklock : in std_ulogic;
rstout : out std_ulogic;
rstoutraw : out std_ulogic;
testrst : in std_ulogic := '0';
testen : in std_ulogic := '0'
);
end;
architecture rtl of rstgen is
signal r : std_logic_vector(4 downto 0);
signal rst, rstoutl, clklockl, arst : std_ulogic;
signal rstsyncin : std_ulogic;
signal inrst_syncreg : std_ulogic;
signal genrst : std_ulogic;
signal genrst_syncreg : std_logic_vector(1 downto 0);
begin
nosyncinrst : if syncin = 0 generate
rst <= not rstin when acthigh = 1 else rstin;
clklockl <= clklock;
end generate;
syncinrst : if syncin = 1 generate
rstsyncin <= not rstin when acthigh = 1 else rstin;
syncreg0 : syncreg port map (clk, rstsyncin, inrst_syncreg);
genrst <= testrst when (scanen = 1) and (testen = '1') else inrst_syncreg;
gensyncrest : process (clk, genrst) begin
if rising_edge(clk) then
genrst_syncreg(0) <= '1';
genrst_syncreg(1) <= genrst_syncreg(0);
end if;
if ( genrst = '0') then genrst_syncreg <= (others => '0'); end if;
end process;
rst <= genrst_syncreg(1);
syncreg1 : syncreg port map (clk, clklock, clklockl);
end generate;
rstoutraw <= not rstin when acthigh = 1 else rstin;
arst <= testrst when (scanen = 1) and (testen = '1') else rst;
async : if (syncrst = 0 and syncin = 0) generate
reg1 : process (clk, arst) begin
if rising_edge(clk) then
r <= r(3 downto 0) & clklockl;
rstoutl <= r(4) and r(3) and r(2);
end if;
if (arst = '0') then r <= "00000"; rstoutl <= '0'; end if;
end process;
rstout <= (rstoutl and rst) when scanen = 1 else rstoutl;
end generate;
sync : if (syncrst = 1 or syncin = 1) generate
reg1 : process (clk) begin
if rising_edge(clk) then
r <= (r(3 downto 0) & clklockl) and (rst & rst & rst & rst & rst);
rstoutl <= r(4) and r(3) and r(2);
end if;
end process;
rstout <= rstoutl and rst;
end generate;
end;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
-------------------------------------------------------------------------------
-- This file is part of the Queens@TUD solver suite
-- for enumerating and counting the solutions of an N-Queens Puzzle.
--
-- Copyright (C) 2008-2015
-- Thomas B. Preusser <[email protected]>
-------------------------------------------------------------------------------
-- This design is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Affero General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Affero General Public License for more details.
--
-- You should have received a copy of the GNU Affero General Public License
-- along with this design. If not, see <http://www.gnu.org/licenses/>.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity ml505_queens_uart is
generic (
N : positive := 27;
L : positive := 2;
SOLVERS : positive := 21;
COUNT_CYCLES : boolean := false;
CLK_FREQ : positive := 100000000;
CLK_MUL : positive := 16;
CLK_DIV : positive := 9;
BAUDRATE : positive := 115200;
SENTINEL : std_logic_vector(7 downto 0) := x"FA" -- Start Byte
);
port (
clkx : in std_logic;
rstx : in std_logic;
rx : in std_logic;
tx : out std_logic;
leds : out std_logic_vector(0 to 7)
);
end ml505_queens_uart;
library IEEE;
use IEEE.numeric_std.all;
library UNISIM;
use UNISIM.vcomponents.all;
architecture rtl of ml505_queens_uart is
-- Global Control
signal clk : std_logic;
signal rst : std_logic;
-- Solver Status
signal snap : std_logic_vector(3 downto 0);
signal avail : std_logic;
begin
-----------------------------------------------------------------------------
-- Generate Global Controls
blkGlobal: block is
signal clk_u : std_logic; -- Unbuffered Synthesized Clock
signal rst_s : std_logic_vector(1 downto 0) := (others => '0');
begin
DCM0 : DCM_BASE
generic map (
CLKIN_PERIOD => 1000000000.0/real(CLK_FREQ),
CLKIN_DIVIDE_BY_2 => FALSE,
PHASE_SHIFT => 0,
CLKFX_MULTIPLY => CLK_MUL,
CLKFX_DIVIDE => CLK_DIV,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "NONE", -- only using clkfx
DLL_FREQUENCY_MODE => "HIGH",
DFS_FREQUENCY_MODE => "HIGH",
DUTY_CYCLE_CORRECTION => TRUE,
STARTUP_WAIT => TRUE -- Delay until DCM LOCK
)
port map (
CLK0 => open,
CLK180 => open,
CLK270 => open,
CLK2X => open,
CLK2X180 => open,
CLK90 => open,
CLKDV => open,
CLKFX => clk_u,
CLKFX180 => open,
LOCKED => open,
CLKFB => '0',
CLKIN => clkx,
RST => '0'
);
clk_buf : BUFG
port map (
I => clk_u,
O => clk
);
-- Reset Synchronization
process(clk)
begin
if rising_edge(clk) then
rst_s <= (not rstx) & rst_s(rst_s'left downto 1);
end if;
end process;
rst <= rst_s(0);
end block blkGlobal;
----------------------------------------------------------------------------
-- Solver Chain
chain: entity work.queens_uart
generic map (
N => N,
L => L,
SOLVERS => SOLVERS,
COUNT_CYCLES => COUNT_CYCLES,
CLK_FREQ => integer((real(CLK_MUL)*real(CLK_FREQ))/real(CLK_DIV)),
BAUDRATE => BAUDRATE,
SENTINEL => SENTINEL
)
port map (
clk => clk,
rst => rst,
rx => rx,
tx => tx,
snap => snap,
avail => avail
);
----------------------------------------------------------------------------
-- Basic Status Output
leds <= snap & std_logic_vector(to_unsigned((SOLVERS mod 7)+1, 3)) & avail;
end rtl;
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity FA is
port (A : in std_logic;
B : in std_logic;
C : in std_logic;
COUT : out std_logic;
S : out std_logic
);
end FA;
architecture fa_estr of FA is
begin
COUT <= (A and B) or (A and C) or (C and B);
S <= ((not C) and (A xor B)) or (C and (A xnor B));
end fa_estr; |
------------------------------
library ieee;
use ieee.std_logic_1164.all;
------------------------------
entity circuit is
--generic declarations
port (
a: in std_logic;
b: in std_logic;
c: in std_logic;
clk: in std_logic;
reg_z: out std_logic);
end entity;
------------------------------
architecture circuit of circuit is
signal z: std_logic;
begin
z <= a xor ((a nand b) or c);
process (clk)
begin
if (clk'event and clk = '1') then
reg_z <= z;
end if;
end process;
end architecture;
------------------------------
|
------------------------------------------------------------------------
-- ps2interface.vhd
------------------------------------------------------------------------
-- Author : Ulrich Zoltán
-- Copyright 2006 Digilent, Inc.
------------------------------------------------------------------------
-- This file contains the implementation of a generic bidirectional
-- ps/2 interface.
------------------------------------------------------------------------
-- Behavioral description
------------------------------------------------------------------------
-- Please read the following article on the web for understanding how
-- the ps/2 protocol works.
-- http://www.computer-engineering.org/ps2protocol/
-- This module implements a generic bidirectional ps/2 interface. It can
-- be used with any ps/2 compatible device. It offers its clients a
-- convenient way to exchange data with the device. The interface
-- transparently wraps the byte to be sent into a ps/2 frame, generates
-- parity for byte and sends the frame one bit at a time to the device.
-- Similarly, when receiving data from the ps2 device, the interface
-- receives the frame, checks for parity, and extract the usefull data
-- and forwards it to the client. If an error occurs during receiving
-- or sending a byte, the client is informed by settings the err output
-- line high. This way, the client can resend the data or can issue
-- a resend command to the device.
-- The physical ps/2 interface uses 4 lines
-- For the 6-pin connector pins are assigned as follows:
-- 1 - Data
-- 2 - Not Implemented
-- 3 - Ground
-- 4 - Vcc (+5V)
-- 5 - Clock
-- 6 - Not Implemented
-- The clock line carries the device generated clock which has a
-- frequency in range 10 - 16.7 kHz (30 to 50us). When line is idle
-- it is placed in high impedance. The clock is only generated when
-- device is sending or receiving data.
-- The Data and Clock lines are both open-collector with pullup
-- resistors to Vcc. An "open-collector" interface has two possible
-- states: low('0') or high impedance('Z').
-- When device wants to send a byte, it pulls the clock line low and the
-- host(i.e. this interfaces) recognizes that the device is sending data
-- When the host wants to send data, it maeks a request to send. This
-- is done by holding the clock line low for at least 100us, then with
-- the clock line low, the data line is brought low. Next the clock line
-- is released (placed in high impedance). The devices begins generating
-- clock signal on clock line.
-- When receiving data, bits are read from the data line (ps2_data) on
-- the falling edge of the clock (ps2_clk). When sending data, the
-- device reads the bits from the data line on the rising edge of the
-- clock.
-- A frame for sending a byte is comprised of 11 bits as shown bellow:
-- bits 10 9 8 7 6 5 4 3 2 1 0
-- -------------------------------------------------------------
-- | STOP| PAR | D7 | D6 | D5 | D4 | D3 | D2 | D1 | D0 | START |
-- -------------------------------------------------------------
-- STOP - stop bit, always '1'
-- PAR - parity bit, odd parity for the 8 data bits.
-- - select in such way that the number of bits of '1' in the data
-- - bits together with parity bit is odd.
-- D0-7 - data bits.
-- START - start bit, always '0'
--
-- Frame is sent bit by bit starting with the least significant bit
-- (starting bit) and is received the same way. This is done, when
-- receiving, by shifting the frame register to the left when a bit
-- is available and placing the bit on data line on the most significant
-- bit. This way the first bit sent will reach the least significant bit
-- of the frame when all the bits have been received. When sending data
-- the least significant bit of the frame is placed on the data line
-- and the frame is shifted to the right when another bit needs to be
-- sent. During the request to send, when releasing the clock line,
-- the device reads the data line and interprets the data on it as the
-- first bit of the frame. Data line is low at that time, at this is the
-- way the start bit('0') is sent. Because of this, when sending, only
-- 10 shifts of the frame will be made.
-- While the interface is sending or receiving data, the busy output
-- signal goes high. When interface is idle, busy is low.
-- After sending all the bits in the frame, the device must acknowledge
-- the data sent. This is done by the host releasing and data line
-- (clock line is already released) after the last bit is sent. The
-- devices brings the data line and the clock line low, in this order,
-- to acknowledge the data. If data line is high when clock line goes
-- low after last bit, the device did not acknowledge the data and
-- err output is set.
-- A FSM is used to manage the transitions the set all the command
-- signals. States that begin with "rx_" are used to receive data
-- from device and states begining with "tx_" are used to send data
-- to the device.
-- For the parity bit, a ROM holds the parity bit for all possible
-- data (256 possible values, since 8 bits of data). The ROM has
-- dimensions 256x1bit. For obtaining the parity bit of a value,
-- the bit at the data value address is read. Ex: to find the parity
-- bit of 174, the bit at address 174 is read.
-- For generating the necessary delay, counters are used. For example,
-- to generate the 100us delay a 14 bit counter is used that has the
-- upper limit for counting 10000. The interface is designed to run
-- at 100MHz. Thus, 10000x10ns = 100us.
-----------------------------------------------------------------------
-- If using the interface at different frequency than 100MHz, adjusting
-- the delay counters is necessary!!!
-----------------------------------------------------------------------
-- Clock line(ps2_clk) and data line(ps2_data) are passed through a
-- debouncer for the transitions of the clock and data to be clean.
-- Also, ps2_clk_s and ps2_data_s hold the debounced and synchronized
-- value of the clock and data line to the system clock(clk).
------------------------------------------------------------------------
-- Port definitions
------------------------------------------------------------------------
-- ps2_clk - inout pin, clock line of the ps/2 interface
-- ps2_data - inout pin, data line of the ps/2 interface
-- clk - input pin, system clock signal
-- rst - input pin, system reset signal
-- tx_data - input pin, 8 bits, from client
-- - data to be sent to the device
-- write_data - input pin, from client
-- - should be active for one clock period when then
-- - client wants to send data to the device and
-- - data to be sent is valid on tx_data
-- rx_data - output pin, 8 bits, to client
-- - data received from device
-- read - output pin, to client
-- - active for one clock period when new data is
-- - available from device
-- busy - output pin, to client
-- - active while sending or receiving data.
-- err - output pin, to client
-- - active for one clock period when an error occurred
-- - during sending or receiving.
------------------------------------------------------------------------
-- Revision History:
-- 09/18/2006(UlrichZ): created
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- simulation library
library UNISIM;
use UNISIM.VComponents.all;
-- the ps2interface entity declaration
-- read above for behavioral description and port definitions.
entity Ps2Interface is
port(
ps2_clk : inout std_logic;
ps2_data : inout std_logic;
clk : in std_logic;
rst : in std_logic;
tx_data : in std_logic_vector(7 downto 0);
write_data : in std_logic;
rx_data : out std_logic_vector(7 downto 0);
read_data : out std_logic;
busy : out std_logic;
err : out std_logic
);
-- forces the extraction of distributed ram for
-- the parity rom memory.
-- please remove if block ram is preffered.
attribute rom_extract : string;
attribute rom_extract of Ps2Interface: entity is "yes";
attribute rom_style : string;
attribute rom_style of Ps2Interface: entity is "distributed";
end Ps2Interface;
architecture Behavioral of Ps2Interface is
------------------------------------------------------------------------
-- CONSTANTS
------------------------------------------------------------------------
-- Values are valid for a 100MHz clk. Please adjust for other
-- frequencies if necessary!
-- upper limit for 100us delay counter.
-- 10000 * 10ns = 100us
constant DELAY_100US : std_logic_vector(13 downto 0):= "10011100010000";
-- 10000 clock periods
-- upper limit for 20us delay counter.
-- 2000 * 10ns = 20us
constant DELAY_20US : std_logic_vector(10 downto 0) := "11111010000";
-- 2000 clock periods
-- upper limit for 63clk delay counter.
constant DELAY_63CLK : std_logic_vector(6 downto 0) := "1111111";
-- 63 clock periods
-- delay from debouncing ps2_clk and ps2_data signals
constant DEBOUNCE_DELAY : std_logic_vector(3 downto 0) := "1111";
-- number of bits in a frame
constant NUMBITS: std_logic_vector(3 downto 0) := "1011"; -- 11
-- parity bit position in frame
constant PARITY_BIT: positive := 9;
-- (odd) parity bit ROM
-- Used instead of logic because this way speed is far greater
-- 256x1bit rom
-- If the odd parity bit for a 8 bits number, x, is needed
-- the bit at address x is the parity bit.
type ROM is array(0 to 255) of std_logic;
constant parityrom : ROM := (
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1'
);
------------------------------------------------------------------------
-- SIGNALS
------------------------------------------------------------------------
-- 14 bits counter
-- max value DELAY_100US
-- used to wait 100us
signal delay_100us_count: std_logic_vector(13 downto 0) :=
(others => '0');
-- 11 bits counter
-- max value DELAY_20US
-- used to wait 20us
signal delay_20us_count: std_logic_vector(10 downto 0) :=
(others => '0');
-- 11 bits counter
-- max value DELAY_63CLK
-- used to wait 63 clock periods
signal delay_63clk_count: std_logic_vector(6 downto 0) :=
(others => '0');
-- done signal for the couters above
-- when a counter reaches max value,the corresponding done signal is set
signal delay_100us_done, delay_20us_done, delay_63clk_done: std_logic;
-- enable signal for 100us delay counter
signal delay_100us_counter_enable: std_logic := '0';
-- enable signal for 20us delay counter
signal delay_20us_counter_enable : std_logic := '0';
-- enable signal for 63clk delay counter
signal delay_63clk_counter_enable: std_logic := '0';
-- synchronzed input for ps2_clk and ps2_data
signal ps2_clk_s,ps2_data_s: std_logic := '1';
-- control the output of ps2_clk and ps2_data
-- if 1 then corresponding signal (ps2_clk or ps2_data) is
-- put in high impedance ('Z').
signal ps2_clk_h,ps2_data_h: std_logic := '1';
-- states of the FSM for controlling the communcation with the device
-- states that begin with "rx_" are used when receiving data
-- states that begin with "tx_" are used when transmiting data
type fsm_state is
(
idle,rx_clk_h,rx_clk_l,rx_down_edge,rx_error_parity,rx_data_ready,
tx_force_clk_l,tx_bring_data_down,tx_release_clk,
tx_first_wait_down_edge,tx_clk_l,tx_wait_up_edge,tx_clk_h,
tx_wait_up_edge_before_ack,tx_wait_ack,tx_received_ack,
tx_error_no_ack
);
-- the signal that holds the current state of the FSM
-- implicitly state is idle.
signal state: fsm_state := idle;
-- register that holds the frame received or the one to be sent.
-- Its contents are shifted in from the bus one bit at a time
-- from left to right when receiving data and are shifted on the
-- bus (ps2_data) one bit at a time to the right when sending data
signal frame: std_logic_vector(10 downto 0) := (others => '0');
-- how many bits have been sent or received.
signal bit_count: std_logic_vector(3 downto 0) := (others => '0');
-- when active the bit counter is reset.
signal reset_bit_count: std_logic := '0';
-- when active the contents of the frame is shifted to the right
-- and the most significant bit of frame is loaded with ps2_data.
signal shift_frame: std_logic := '0';
-- parity of the byte that was received from the device.
-- must match the parity bit received, else error occurred.
signal rx_parity: std_logic := '0';
-- parity bit that is sent with the frame, representing the
-- odd parity of the byte currently being sent
signal tx_parity: std_logic := '0';
-- when active, frame is loaded with the start bit, data on
-- tx_data, parity bit (tx_parity) and stop bit
-- this frame will be sent to the device.
signal load_tx_data: std_logic := '0';
-- when active bits 8 downto 1 from frame are loaded into
-- rx_data register. This is the byte received from the device.
signal load_rx_data: std_logic := '0';
-- intermediary signals used to debounce the inputs ps2_clk and ps2_data
signal ps2_clk_clean,ps2_data_clean: std_logic := '1';
-- debounce counter for the ps2_clk input and the ps2_data input.
signal clk_count,data_count: std_logic_vector(3 downto 0);
-- last value on ps2_clk and ps2_data.
signal clk_inter,data_inter: std_logic := '1';
begin
---------------------------------------------------------------------
-- FLAGS and PS2 CLOCK AND DATA LINES
---------------------------------------------------------------------
-- clean ps2_clk signal (debounce)
-- note that this introduces a delay in ps2_clk of
-- DEBOUNCE_DELAY clocks
process(clk)
begin
if(rising_edge(clk)) then
-- if the current bit on ps2_clk is different
-- from the last value, then reset counter
-- and retain value
if(ps2_clk /= clk_inter) then
clk_inter <= ps2_clk;
clk_count <= (others => '0');
-- if counter reached upper limit, then
-- the signal is clean
elsif(clk_count = DEBOUNCE_DELAY) then
ps2_clk_clean <= clk_inter;
-- ps2_clk did not change, but counter did not
-- reach limit. Increment counter
else
clk_count <= clk_count + 1;
end if;
end if;
end process;
-- clean ps2_data signal (debounce)
-- note that this introduces a delay in ps2_data of
-- DEBOUNCE_DELAY clocks
process(clk)
begin
if(rising_edge(clk)) then
-- if the current bit on ps2_data is different
-- from the last value, then reset counter
-- and retain value
if(ps2_data /= data_inter) then
data_inter <= ps2_data;
data_count <= (others => '0');
-- if counter reached upper limit, then
-- the signal is clean
elsif(data_count = DEBOUNCE_DELAY) then
ps2_data_clean <= data_inter;
-- ps2_data did not change, but counter did not
-- reach limit. Increment counter
else
data_count <= data_count + 1;
end if;
end if;
end process;
-- Synchronize ps2 entries
ps2_clk_s <= ps2_clk_clean when rising_edge(clk);
ps2_data_s <= ps2_data_clean when rising_edge(clk);
-- Assign parity from frame bits 8 downto 1, this is the parity
-- that should be received inside the frame on PARITY_BIT position
rx_parity <= parityrom(conv_integer(frame(8 downto 1)))
when rising_edge(clk);
-- The parity for the data to be sent
tx_parity <= parityrom(conv_integer(tx_data)) when rising_edge(clk);
-- Force ps2_clk to '0' if ps2_clk_h = '0', else release the line
-- ('Z' = +5Vcc because of pull-ups)
ps2_clk <= 'Z' when ps2_clk_h = '1' else '0';
-- Force ps2_data to '0' if ps2_data_h = '0', else release the line
-- ('Z' = +5Vcc because of pull-ups)
ps2_data <= 'Z' when ps2_data_h = '1' else '0';
-- Control busy flag. Interface is not busy while in idle state.
busy <= '0' when state = idle else '1';
-- reset the bit counter when in idle state.
reset_bit_count <= '1' when state = idle else '0';
-- Control shifting of the frame
-- When receiving from device, data is read
-- on the falling edge of ps2_clk
-- When sending to device, data is read by device
-- on the rising edge of ps2_clk
shift_frame <= '1' when state = rx_down_edge or
state = tx_clk_l else
'0';
---------------------------------------------------------------------
-- FINITE STATE MACHINE
---------------------------------------------------------------------
-- For the current state establish next state
-- and give necessary commands
manage_fsm: process(clk,rst,state,ps2_clk_s,ps2_data_s,write_data,tx_data,
bit_count,rx_parity,frame,delay_100us_done,
delay_20us_done,delay_63clk_done)
begin
-- if reset occurs, go to idle state.
if(rst = '1') then
state <= idle;
elsif(rising_edge(clk)) then
-- default values for these signals
-- ensures signals are reset to default value
-- when coditions for their activation are no
-- longer applied (transition to other state,
-- where signal should not be active)
-- Idle value for ps2_clk and ps2_data is 'Z'
ps2_clk_h <= '1';
ps2_data_h <= '1';
load_tx_data <= '0';
load_rx_data <= '0';
read_data <= '0';
err <= '0';
case state is
-- wait for the device to begin a transmission
-- by pulling the clock line low and go to state
-- rx_down_edge or, if write is high, the
-- client of this interface wants to send a byte
-- to the device and a transition is made to state
-- tx_force_clk_l
when idle =>
if(ps2_clk_s = '0') then
state <= rx_down_edge;
elsif(write_data = '1') then
state <= tx_force_clk_l;
else
state <= idle;
end if;
-- ps2_clk is high, check if all the bits have been read
-- if, last bit read, check parity, and if parity ok
-- load received data into rx_data.
-- else if more bits left, then wait for the ps2_clk to
-- go low
when rx_clk_h =>
if(bit_count = NUMBITS) then
if(not (rx_parity = frame(PARITY_BIT))) then
state <= rx_error_parity;
else
load_rx_data <= '1';
state <= rx_data_ready;
end if;
elsif(ps2_clk_s = '0') then
state <= rx_down_edge;
else
state <= rx_clk_h;
end if;
-- data must be read into frame in this state
-- the ps2_clk just transitioned from high to low
when rx_down_edge =>
state <= rx_clk_l;
-- ps2_clk line is low, wait for it to go high
when rx_clk_l =>
if(ps2_clk_s = '1') then
state <= rx_clk_h;
else
state <= rx_clk_l;
end if;
-- parity bit received is invalid
-- signal error and go back to idle.
when rx_error_parity =>
err <= '1';
state <= idle;
-- parity bit received was good
-- set read signal for the client to know
-- a new byte was received and is available on rx_data
when rx_data_ready =>
read_data <= '1';
state <= idle;
-- the client wishes to transmit a byte to the device
-- this is done by holding ps2_clk down for at least 100us
-- bringing down ps2_data, wait 20us and then releasing
-- the ps2_clk.
-- This constitutes a request to send command.
-- In this state, the ps2_clk line is held down and
-- the counter for waiting 100us is eanbled.
-- when the counter reached upper limit, transition
-- to tx_bring_data_down
when tx_force_clk_l =>
load_tx_data <= '1';
ps2_clk_h <= '0';
if(delay_100us_done = '1') then
state <= tx_bring_data_down;
else
state <= tx_force_clk_l;
end if;
-- with the ps2_clk line low bring ps2_data low
-- wait for 20us and then go to tx_release_clk
when tx_bring_data_down =>
-- keep clock line low
ps2_clk_h <= '0';
-- set data line low
-- when clock is released in the next state
-- the device will read bit 0 on data line
-- and this bit represents the start bit.
ps2_data_h <= '0'; -- start bit = '0'
if(delay_20us_done = '1') then
state <= tx_release_clk;
else
state <= tx_bring_data_down;
end if;
-- release the ps2_clk line
-- keep holding data line low
when tx_release_clk =>
ps2_clk_h <= '1';
-- must maintain data low,
-- otherwise will be released by default value
ps2_data_h <= '0';
state <= tx_first_wait_down_edge;
-- state is necessary because the clock signal
-- is not released instantaneously and, because of debounce,
-- delay is even greater.
-- Wait 63 clock periods for the clock line to release
-- then if clock is low then go to tx_clk_l
-- else wait until ps2_clk goes low.
when tx_first_wait_down_edge =>
ps2_data_h <= '0';
if(delay_63clk_done = '1') then
if(ps2_clk_s = '0') then
state <= tx_clk_l;
else
state <= tx_first_wait_down_edge;
end if;
else
state <= tx_first_wait_down_edge;
end if;
-- place the least significant bit from frame
-- on the data line
-- During this state the frame is shifted one
-- bit to the right
when tx_clk_l =>
ps2_data_h <= frame(0);
state <= tx_wait_up_edge;
-- wait for the clock to go high
-- this is the edge on which the device reads the data
-- on ps2_data.
-- keep holding ps2_data on frame(0) because else
-- will be released by default value.
-- Check if sent the last bit and if so, release data line
-- and go to state that wait for acknowledge
when tx_wait_up_edge =>
ps2_data_h <= frame(0);
-- NUMBITS - 1 because first (start bit = 0) bit was read
-- when the clock line was released in the request to
-- send command (see tx_bring_data_down state).
if(bit_count = NUMBITS-1) then
ps2_data_h <= '1';
state <= tx_wait_up_edge_before_ack;
-- if more bits to send, wait for the up edge
-- of ps2_clk
elsif(ps2_clk_s = '1') then
state <= tx_clk_h;
else
state <= tx_wait_up_edge;
end if;
-- ps2_clk is released, wait for down edge
-- and go to tx_clk_l when arrived
when tx_clk_h =>
ps2_data_h <= frame(0);
if(ps2_clk_s = '0') then
state <= tx_clk_l;
else
state <= tx_clk_h;
end if;
-- release ps2_data and wait for rising edge of ps2_clk
-- once this occurs, transition to tx_wait_ack
when tx_wait_up_edge_before_ack =>
ps2_data_h <= '1';
if(ps2_clk_s = '1') then
state <= tx_wait_ack;
else
state <= tx_wait_up_edge_before_ack;
end if;
-- wait for the falling edge of the clock line
-- if data line is low when this occurs, the
-- ack is received
-- else if data line is high, the device did not
-- acknowledge the transimission
when tx_wait_ack =>
if(ps2_clk_s = '0') then
if(ps2_data_s = '0') then
-- acknowledge received
state <= tx_received_ack;
else
-- acknowledge not received
state <= tx_error_no_ack;
end if;
else
state <= tx_wait_ack;
end if;
-- wait for ps2_clk to be released together with ps2_data
-- (bus to be idle) and go back to idle state
when tx_received_ack =>
if(ps2_clk_s = '1' and ps2_data_s = '1') then
state <= idle;
else
state <= tx_received_ack;
end if;
-- wait for ps2_clk to be released together with ps2_data
-- (bus to be idle) and go back to idle state
-- signal error for not receiving ack
when tx_error_no_ack =>
if(ps2_clk_s = '1' and ps2_data_s = '1') then
err <= '1';
state <= idle;
else
state <= tx_error_no_ack;
end if;
-- if invalid transition occurred, signal error and
-- go back to idle state
when others =>
err <= '1';
state <= idle;
end case;
end if;
end process manage_fsm;
---------------------------------------------------------------------
-- DELAY COUNTERS
---------------------------------------------------------------------
-- Enable the 100us counter only when state is tx_force_clk_l
delay_100us_counter_enable <= '1' when state = tx_force_clk_l else '0';
-- Counter for a 100us delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_100us_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_100us_counter_enable = '1') then
if(delay_100us_count = (DELAY_100US)) then
delay_100us_count <= delay_100us_count;
delay_100us_done <= '1';
else
delay_100us_count <= delay_100us_count + 1;
delay_100us_done <= '0';
end if;
else
delay_100us_count <= (others => '0');
delay_100us_done <= '0';
end if;
end if;
end process delay_100us_counter;
-- Enable the 20us counter only when state is tx_bring_data_down
delay_20us_counter_enable <= '1' when state = tx_bring_data_down else '0';
-- Counter for a 20us delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_20us_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_20us_counter_enable = '1') then
if(delay_20us_count = (DELAY_20US)) then
delay_20us_count <= delay_20us_count;
delay_20us_done <= '1';
else
delay_20us_count <= delay_20us_count + 1;
delay_20us_done <= '0';
end if;
else
delay_20us_count <= (others => '0');
delay_20us_done <= '0';
end if;
end if;
end process delay_20us_counter;
-- Enable the 63clk counter only when state is tx_first_wait_down_edge
delay_63clk_counter_enable <= '1' when state = tx_first_wait_down_edge else '0';
-- Counter for a 63 clock periods delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_63clk_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_63clk_counter_enable = '1') then
if(delay_63clk_count = (DELAY_63CLK)) then
delay_63clk_count <= delay_63clk_count;
delay_63clk_done <= '1';
else
delay_63clk_count <= delay_63clk_count + 1;
delay_63clk_done <= '0';
end if;
else
delay_63clk_count <= (others => '0');
delay_63clk_done <= '0';
end if;
end if;
end process delay_63clk_counter;
---------------------------------------------------------------------
-- BIT COUNTER AND FRAME SHIFTING LOGIC
---------------------------------------------------------------------
-- counts the number of bits shifted into the frame
-- or out of the frame.
bit_counter: process(clk)
begin
if(rising_edge(clk)) then
if(reset_bit_count = '1') then
bit_count <= (others => '0');
elsif(shift_frame = '1') then
bit_count <= bit_count + 1;
end if;
end if;
end process bit_counter;
-- shifts frame with one bit to right when shift_frame is acitve
-- and loads data into frame from tx_data then load_tx_data is high
load_tx_data_into_frame: process(clk)
begin
if(rising_edge(clk)) then
if(load_tx_data = '1') then
frame(8 downto 1) <= tx_data; -- byte to send
frame(0) <= '0'; -- start bit
frame(10) <= '1'; -- stop bit
frame(9) <= tx_parity; -- parity bit
elsif(shift_frame = '1') then
-- shift right 1 bit
frame(9 downto 0) <= frame(10 downto 1);
-- shift in from the ps2_data line
frame(10) <= ps2_data_s;
end if;
end if;
end process load_tx_data_into_frame;
-- Loads data from frame into rx_data output when data is ready
do_load_rx_data: process(clk)
begin
if(rising_edge(clk)) then
if(load_rx_data = '1') then
rx_data <= frame(8 downto 1);
end if;
end if;
end process do_load_rx_data;
end Behavioral;
|
------------------------------------------------------------------------
-- ps2interface.vhd
------------------------------------------------------------------------
-- Author : Ulrich Zoltán
-- Copyright 2006 Digilent, Inc.
------------------------------------------------------------------------
-- This file contains the implementation of a generic bidirectional
-- ps/2 interface.
------------------------------------------------------------------------
-- Behavioral description
------------------------------------------------------------------------
-- Please read the following article on the web for understanding how
-- the ps/2 protocol works.
-- http://www.computer-engineering.org/ps2protocol/
-- This module implements a generic bidirectional ps/2 interface. It can
-- be used with any ps/2 compatible device. It offers its clients a
-- convenient way to exchange data with the device. The interface
-- transparently wraps the byte to be sent into a ps/2 frame, generates
-- parity for byte and sends the frame one bit at a time to the device.
-- Similarly, when receiving data from the ps2 device, the interface
-- receives the frame, checks for parity, and extract the usefull data
-- and forwards it to the client. If an error occurs during receiving
-- or sending a byte, the client is informed by settings the err output
-- line high. This way, the client can resend the data or can issue
-- a resend command to the device.
-- The physical ps/2 interface uses 4 lines
-- For the 6-pin connector pins are assigned as follows:
-- 1 - Data
-- 2 - Not Implemented
-- 3 - Ground
-- 4 - Vcc (+5V)
-- 5 - Clock
-- 6 - Not Implemented
-- The clock line carries the device generated clock which has a
-- frequency in range 10 - 16.7 kHz (30 to 50us). When line is idle
-- it is placed in high impedance. The clock is only generated when
-- device is sending or receiving data.
-- The Data and Clock lines are both open-collector with pullup
-- resistors to Vcc. An "open-collector" interface has two possible
-- states: low('0') or high impedance('Z').
-- When device wants to send a byte, it pulls the clock line low and the
-- host(i.e. this interfaces) recognizes that the device is sending data
-- When the host wants to send data, it maeks a request to send. This
-- is done by holding the clock line low for at least 100us, then with
-- the clock line low, the data line is brought low. Next the clock line
-- is released (placed in high impedance). The devices begins generating
-- clock signal on clock line.
-- When receiving data, bits are read from the data line (ps2_data) on
-- the falling edge of the clock (ps2_clk). When sending data, the
-- device reads the bits from the data line on the rising edge of the
-- clock.
-- A frame for sending a byte is comprised of 11 bits as shown bellow:
-- bits 10 9 8 7 6 5 4 3 2 1 0
-- -------------------------------------------------------------
-- | STOP| PAR | D7 | D6 | D5 | D4 | D3 | D2 | D1 | D0 | START |
-- -------------------------------------------------------------
-- STOP - stop bit, always '1'
-- PAR - parity bit, odd parity for the 8 data bits.
-- - select in such way that the number of bits of '1' in the data
-- - bits together with parity bit is odd.
-- D0-7 - data bits.
-- START - start bit, always '0'
--
-- Frame is sent bit by bit starting with the least significant bit
-- (starting bit) and is received the same way. This is done, when
-- receiving, by shifting the frame register to the left when a bit
-- is available and placing the bit on data line on the most significant
-- bit. This way the first bit sent will reach the least significant bit
-- of the frame when all the bits have been received. When sending data
-- the least significant bit of the frame is placed on the data line
-- and the frame is shifted to the right when another bit needs to be
-- sent. During the request to send, when releasing the clock line,
-- the device reads the data line and interprets the data on it as the
-- first bit of the frame. Data line is low at that time, at this is the
-- way the start bit('0') is sent. Because of this, when sending, only
-- 10 shifts of the frame will be made.
-- While the interface is sending or receiving data, the busy output
-- signal goes high. When interface is idle, busy is low.
-- After sending all the bits in the frame, the device must acknowledge
-- the data sent. This is done by the host releasing and data line
-- (clock line is already released) after the last bit is sent. The
-- devices brings the data line and the clock line low, in this order,
-- to acknowledge the data. If data line is high when clock line goes
-- low after last bit, the device did not acknowledge the data and
-- err output is set.
-- A FSM is used to manage the transitions the set all the command
-- signals. States that begin with "rx_" are used to receive data
-- from device and states begining with "tx_" are used to send data
-- to the device.
-- For the parity bit, a ROM holds the parity bit for all possible
-- data (256 possible values, since 8 bits of data). The ROM has
-- dimensions 256x1bit. For obtaining the parity bit of a value,
-- the bit at the data value address is read. Ex: to find the parity
-- bit of 174, the bit at address 174 is read.
-- For generating the necessary delay, counters are used. For example,
-- to generate the 100us delay a 14 bit counter is used that has the
-- upper limit for counting 10000. The interface is designed to run
-- at 100MHz. Thus, 10000x10ns = 100us.
-----------------------------------------------------------------------
-- If using the interface at different frequency than 100MHz, adjusting
-- the delay counters is necessary!!!
-----------------------------------------------------------------------
-- Clock line(ps2_clk) and data line(ps2_data) are passed through a
-- debouncer for the transitions of the clock and data to be clean.
-- Also, ps2_clk_s and ps2_data_s hold the debounced and synchronized
-- value of the clock and data line to the system clock(clk).
------------------------------------------------------------------------
-- Port definitions
------------------------------------------------------------------------
-- ps2_clk - inout pin, clock line of the ps/2 interface
-- ps2_data - inout pin, data line of the ps/2 interface
-- clk - input pin, system clock signal
-- rst - input pin, system reset signal
-- tx_data - input pin, 8 bits, from client
-- - data to be sent to the device
-- write_data - input pin, from client
-- - should be active for one clock period when then
-- - client wants to send data to the device and
-- - data to be sent is valid on tx_data
-- rx_data - output pin, 8 bits, to client
-- - data received from device
-- read - output pin, to client
-- - active for one clock period when new data is
-- - available from device
-- busy - output pin, to client
-- - active while sending or receiving data.
-- err - output pin, to client
-- - active for one clock period when an error occurred
-- - during sending or receiving.
------------------------------------------------------------------------
-- Revision History:
-- 09/18/2006(UlrichZ): created
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- simulation library
library UNISIM;
use UNISIM.VComponents.all;
-- the ps2interface entity declaration
-- read above for behavioral description and port definitions.
entity Ps2Interface is
port(
ps2_clk : inout std_logic;
ps2_data : inout std_logic;
clk : in std_logic;
rst : in std_logic;
tx_data : in std_logic_vector(7 downto 0);
write_data : in std_logic;
rx_data : out std_logic_vector(7 downto 0);
read_data : out std_logic;
busy : out std_logic;
err : out std_logic
);
-- forces the extraction of distributed ram for
-- the parity rom memory.
-- please remove if block ram is preffered.
attribute rom_extract : string;
attribute rom_extract of Ps2Interface: entity is "yes";
attribute rom_style : string;
attribute rom_style of Ps2Interface: entity is "distributed";
end Ps2Interface;
architecture Behavioral of Ps2Interface is
------------------------------------------------------------------------
-- CONSTANTS
------------------------------------------------------------------------
-- Values are valid for a 100MHz clk. Please adjust for other
-- frequencies if necessary!
-- upper limit for 100us delay counter.
-- 10000 * 10ns = 100us
constant DELAY_100US : std_logic_vector(13 downto 0):= "10011100010000";
-- 10000 clock periods
-- upper limit for 20us delay counter.
-- 2000 * 10ns = 20us
constant DELAY_20US : std_logic_vector(10 downto 0) := "11111010000";
-- 2000 clock periods
-- upper limit for 63clk delay counter.
constant DELAY_63CLK : std_logic_vector(6 downto 0) := "1111111";
-- 63 clock periods
-- delay from debouncing ps2_clk and ps2_data signals
constant DEBOUNCE_DELAY : std_logic_vector(3 downto 0) := "1111";
-- number of bits in a frame
constant NUMBITS: std_logic_vector(3 downto 0) := "1011"; -- 11
-- parity bit position in frame
constant PARITY_BIT: positive := 9;
-- (odd) parity bit ROM
-- Used instead of logic because this way speed is far greater
-- 256x1bit rom
-- If the odd parity bit for a 8 bits number, x, is needed
-- the bit at address x is the parity bit.
type ROM is array(0 to 255) of std_logic;
constant parityrom : ROM := (
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1'
);
------------------------------------------------------------------------
-- SIGNALS
------------------------------------------------------------------------
-- 14 bits counter
-- max value DELAY_100US
-- used to wait 100us
signal delay_100us_count: std_logic_vector(13 downto 0) :=
(others => '0');
-- 11 bits counter
-- max value DELAY_20US
-- used to wait 20us
signal delay_20us_count: std_logic_vector(10 downto 0) :=
(others => '0');
-- 11 bits counter
-- max value DELAY_63CLK
-- used to wait 63 clock periods
signal delay_63clk_count: std_logic_vector(6 downto 0) :=
(others => '0');
-- done signal for the couters above
-- when a counter reaches max value,the corresponding done signal is set
signal delay_100us_done, delay_20us_done, delay_63clk_done: std_logic;
-- enable signal for 100us delay counter
signal delay_100us_counter_enable: std_logic := '0';
-- enable signal for 20us delay counter
signal delay_20us_counter_enable : std_logic := '0';
-- enable signal for 63clk delay counter
signal delay_63clk_counter_enable: std_logic := '0';
-- synchronzed input for ps2_clk and ps2_data
signal ps2_clk_s,ps2_data_s: std_logic := '1';
-- control the output of ps2_clk and ps2_data
-- if 1 then corresponding signal (ps2_clk or ps2_data) is
-- put in high impedance ('Z').
signal ps2_clk_h,ps2_data_h: std_logic := '1';
-- states of the FSM for controlling the communcation with the device
-- states that begin with "rx_" are used when receiving data
-- states that begin with "tx_" are used when transmiting data
type fsm_state is
(
idle,rx_clk_h,rx_clk_l,rx_down_edge,rx_error_parity,rx_data_ready,
tx_force_clk_l,tx_bring_data_down,tx_release_clk,
tx_first_wait_down_edge,tx_clk_l,tx_wait_up_edge,tx_clk_h,
tx_wait_up_edge_before_ack,tx_wait_ack,tx_received_ack,
tx_error_no_ack
);
-- the signal that holds the current state of the FSM
-- implicitly state is idle.
signal state: fsm_state := idle;
-- register that holds the frame received or the one to be sent.
-- Its contents are shifted in from the bus one bit at a time
-- from left to right when receiving data and are shifted on the
-- bus (ps2_data) one bit at a time to the right when sending data
signal frame: std_logic_vector(10 downto 0) := (others => '0');
-- how many bits have been sent or received.
signal bit_count: std_logic_vector(3 downto 0) := (others => '0');
-- when active the bit counter is reset.
signal reset_bit_count: std_logic := '0';
-- when active the contents of the frame is shifted to the right
-- and the most significant bit of frame is loaded with ps2_data.
signal shift_frame: std_logic := '0';
-- parity of the byte that was received from the device.
-- must match the parity bit received, else error occurred.
signal rx_parity: std_logic := '0';
-- parity bit that is sent with the frame, representing the
-- odd parity of the byte currently being sent
signal tx_parity: std_logic := '0';
-- when active, frame is loaded with the start bit, data on
-- tx_data, parity bit (tx_parity) and stop bit
-- this frame will be sent to the device.
signal load_tx_data: std_logic := '0';
-- when active bits 8 downto 1 from frame are loaded into
-- rx_data register. This is the byte received from the device.
signal load_rx_data: std_logic := '0';
-- intermediary signals used to debounce the inputs ps2_clk and ps2_data
signal ps2_clk_clean,ps2_data_clean: std_logic := '1';
-- debounce counter for the ps2_clk input and the ps2_data input.
signal clk_count,data_count: std_logic_vector(3 downto 0);
-- last value on ps2_clk and ps2_data.
signal clk_inter,data_inter: std_logic := '1';
begin
---------------------------------------------------------------------
-- FLAGS and PS2 CLOCK AND DATA LINES
---------------------------------------------------------------------
-- clean ps2_clk signal (debounce)
-- note that this introduces a delay in ps2_clk of
-- DEBOUNCE_DELAY clocks
process(clk)
begin
if(rising_edge(clk)) then
-- if the current bit on ps2_clk is different
-- from the last value, then reset counter
-- and retain value
if(ps2_clk /= clk_inter) then
clk_inter <= ps2_clk;
clk_count <= (others => '0');
-- if counter reached upper limit, then
-- the signal is clean
elsif(clk_count = DEBOUNCE_DELAY) then
ps2_clk_clean <= clk_inter;
-- ps2_clk did not change, but counter did not
-- reach limit. Increment counter
else
clk_count <= clk_count + 1;
end if;
end if;
end process;
-- clean ps2_data signal (debounce)
-- note that this introduces a delay in ps2_data of
-- DEBOUNCE_DELAY clocks
process(clk)
begin
if(rising_edge(clk)) then
-- if the current bit on ps2_data is different
-- from the last value, then reset counter
-- and retain value
if(ps2_data /= data_inter) then
data_inter <= ps2_data;
data_count <= (others => '0');
-- if counter reached upper limit, then
-- the signal is clean
elsif(data_count = DEBOUNCE_DELAY) then
ps2_data_clean <= data_inter;
-- ps2_data did not change, but counter did not
-- reach limit. Increment counter
else
data_count <= data_count + 1;
end if;
end if;
end process;
-- Synchronize ps2 entries
ps2_clk_s <= ps2_clk_clean when rising_edge(clk);
ps2_data_s <= ps2_data_clean when rising_edge(clk);
-- Assign parity from frame bits 8 downto 1, this is the parity
-- that should be received inside the frame on PARITY_BIT position
rx_parity <= parityrom(conv_integer(frame(8 downto 1)))
when rising_edge(clk);
-- The parity for the data to be sent
tx_parity <= parityrom(conv_integer(tx_data)) when rising_edge(clk);
-- Force ps2_clk to '0' if ps2_clk_h = '0', else release the line
-- ('Z' = +5Vcc because of pull-ups)
ps2_clk <= 'Z' when ps2_clk_h = '1' else '0';
-- Force ps2_data to '0' if ps2_data_h = '0', else release the line
-- ('Z' = +5Vcc because of pull-ups)
ps2_data <= 'Z' when ps2_data_h = '1' else '0';
-- Control busy flag. Interface is not busy while in idle state.
busy <= '0' when state = idle else '1';
-- reset the bit counter when in idle state.
reset_bit_count <= '1' when state = idle else '0';
-- Control shifting of the frame
-- When receiving from device, data is read
-- on the falling edge of ps2_clk
-- When sending to device, data is read by device
-- on the rising edge of ps2_clk
shift_frame <= '1' when state = rx_down_edge or
state = tx_clk_l else
'0';
---------------------------------------------------------------------
-- FINITE STATE MACHINE
---------------------------------------------------------------------
-- For the current state establish next state
-- and give necessary commands
manage_fsm: process(clk,rst,state,ps2_clk_s,ps2_data_s,write_data,tx_data,
bit_count,rx_parity,frame,delay_100us_done,
delay_20us_done,delay_63clk_done)
begin
-- if reset occurs, go to idle state.
if(rst = '1') then
state <= idle;
elsif(rising_edge(clk)) then
-- default values for these signals
-- ensures signals are reset to default value
-- when coditions for their activation are no
-- longer applied (transition to other state,
-- where signal should not be active)
-- Idle value for ps2_clk and ps2_data is 'Z'
ps2_clk_h <= '1';
ps2_data_h <= '1';
load_tx_data <= '0';
load_rx_data <= '0';
read_data <= '0';
err <= '0';
case state is
-- wait for the device to begin a transmission
-- by pulling the clock line low and go to state
-- rx_down_edge or, if write is high, the
-- client of this interface wants to send a byte
-- to the device and a transition is made to state
-- tx_force_clk_l
when idle =>
if(ps2_clk_s = '0') then
state <= rx_down_edge;
elsif(write_data = '1') then
state <= tx_force_clk_l;
else
state <= idle;
end if;
-- ps2_clk is high, check if all the bits have been read
-- if, last bit read, check parity, and if parity ok
-- load received data into rx_data.
-- else if more bits left, then wait for the ps2_clk to
-- go low
when rx_clk_h =>
if(bit_count = NUMBITS) then
if(not (rx_parity = frame(PARITY_BIT))) then
state <= rx_error_parity;
else
load_rx_data <= '1';
state <= rx_data_ready;
end if;
elsif(ps2_clk_s = '0') then
state <= rx_down_edge;
else
state <= rx_clk_h;
end if;
-- data must be read into frame in this state
-- the ps2_clk just transitioned from high to low
when rx_down_edge =>
state <= rx_clk_l;
-- ps2_clk line is low, wait for it to go high
when rx_clk_l =>
if(ps2_clk_s = '1') then
state <= rx_clk_h;
else
state <= rx_clk_l;
end if;
-- parity bit received is invalid
-- signal error and go back to idle.
when rx_error_parity =>
err <= '1';
state <= idle;
-- parity bit received was good
-- set read signal for the client to know
-- a new byte was received and is available on rx_data
when rx_data_ready =>
read_data <= '1';
state <= idle;
-- the client wishes to transmit a byte to the device
-- this is done by holding ps2_clk down for at least 100us
-- bringing down ps2_data, wait 20us and then releasing
-- the ps2_clk.
-- This constitutes a request to send command.
-- In this state, the ps2_clk line is held down and
-- the counter for waiting 100us is eanbled.
-- when the counter reached upper limit, transition
-- to tx_bring_data_down
when tx_force_clk_l =>
load_tx_data <= '1';
ps2_clk_h <= '0';
if(delay_100us_done = '1') then
state <= tx_bring_data_down;
else
state <= tx_force_clk_l;
end if;
-- with the ps2_clk line low bring ps2_data low
-- wait for 20us and then go to tx_release_clk
when tx_bring_data_down =>
-- keep clock line low
ps2_clk_h <= '0';
-- set data line low
-- when clock is released in the next state
-- the device will read bit 0 on data line
-- and this bit represents the start bit.
ps2_data_h <= '0'; -- start bit = '0'
if(delay_20us_done = '1') then
state <= tx_release_clk;
else
state <= tx_bring_data_down;
end if;
-- release the ps2_clk line
-- keep holding data line low
when tx_release_clk =>
ps2_clk_h <= '1';
-- must maintain data low,
-- otherwise will be released by default value
ps2_data_h <= '0';
state <= tx_first_wait_down_edge;
-- state is necessary because the clock signal
-- is not released instantaneously and, because of debounce,
-- delay is even greater.
-- Wait 63 clock periods for the clock line to release
-- then if clock is low then go to tx_clk_l
-- else wait until ps2_clk goes low.
when tx_first_wait_down_edge =>
ps2_data_h <= '0';
if(delay_63clk_done = '1') then
if(ps2_clk_s = '0') then
state <= tx_clk_l;
else
state <= tx_first_wait_down_edge;
end if;
else
state <= tx_first_wait_down_edge;
end if;
-- place the least significant bit from frame
-- on the data line
-- During this state the frame is shifted one
-- bit to the right
when tx_clk_l =>
ps2_data_h <= frame(0);
state <= tx_wait_up_edge;
-- wait for the clock to go high
-- this is the edge on which the device reads the data
-- on ps2_data.
-- keep holding ps2_data on frame(0) because else
-- will be released by default value.
-- Check if sent the last bit and if so, release data line
-- and go to state that wait for acknowledge
when tx_wait_up_edge =>
ps2_data_h <= frame(0);
-- NUMBITS - 1 because first (start bit = 0) bit was read
-- when the clock line was released in the request to
-- send command (see tx_bring_data_down state).
if(bit_count = NUMBITS-1) then
ps2_data_h <= '1';
state <= tx_wait_up_edge_before_ack;
-- if more bits to send, wait for the up edge
-- of ps2_clk
elsif(ps2_clk_s = '1') then
state <= tx_clk_h;
else
state <= tx_wait_up_edge;
end if;
-- ps2_clk is released, wait for down edge
-- and go to tx_clk_l when arrived
when tx_clk_h =>
ps2_data_h <= frame(0);
if(ps2_clk_s = '0') then
state <= tx_clk_l;
else
state <= tx_clk_h;
end if;
-- release ps2_data and wait for rising edge of ps2_clk
-- once this occurs, transition to tx_wait_ack
when tx_wait_up_edge_before_ack =>
ps2_data_h <= '1';
if(ps2_clk_s = '1') then
state <= tx_wait_ack;
else
state <= tx_wait_up_edge_before_ack;
end if;
-- wait for the falling edge of the clock line
-- if data line is low when this occurs, the
-- ack is received
-- else if data line is high, the device did not
-- acknowledge the transimission
when tx_wait_ack =>
if(ps2_clk_s = '0') then
if(ps2_data_s = '0') then
-- acknowledge received
state <= tx_received_ack;
else
-- acknowledge not received
state <= tx_error_no_ack;
end if;
else
state <= tx_wait_ack;
end if;
-- wait for ps2_clk to be released together with ps2_data
-- (bus to be idle) and go back to idle state
when tx_received_ack =>
if(ps2_clk_s = '1' and ps2_data_s = '1') then
state <= idle;
else
state <= tx_received_ack;
end if;
-- wait for ps2_clk to be released together with ps2_data
-- (bus to be idle) and go back to idle state
-- signal error for not receiving ack
when tx_error_no_ack =>
if(ps2_clk_s = '1' and ps2_data_s = '1') then
err <= '1';
state <= idle;
else
state <= tx_error_no_ack;
end if;
-- if invalid transition occurred, signal error and
-- go back to idle state
when others =>
err <= '1';
state <= idle;
end case;
end if;
end process manage_fsm;
---------------------------------------------------------------------
-- DELAY COUNTERS
---------------------------------------------------------------------
-- Enable the 100us counter only when state is tx_force_clk_l
delay_100us_counter_enable <= '1' when state = tx_force_clk_l else '0';
-- Counter for a 100us delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_100us_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_100us_counter_enable = '1') then
if(delay_100us_count = (DELAY_100US)) then
delay_100us_count <= delay_100us_count;
delay_100us_done <= '1';
else
delay_100us_count <= delay_100us_count + 1;
delay_100us_done <= '0';
end if;
else
delay_100us_count <= (others => '0');
delay_100us_done <= '0';
end if;
end if;
end process delay_100us_counter;
-- Enable the 20us counter only when state is tx_bring_data_down
delay_20us_counter_enable <= '1' when state = tx_bring_data_down else '0';
-- Counter for a 20us delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_20us_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_20us_counter_enable = '1') then
if(delay_20us_count = (DELAY_20US)) then
delay_20us_count <= delay_20us_count;
delay_20us_done <= '1';
else
delay_20us_count <= delay_20us_count + 1;
delay_20us_done <= '0';
end if;
else
delay_20us_count <= (others => '0');
delay_20us_done <= '0';
end if;
end if;
end process delay_20us_counter;
-- Enable the 63clk counter only when state is tx_first_wait_down_edge
delay_63clk_counter_enable <= '1' when state = tx_first_wait_down_edge else '0';
-- Counter for a 63 clock periods delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_63clk_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_63clk_counter_enable = '1') then
if(delay_63clk_count = (DELAY_63CLK)) then
delay_63clk_count <= delay_63clk_count;
delay_63clk_done <= '1';
else
delay_63clk_count <= delay_63clk_count + 1;
delay_63clk_done <= '0';
end if;
else
delay_63clk_count <= (others => '0');
delay_63clk_done <= '0';
end if;
end if;
end process delay_63clk_counter;
---------------------------------------------------------------------
-- BIT COUNTER AND FRAME SHIFTING LOGIC
---------------------------------------------------------------------
-- counts the number of bits shifted into the frame
-- or out of the frame.
bit_counter: process(clk)
begin
if(rising_edge(clk)) then
if(reset_bit_count = '1') then
bit_count <= (others => '0');
elsif(shift_frame = '1') then
bit_count <= bit_count + 1;
end if;
end if;
end process bit_counter;
-- shifts frame with one bit to right when shift_frame is acitve
-- and loads data into frame from tx_data then load_tx_data is high
load_tx_data_into_frame: process(clk)
begin
if(rising_edge(clk)) then
if(load_tx_data = '1') then
frame(8 downto 1) <= tx_data; -- byte to send
frame(0) <= '0'; -- start bit
frame(10) <= '1'; -- stop bit
frame(9) <= tx_parity; -- parity bit
elsif(shift_frame = '1') then
-- shift right 1 bit
frame(9 downto 0) <= frame(10 downto 1);
-- shift in from the ps2_data line
frame(10) <= ps2_data_s;
end if;
end if;
end process load_tx_data_into_frame;
-- Loads data from frame into rx_data output when data is ready
do_load_rx_data: process(clk)
begin
if(rising_edge(clk)) then
if(load_rx_data = '1') then
rx_data <= frame(8 downto 1);
end if;
end if;
end process do_load_rx_data;
end Behavioral;
|
------------------------------------------------------------------------
-- ps2interface.vhd
------------------------------------------------------------------------
-- Author : Ulrich Zoltán
-- Copyright 2006 Digilent, Inc.
------------------------------------------------------------------------
-- This file contains the implementation of a generic bidirectional
-- ps/2 interface.
------------------------------------------------------------------------
-- Behavioral description
------------------------------------------------------------------------
-- Please read the following article on the web for understanding how
-- the ps/2 protocol works.
-- http://www.computer-engineering.org/ps2protocol/
-- This module implements a generic bidirectional ps/2 interface. It can
-- be used with any ps/2 compatible device. It offers its clients a
-- convenient way to exchange data with the device. The interface
-- transparently wraps the byte to be sent into a ps/2 frame, generates
-- parity for byte and sends the frame one bit at a time to the device.
-- Similarly, when receiving data from the ps2 device, the interface
-- receives the frame, checks for parity, and extract the usefull data
-- and forwards it to the client. If an error occurs during receiving
-- or sending a byte, the client is informed by settings the err output
-- line high. This way, the client can resend the data or can issue
-- a resend command to the device.
-- The physical ps/2 interface uses 4 lines
-- For the 6-pin connector pins are assigned as follows:
-- 1 - Data
-- 2 - Not Implemented
-- 3 - Ground
-- 4 - Vcc (+5V)
-- 5 - Clock
-- 6 - Not Implemented
-- The clock line carries the device generated clock which has a
-- frequency in range 10 - 16.7 kHz (30 to 50us). When line is idle
-- it is placed in high impedance. The clock is only generated when
-- device is sending or receiving data.
-- The Data and Clock lines are both open-collector with pullup
-- resistors to Vcc. An "open-collector" interface has two possible
-- states: low('0') or high impedance('Z').
-- When device wants to send a byte, it pulls the clock line low and the
-- host(i.e. this interfaces) recognizes that the device is sending data
-- When the host wants to send data, it maeks a request to send. This
-- is done by holding the clock line low for at least 100us, then with
-- the clock line low, the data line is brought low. Next the clock line
-- is released (placed in high impedance). The devices begins generating
-- clock signal on clock line.
-- When receiving data, bits are read from the data line (ps2_data) on
-- the falling edge of the clock (ps2_clk). When sending data, the
-- device reads the bits from the data line on the rising edge of the
-- clock.
-- A frame for sending a byte is comprised of 11 bits as shown bellow:
-- bits 10 9 8 7 6 5 4 3 2 1 0
-- -------------------------------------------------------------
-- | STOP| PAR | D7 | D6 | D5 | D4 | D3 | D2 | D1 | D0 | START |
-- -------------------------------------------------------------
-- STOP - stop bit, always '1'
-- PAR - parity bit, odd parity for the 8 data bits.
-- - select in such way that the number of bits of '1' in the data
-- - bits together with parity bit is odd.
-- D0-7 - data bits.
-- START - start bit, always '0'
--
-- Frame is sent bit by bit starting with the least significant bit
-- (starting bit) and is received the same way. This is done, when
-- receiving, by shifting the frame register to the left when a bit
-- is available and placing the bit on data line on the most significant
-- bit. This way the first bit sent will reach the least significant bit
-- of the frame when all the bits have been received. When sending data
-- the least significant bit of the frame is placed on the data line
-- and the frame is shifted to the right when another bit needs to be
-- sent. During the request to send, when releasing the clock line,
-- the device reads the data line and interprets the data on it as the
-- first bit of the frame. Data line is low at that time, at this is the
-- way the start bit('0') is sent. Because of this, when sending, only
-- 10 shifts of the frame will be made.
-- While the interface is sending or receiving data, the busy output
-- signal goes high. When interface is idle, busy is low.
-- After sending all the bits in the frame, the device must acknowledge
-- the data sent. This is done by the host releasing and data line
-- (clock line is already released) after the last bit is sent. The
-- devices brings the data line and the clock line low, in this order,
-- to acknowledge the data. If data line is high when clock line goes
-- low after last bit, the device did not acknowledge the data and
-- err output is set.
-- A FSM is used to manage the transitions the set all the command
-- signals. States that begin with "rx_" are used to receive data
-- from device and states begining with "tx_" are used to send data
-- to the device.
-- For the parity bit, a ROM holds the parity bit for all possible
-- data (256 possible values, since 8 bits of data). The ROM has
-- dimensions 256x1bit. For obtaining the parity bit of a value,
-- the bit at the data value address is read. Ex: to find the parity
-- bit of 174, the bit at address 174 is read.
-- For generating the necessary delay, counters are used. For example,
-- to generate the 100us delay a 14 bit counter is used that has the
-- upper limit for counting 10000. The interface is designed to run
-- at 100MHz. Thus, 10000x10ns = 100us.
-----------------------------------------------------------------------
-- If using the interface at different frequency than 100MHz, adjusting
-- the delay counters is necessary!!!
-----------------------------------------------------------------------
-- Clock line(ps2_clk) and data line(ps2_data) are passed through a
-- debouncer for the transitions of the clock and data to be clean.
-- Also, ps2_clk_s and ps2_data_s hold the debounced and synchronized
-- value of the clock and data line to the system clock(clk).
------------------------------------------------------------------------
-- Port definitions
------------------------------------------------------------------------
-- ps2_clk - inout pin, clock line of the ps/2 interface
-- ps2_data - inout pin, data line of the ps/2 interface
-- clk - input pin, system clock signal
-- rst - input pin, system reset signal
-- tx_data - input pin, 8 bits, from client
-- - data to be sent to the device
-- write_data - input pin, from client
-- - should be active for one clock period when then
-- - client wants to send data to the device and
-- - data to be sent is valid on tx_data
-- rx_data - output pin, 8 bits, to client
-- - data received from device
-- read - output pin, to client
-- - active for one clock period when new data is
-- - available from device
-- busy - output pin, to client
-- - active while sending or receiving data.
-- err - output pin, to client
-- - active for one clock period when an error occurred
-- - during sending or receiving.
------------------------------------------------------------------------
-- Revision History:
-- 09/18/2006(UlrichZ): created
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- simulation library
library UNISIM;
use UNISIM.VComponents.all;
-- the ps2interface entity declaration
-- read above for behavioral description and port definitions.
entity Ps2Interface is
port(
ps2_clk : inout std_logic;
ps2_data : inout std_logic;
clk : in std_logic;
rst : in std_logic;
tx_data : in std_logic_vector(7 downto 0);
write_data : in std_logic;
rx_data : out std_logic_vector(7 downto 0);
read_data : out std_logic;
busy : out std_logic;
err : out std_logic
);
-- forces the extraction of distributed ram for
-- the parity rom memory.
-- please remove if block ram is preffered.
attribute rom_extract : string;
attribute rom_extract of Ps2Interface: entity is "yes";
attribute rom_style : string;
attribute rom_style of Ps2Interface: entity is "distributed";
end Ps2Interface;
architecture Behavioral of Ps2Interface is
------------------------------------------------------------------------
-- CONSTANTS
------------------------------------------------------------------------
-- Values are valid for a 100MHz clk. Please adjust for other
-- frequencies if necessary!
-- upper limit for 100us delay counter.
-- 10000 * 10ns = 100us
constant DELAY_100US : std_logic_vector(13 downto 0):= "10011100010000";
-- 10000 clock periods
-- upper limit for 20us delay counter.
-- 2000 * 10ns = 20us
constant DELAY_20US : std_logic_vector(10 downto 0) := "11111010000";
-- 2000 clock periods
-- upper limit for 63clk delay counter.
constant DELAY_63CLK : std_logic_vector(6 downto 0) := "1111111";
-- 63 clock periods
-- delay from debouncing ps2_clk and ps2_data signals
constant DEBOUNCE_DELAY : std_logic_vector(3 downto 0) := "1111";
-- number of bits in a frame
constant NUMBITS: std_logic_vector(3 downto 0) := "1011"; -- 11
-- parity bit position in frame
constant PARITY_BIT: positive := 9;
-- (odd) parity bit ROM
-- Used instead of logic because this way speed is far greater
-- 256x1bit rom
-- If the odd parity bit for a 8 bits number, x, is needed
-- the bit at address x is the parity bit.
type ROM is array(0 to 255) of std_logic;
constant parityrom : ROM := (
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1',
'1','0','0','1','0','1','1','0',
'1','0','0','1','0','1','1','0',
'0','1','1','0','1','0','0','1'
);
------------------------------------------------------------------------
-- SIGNALS
------------------------------------------------------------------------
-- 14 bits counter
-- max value DELAY_100US
-- used to wait 100us
signal delay_100us_count: std_logic_vector(13 downto 0) :=
(others => '0');
-- 11 bits counter
-- max value DELAY_20US
-- used to wait 20us
signal delay_20us_count: std_logic_vector(10 downto 0) :=
(others => '0');
-- 11 bits counter
-- max value DELAY_63CLK
-- used to wait 63 clock periods
signal delay_63clk_count: std_logic_vector(6 downto 0) :=
(others => '0');
-- done signal for the couters above
-- when a counter reaches max value,the corresponding done signal is set
signal delay_100us_done, delay_20us_done, delay_63clk_done: std_logic;
-- enable signal for 100us delay counter
signal delay_100us_counter_enable: std_logic := '0';
-- enable signal for 20us delay counter
signal delay_20us_counter_enable : std_logic := '0';
-- enable signal for 63clk delay counter
signal delay_63clk_counter_enable: std_logic := '0';
-- synchronzed input for ps2_clk and ps2_data
signal ps2_clk_s,ps2_data_s: std_logic := '1';
-- control the output of ps2_clk and ps2_data
-- if 1 then corresponding signal (ps2_clk or ps2_data) is
-- put in high impedance ('Z').
signal ps2_clk_h,ps2_data_h: std_logic := '1';
-- states of the FSM for controlling the communcation with the device
-- states that begin with "rx_" are used when receiving data
-- states that begin with "tx_" are used when transmiting data
type fsm_state is
(
idle,rx_clk_h,rx_clk_l,rx_down_edge,rx_error_parity,rx_data_ready,
tx_force_clk_l,tx_bring_data_down,tx_release_clk,
tx_first_wait_down_edge,tx_clk_l,tx_wait_up_edge,tx_clk_h,
tx_wait_up_edge_before_ack,tx_wait_ack,tx_received_ack,
tx_error_no_ack
);
-- the signal that holds the current state of the FSM
-- implicitly state is idle.
signal state: fsm_state := idle;
-- register that holds the frame received or the one to be sent.
-- Its contents are shifted in from the bus one bit at a time
-- from left to right when receiving data and are shifted on the
-- bus (ps2_data) one bit at a time to the right when sending data
signal frame: std_logic_vector(10 downto 0) := (others => '0');
-- how many bits have been sent or received.
signal bit_count: std_logic_vector(3 downto 0) := (others => '0');
-- when active the bit counter is reset.
signal reset_bit_count: std_logic := '0';
-- when active the contents of the frame is shifted to the right
-- and the most significant bit of frame is loaded with ps2_data.
signal shift_frame: std_logic := '0';
-- parity of the byte that was received from the device.
-- must match the parity bit received, else error occurred.
signal rx_parity: std_logic := '0';
-- parity bit that is sent with the frame, representing the
-- odd parity of the byte currently being sent
signal tx_parity: std_logic := '0';
-- when active, frame is loaded with the start bit, data on
-- tx_data, parity bit (tx_parity) and stop bit
-- this frame will be sent to the device.
signal load_tx_data: std_logic := '0';
-- when active bits 8 downto 1 from frame are loaded into
-- rx_data register. This is the byte received from the device.
signal load_rx_data: std_logic := '0';
-- intermediary signals used to debounce the inputs ps2_clk and ps2_data
signal ps2_clk_clean,ps2_data_clean: std_logic := '1';
-- debounce counter for the ps2_clk input and the ps2_data input.
signal clk_count,data_count: std_logic_vector(3 downto 0);
-- last value on ps2_clk and ps2_data.
signal clk_inter,data_inter: std_logic := '1';
begin
---------------------------------------------------------------------
-- FLAGS and PS2 CLOCK AND DATA LINES
---------------------------------------------------------------------
-- clean ps2_clk signal (debounce)
-- note that this introduces a delay in ps2_clk of
-- DEBOUNCE_DELAY clocks
process(clk)
begin
if(rising_edge(clk)) then
-- if the current bit on ps2_clk is different
-- from the last value, then reset counter
-- and retain value
if(ps2_clk /= clk_inter) then
clk_inter <= ps2_clk;
clk_count <= (others => '0');
-- if counter reached upper limit, then
-- the signal is clean
elsif(clk_count = DEBOUNCE_DELAY) then
ps2_clk_clean <= clk_inter;
-- ps2_clk did not change, but counter did not
-- reach limit. Increment counter
else
clk_count <= clk_count + 1;
end if;
end if;
end process;
-- clean ps2_data signal (debounce)
-- note that this introduces a delay in ps2_data of
-- DEBOUNCE_DELAY clocks
process(clk)
begin
if(rising_edge(clk)) then
-- if the current bit on ps2_data is different
-- from the last value, then reset counter
-- and retain value
if(ps2_data /= data_inter) then
data_inter <= ps2_data;
data_count <= (others => '0');
-- if counter reached upper limit, then
-- the signal is clean
elsif(data_count = DEBOUNCE_DELAY) then
ps2_data_clean <= data_inter;
-- ps2_data did not change, but counter did not
-- reach limit. Increment counter
else
data_count <= data_count + 1;
end if;
end if;
end process;
-- Synchronize ps2 entries
ps2_clk_s <= ps2_clk_clean when rising_edge(clk);
ps2_data_s <= ps2_data_clean when rising_edge(clk);
-- Assign parity from frame bits 8 downto 1, this is the parity
-- that should be received inside the frame on PARITY_BIT position
rx_parity <= parityrom(conv_integer(frame(8 downto 1)))
when rising_edge(clk);
-- The parity for the data to be sent
tx_parity <= parityrom(conv_integer(tx_data)) when rising_edge(clk);
-- Force ps2_clk to '0' if ps2_clk_h = '0', else release the line
-- ('Z' = +5Vcc because of pull-ups)
ps2_clk <= 'Z' when ps2_clk_h = '1' else '0';
-- Force ps2_data to '0' if ps2_data_h = '0', else release the line
-- ('Z' = +5Vcc because of pull-ups)
ps2_data <= 'Z' when ps2_data_h = '1' else '0';
-- Control busy flag. Interface is not busy while in idle state.
busy <= '0' when state = idle else '1';
-- reset the bit counter when in idle state.
reset_bit_count <= '1' when state = idle else '0';
-- Control shifting of the frame
-- When receiving from device, data is read
-- on the falling edge of ps2_clk
-- When sending to device, data is read by device
-- on the rising edge of ps2_clk
shift_frame <= '1' when state = rx_down_edge or
state = tx_clk_l else
'0';
---------------------------------------------------------------------
-- FINITE STATE MACHINE
---------------------------------------------------------------------
-- For the current state establish next state
-- and give necessary commands
manage_fsm: process(clk,rst,state,ps2_clk_s,ps2_data_s,write_data,tx_data,
bit_count,rx_parity,frame,delay_100us_done,
delay_20us_done,delay_63clk_done)
begin
-- if reset occurs, go to idle state.
if(rst = '1') then
state <= idle;
elsif(rising_edge(clk)) then
-- default values for these signals
-- ensures signals are reset to default value
-- when coditions for their activation are no
-- longer applied (transition to other state,
-- where signal should not be active)
-- Idle value for ps2_clk and ps2_data is 'Z'
ps2_clk_h <= '1';
ps2_data_h <= '1';
load_tx_data <= '0';
load_rx_data <= '0';
read_data <= '0';
err <= '0';
case state is
-- wait for the device to begin a transmission
-- by pulling the clock line low and go to state
-- rx_down_edge or, if write is high, the
-- client of this interface wants to send a byte
-- to the device and a transition is made to state
-- tx_force_clk_l
when idle =>
if(ps2_clk_s = '0') then
state <= rx_down_edge;
elsif(write_data = '1') then
state <= tx_force_clk_l;
else
state <= idle;
end if;
-- ps2_clk is high, check if all the bits have been read
-- if, last bit read, check parity, and if parity ok
-- load received data into rx_data.
-- else if more bits left, then wait for the ps2_clk to
-- go low
when rx_clk_h =>
if(bit_count = NUMBITS) then
if(not (rx_parity = frame(PARITY_BIT))) then
state <= rx_error_parity;
else
load_rx_data <= '1';
state <= rx_data_ready;
end if;
elsif(ps2_clk_s = '0') then
state <= rx_down_edge;
else
state <= rx_clk_h;
end if;
-- data must be read into frame in this state
-- the ps2_clk just transitioned from high to low
when rx_down_edge =>
state <= rx_clk_l;
-- ps2_clk line is low, wait for it to go high
when rx_clk_l =>
if(ps2_clk_s = '1') then
state <= rx_clk_h;
else
state <= rx_clk_l;
end if;
-- parity bit received is invalid
-- signal error and go back to idle.
when rx_error_parity =>
err <= '1';
state <= idle;
-- parity bit received was good
-- set read signal for the client to know
-- a new byte was received and is available on rx_data
when rx_data_ready =>
read_data <= '1';
state <= idle;
-- the client wishes to transmit a byte to the device
-- this is done by holding ps2_clk down for at least 100us
-- bringing down ps2_data, wait 20us and then releasing
-- the ps2_clk.
-- This constitutes a request to send command.
-- In this state, the ps2_clk line is held down and
-- the counter for waiting 100us is eanbled.
-- when the counter reached upper limit, transition
-- to tx_bring_data_down
when tx_force_clk_l =>
load_tx_data <= '1';
ps2_clk_h <= '0';
if(delay_100us_done = '1') then
state <= tx_bring_data_down;
else
state <= tx_force_clk_l;
end if;
-- with the ps2_clk line low bring ps2_data low
-- wait for 20us and then go to tx_release_clk
when tx_bring_data_down =>
-- keep clock line low
ps2_clk_h <= '0';
-- set data line low
-- when clock is released in the next state
-- the device will read bit 0 on data line
-- and this bit represents the start bit.
ps2_data_h <= '0'; -- start bit = '0'
if(delay_20us_done = '1') then
state <= tx_release_clk;
else
state <= tx_bring_data_down;
end if;
-- release the ps2_clk line
-- keep holding data line low
when tx_release_clk =>
ps2_clk_h <= '1';
-- must maintain data low,
-- otherwise will be released by default value
ps2_data_h <= '0';
state <= tx_first_wait_down_edge;
-- state is necessary because the clock signal
-- is not released instantaneously and, because of debounce,
-- delay is even greater.
-- Wait 63 clock periods for the clock line to release
-- then if clock is low then go to tx_clk_l
-- else wait until ps2_clk goes low.
when tx_first_wait_down_edge =>
ps2_data_h <= '0';
if(delay_63clk_done = '1') then
if(ps2_clk_s = '0') then
state <= tx_clk_l;
else
state <= tx_first_wait_down_edge;
end if;
else
state <= tx_first_wait_down_edge;
end if;
-- place the least significant bit from frame
-- on the data line
-- During this state the frame is shifted one
-- bit to the right
when tx_clk_l =>
ps2_data_h <= frame(0);
state <= tx_wait_up_edge;
-- wait for the clock to go high
-- this is the edge on which the device reads the data
-- on ps2_data.
-- keep holding ps2_data on frame(0) because else
-- will be released by default value.
-- Check if sent the last bit and if so, release data line
-- and go to state that wait for acknowledge
when tx_wait_up_edge =>
ps2_data_h <= frame(0);
-- NUMBITS - 1 because first (start bit = 0) bit was read
-- when the clock line was released in the request to
-- send command (see tx_bring_data_down state).
if(bit_count = NUMBITS-1) then
ps2_data_h <= '1';
state <= tx_wait_up_edge_before_ack;
-- if more bits to send, wait for the up edge
-- of ps2_clk
elsif(ps2_clk_s = '1') then
state <= tx_clk_h;
else
state <= tx_wait_up_edge;
end if;
-- ps2_clk is released, wait for down edge
-- and go to tx_clk_l when arrived
when tx_clk_h =>
ps2_data_h <= frame(0);
if(ps2_clk_s = '0') then
state <= tx_clk_l;
else
state <= tx_clk_h;
end if;
-- release ps2_data and wait for rising edge of ps2_clk
-- once this occurs, transition to tx_wait_ack
when tx_wait_up_edge_before_ack =>
ps2_data_h <= '1';
if(ps2_clk_s = '1') then
state <= tx_wait_ack;
else
state <= tx_wait_up_edge_before_ack;
end if;
-- wait for the falling edge of the clock line
-- if data line is low when this occurs, the
-- ack is received
-- else if data line is high, the device did not
-- acknowledge the transimission
when tx_wait_ack =>
if(ps2_clk_s = '0') then
if(ps2_data_s = '0') then
-- acknowledge received
state <= tx_received_ack;
else
-- acknowledge not received
state <= tx_error_no_ack;
end if;
else
state <= tx_wait_ack;
end if;
-- wait for ps2_clk to be released together with ps2_data
-- (bus to be idle) and go back to idle state
when tx_received_ack =>
if(ps2_clk_s = '1' and ps2_data_s = '1') then
state <= idle;
else
state <= tx_received_ack;
end if;
-- wait for ps2_clk to be released together with ps2_data
-- (bus to be idle) and go back to idle state
-- signal error for not receiving ack
when tx_error_no_ack =>
if(ps2_clk_s = '1' and ps2_data_s = '1') then
err <= '1';
state <= idle;
else
state <= tx_error_no_ack;
end if;
-- if invalid transition occurred, signal error and
-- go back to idle state
when others =>
err <= '1';
state <= idle;
end case;
end if;
end process manage_fsm;
---------------------------------------------------------------------
-- DELAY COUNTERS
---------------------------------------------------------------------
-- Enable the 100us counter only when state is tx_force_clk_l
delay_100us_counter_enable <= '1' when state = tx_force_clk_l else '0';
-- Counter for a 100us delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_100us_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_100us_counter_enable = '1') then
if(delay_100us_count = (DELAY_100US)) then
delay_100us_count <= delay_100us_count;
delay_100us_done <= '1';
else
delay_100us_count <= delay_100us_count + 1;
delay_100us_done <= '0';
end if;
else
delay_100us_count <= (others => '0');
delay_100us_done <= '0';
end if;
end if;
end process delay_100us_counter;
-- Enable the 20us counter only when state is tx_bring_data_down
delay_20us_counter_enable <= '1' when state = tx_bring_data_down else '0';
-- Counter for a 20us delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_20us_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_20us_counter_enable = '1') then
if(delay_20us_count = (DELAY_20US)) then
delay_20us_count <= delay_20us_count;
delay_20us_done <= '1';
else
delay_20us_count <= delay_20us_count + 1;
delay_20us_done <= '0';
end if;
else
delay_20us_count <= (others => '0');
delay_20us_done <= '0';
end if;
end if;
end process delay_20us_counter;
-- Enable the 63clk counter only when state is tx_first_wait_down_edge
delay_63clk_counter_enable <= '1' when state = tx_first_wait_down_edge else '0';
-- Counter for a 63 clock periods delay
-- after done counting, done signal remains active until
-- enable counter is reset.
delay_63clk_counter: process(clk)
begin
if(rising_edge(clk)) then
if(delay_63clk_counter_enable = '1') then
if(delay_63clk_count = (DELAY_63CLK)) then
delay_63clk_count <= delay_63clk_count;
delay_63clk_done <= '1';
else
delay_63clk_count <= delay_63clk_count + 1;
delay_63clk_done <= '0';
end if;
else
delay_63clk_count <= (others => '0');
delay_63clk_done <= '0';
end if;
end if;
end process delay_63clk_counter;
---------------------------------------------------------------------
-- BIT COUNTER AND FRAME SHIFTING LOGIC
---------------------------------------------------------------------
-- counts the number of bits shifted into the frame
-- or out of the frame.
bit_counter: process(clk)
begin
if(rising_edge(clk)) then
if(reset_bit_count = '1') then
bit_count <= (others => '0');
elsif(shift_frame = '1') then
bit_count <= bit_count + 1;
end if;
end if;
end process bit_counter;
-- shifts frame with one bit to right when shift_frame is acitve
-- and loads data into frame from tx_data then load_tx_data is high
load_tx_data_into_frame: process(clk)
begin
if(rising_edge(clk)) then
if(load_tx_data = '1') then
frame(8 downto 1) <= tx_data; -- byte to send
frame(0) <= '0'; -- start bit
frame(10) <= '1'; -- stop bit
frame(9) <= tx_parity; -- parity bit
elsif(shift_frame = '1') then
-- shift right 1 bit
frame(9 downto 0) <= frame(10 downto 1);
-- shift in from the ps2_data line
frame(10) <= ps2_data_s;
end if;
end if;
end process load_tx_data_into_frame;
-- Loads data from frame into rx_data output when data is ready
do_load_rx_data: process(clk)
begin
if(rising_edge(clk)) then
if(load_rx_data = '1') then
rx_data <= frame(8 downto 1);
end if;
end if;
end process do_load_rx_data;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.pkg_6502_defs.all;
use work.pkg_6502_decode.all;
-- synthesis translate_off
library std;
use std.textio.all;
--use work.file_io_pkg.all;
-- synthesis translate_on
entity proc_registers is
generic (
vector_page : std_logic_vector(15 downto 4) := X"FFF" );
port (
clock : in std_logic;
clock_en : in std_logic;
ready : in std_logic;
reset : in std_logic;
-- package pins
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
so_n : in std_logic := '1';
-- data from "data_oper"
alu_data : in std_logic_vector(7 downto 0);
mem_data : in std_logic_vector(7 downto 0);
mem_n : in std_logic := '0';
mem_z : in std_logic := '0';
mem_c : in std_logic := '0';
new_flags : in std_logic_vector(7 downto 0);
flags_imm : in std_logic;
-- from implied handler
set_a : in std_logic;
set_x : in std_logic;
set_y : in std_logic;
set_s : in std_logic;
set_data : in std_logic_vector(7 downto 0);
-- interrupt pins
set_i_flag : in std_logic;
vect_addr : in std_logic_vector(3 downto 0);
-- from processor state machine and decoder
sync : in std_logic; -- latch ireg
rwn : in std_logic;
latch_dreg : in std_logic;
vectoring : in std_logic;
reg_update : in std_logic;
flags_update : in std_logic := '0';
copy_d2p : in std_logic;
a_mux : in t_amux;
dout_mux : in t_dout_mux;
pc_oper : in t_pc_oper;
s_oper : in t_sp_oper;
adl_oper : in t_adl_oper;
adh_oper : in t_adh_oper;
-- outputs to processor state machine
i_reg : out std_logic_vector(7 downto 0) := X"00";
index_carry : out std_logic;
pc_carry : out std_logic;
branch_taken : out boolean;
-- register outputs
addr_out : out std_logic_vector(15 downto 0) := X"FFFF";
d_reg : out std_logic_vector(7 downto 0) := X"00";
a_reg : out std_logic_vector(7 downto 0) := X"00";
x_reg : out std_logic_vector(7 downto 0) := X"00";
y_reg : out std_logic_vector(7 downto 0) := X"00";
s_reg : out std_logic_vector(7 downto 0) := X"00";
p_reg : out std_logic_vector(7 downto 0) := X"00";
pc_out : out std_logic_vector(15 downto 0) );
end proc_registers;
architecture gideon of proc_registers is
signal dreg : std_logic_vector(7 downto 0) := X"00";
signal data_out_i : std_logic_vector(7 downto 0) := X"00";
signal a_reg_i : std_logic_vector(7 downto 0) := X"00";
signal x_reg_i : std_logic_vector(7 downto 0) := X"00";
signal y_reg_i : std_logic_vector(7 downto 0) := X"00";
signal selected_idx : std_logic_vector(7 downto 0) := X"00";
signal i_reg_i : std_logic_vector(7 downto 0) := X"00";
signal s_reg_i : unsigned(7 downto 0) := X"00";
signal p_reg_i : std_logic_vector(7 downto 0) := X"30";
signal pcl, pch : unsigned(7 downto 0) := X"FF";
signal adl, adh : unsigned(7 downto 0) := X"00";
signal pc_carry_i : std_logic;
signal pc_carry_d : std_logic;
signal branch_flag : std_logic;
signal reg_out : std_logic_vector(7 downto 0);
signal h_reg_i : unsigned(7 downto 0);
signal ready_d1 : std_logic;
signal so_d : std_logic;
alias C_flag : std_logic is p_reg_i(0);
alias Z_flag : std_logic is p_reg_i(1);
alias I_flag : std_logic is p_reg_i(2);
alias D_flag : std_logic is p_reg_i(3);
alias B_flag : std_logic is p_reg_i(4);
alias V_flag : std_logic is p_reg_i(6);
alias N_flag : std_logic is p_reg_i(7);
signal p_reg_push : std_logic_vector(7 downto 0);
signal adh_clash : std_logic;
begin
p_reg_push <= p_reg_i(7 downto 6) & '1' & not vectoring & p_reg_i(3 downto 0);
process(clock)
variable pcl_t : unsigned(8 downto 0);
variable adl_t : unsigned(8 downto 0);
variable v_adh : unsigned(7 downto 0);
variable v_reg_sel : std_logic_vector(1 downto 0);
-- synthesis translate_off
file fout : text;
variable count : integer := 0;
variable L : line;
-- synthesis translate_on
begin
if rising_edge(clock) then
if clock_en='1' then
if flags_imm='1' then
p_reg_i <= new_flags;
end if;
-- Logic for the crazy instructions that and with adh + 1
h_reg_i <= X"FF";
ready_d1 <= ready;
adh_clash <= '0';
if ready_d1='1' then
-- $93 $9E/$9F $9C $9B
if i_reg_i(4 downto 0) = "10011" or i_reg_i(4 downto 1) = "1111" or i_reg_i(4 downto 0) = "11100" or i_reg_i(4 downto 0) = "11011" then
h_reg_i <= adh + 1;
if i_reg_i(7 downto 5) = "100" then
adh_clash <= '1';
end if;
end if;
end if;
if ready='1' or rwn='0' then
---- synthesis translate_off
-- if count = 0 then
-- file_open(fout, "trace.txt", WRITE_MODE);
-- elsif count = 180000 then
-- file_close(fout);
-- elsif count < 180000 then
-- write(L, "PC:" & VecToHex(pch, 2) & VecToHex(pcl, 2));
-- write(L, " AD:" & VecToHex(adh, 2) & VecToHex(adl, 2));
-- write(L, " A:" & VecToHex(a_reg_i, 2));
-- write(L, " X:" & VecToHex(x_reg_i, 2));
-- write(L, " Y:" & VecToHex(y_reg_i, 2));
-- write(L, " S:" & VecToHex(s_reg_i, 2));
-- write(L, " P:" & VecToHex(p_reg_i, 2));
-- write(L, " D:" & VecToHex(dreg, 2));
-- write(L, " DO:" & VecToHex(data_out_i, 2));
-- write(L, " I:" & VecToHex(i_reg_i, 2));
-- writeline(fout, L);
-- end if;
-- count := count + 1;
---- synthesis translate_on
-- Data Register
if latch_dreg='1' then
if rwn = '0' then
dreg <= data_out_i;
else
dreg <= data_in;
end if;
end if;
-- Flags Register
if copy_d2p = '1' then
p_reg_i <= dreg;
elsif reg_update='1' then
p_reg_i <= new_flags;
elsif flags_update='1' then
C_flag <= mem_c;
N_flag <= mem_n;
Z_flag <= mem_z;
end if;
if set_i_flag='1' then
I_flag <= '1';
end if;
so_d <= so_n;
if so_n='0' and so_d = '1' then -- assumed that so_n is synchronous
V_flag <= '1';
end if;
-- Instruction Register
if sync='1' then
if vectoring='1' then
i_reg_i <= X"00";
else
i_reg_i <= data_in;
end if;
end if;
-- Logic for the Program Counter
pc_carry_i <= '0';
case pc_oper is
when increment =>
if pcl = X"FF" then
pch <= pch + 1;
end if;
pcl <= pcl + 1;
when copy =>
pcl <= unsigned(dreg);
pch <= unsigned(data_in);
when from_alu =>
pcl_t := ('0' & pcl) + unsigned(dreg(7) & dreg); -- sign extended 1 bit
pcl <= pcl_t(7 downto 0);
pc_carry_i <= pcl_t(8);
pc_carry_d <= dreg(7);
when others => -- keep (and fix)
if pc_carry_i='1' then
if pc_carry_d='1' then
pch <= pch - 1;
else
pch <= pch + 1;
end if;
end if;
end case;
-- Logic for the Address register
case adl_oper is
when increment =>
adl <= adl + 1;
when add_idx =>
adl_t := unsigned('0' & dreg) + unsigned('0' & selected_idx);
adl <= adl_t(7 downto 0);
index_carry <= adl_t(8);
when load_bus =>
adl <= unsigned(data_in);
when copy_dreg =>
adl <= unsigned(dreg);
when others =>
null;
end case;
case adh_oper is
when increment =>
v_adh := adh + 1;
if adh_clash = '1' then
v_reg_sel := i_reg_i(1 downto 0);
case v_reg_sel is
when "00" => v_adh := v_adh and unsigned(y_reg_i);
when "01" => v_adh := v_adh and unsigned(a_reg_i);
when "10" => v_adh := v_adh and unsigned(x_reg_i);
when others => v_adh := v_adh and unsigned(x_reg_i) and unsigned(a_reg_i);
end case;
end if;
adh <= v_adh;
when clear =>
adh <= (others => '0');
when load_bus =>
adh <= unsigned(data_in);
when others =>
null;
end case;
-- Logic for ALU register
if reg_update='1' then
if set_a='1' then
a_reg_i <= set_data;
elsif store_a_from_alu(i_reg_i) then
a_reg_i <= alu_data;
end if;
end if;
-- Logic for Index registers
if reg_update='1' then
if set_x='1' then
x_reg_i <= set_data;
elsif load_x(i_reg_i) then
x_reg_i <= alu_data;
end if;
end if;
if reg_update='1' then
if set_y='1' then
y_reg_i <= set_data;
elsif load_y(i_reg_i) then
y_reg_i <= dreg;
end if;
end if;
-- Logic for the Stack Pointer
if reg_update='1' and set_s='1' then
s_reg_i <= unsigned(set_data);
else
case s_oper is
when increment =>
s_reg_i <= s_reg_i + 1;
when decrement =>
s_reg_i <= s_reg_i - 1;
when others =>
null;
end case;
end if;
end if;
end if;
-- Reset
if reset='1' then
p_reg_i <= X"34"; -- I=1
index_carry <= '0';
so_d <= '1';
end if;
end if;
end process;
with i_reg_i(7 downto 6) select branch_flag <=
N_flag when "00",
V_flag when "01",
C_flag when "10",
Z_flag when "11",
'0' when others;
branch_taken <= (branch_flag xor not i_reg_i(5))='1';
with a_mux select addr_out <=
vector_page & vect_addr when 0,
std_logic_vector(adh & adl) when 1,
X"01" & std_logic_vector(s_reg_i) when 2,
std_logic_vector(pch & pcl) when 3;
with i_reg_i(1 downto 0) select reg_out <=
std_logic_vector(h_reg_i) and y_reg_i when "00",
std_logic_vector(h_reg_i) and a_reg_i when "01",
std_logic_vector(h_reg_i) and x_reg_i when "10",
std_logic_vector(h_reg_i) and a_reg_i and x_reg_i when others;
with dout_mux select data_out_i <=
dreg when reg_d,
a_reg_i when reg_accu,
reg_out when reg_axy,
p_reg_push when reg_flags,
std_logic_vector(pcl) when reg_pcl,
std_logic_vector(pch) when reg_pch,
mem_data when shift_res,
X"FF" when others;
data_out <= data_out_i;
selected_idx <= y_reg_i when select_index_y(i_reg_i) else x_reg_i;
pc_carry <= pc_carry_i;
s_reg <= std_logic_vector(s_reg_i);
p_reg <= p_reg_i;
i_reg <= i_reg_i;
a_reg <= a_reg_i;
x_reg <= x_reg_i;
y_reg <= y_reg_i;
d_reg <= dreg;
pc_out <= std_logic_vector(pch & pcl);
end gideon;
|
-------------------------------------------------------------------------------
-- axi_datamover_rd_sf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_sf.vhd
--
-- Description:
-- This file implements the AXI DataMover Read (MM2S) Store and Forward module.
-- The design utilizes the AXI DataMover's new address pipelining
-- control function. The design is such that predictive address
-- pipelining can be supported on the AXI Read Bus without over-commiting
-- the internal Data FIFO and potentially throttling the Read Data Channel
-- if the Data FIFO goes full.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_sfifo_autord;
use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_rd_sf is
generic (
C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512;
-- Sets the desired depth of the internal Data FIFO.
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max burst length being used by the external
-- AXI4 Master for each AXI4 transfer request.
C_DRE_IS_USED : Integer range 0 to 1 := 0;
-- Indicates if the external Master is utilizing a DRE on
-- the stream input to this module.
C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1;
-- Specifies the depth of the internal dre control queue fifo
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE alignment control ports
C_MMAP_DWIDTH : Integer range 32 to 1024 := 64;
-- Sets the AXI4 Memory Mapped Bus Data Width
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the Stream Data Width for the Input and Output
-- Data streams.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input DRE command
C_FAMILY : String := "virtex7"
-- Indicates the target FPGA Family.
);
port (
-- Clock and Reset inputs --------------------------------------------
--
aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
reset : in std_logic; --
-- Reset used for the internal syncronization logic --
----------------------------------------------------------------------
-- DataMover Read Side Address Pipelining Control Interface ----------
--
ok_to_post_rd_addr : Out Std_logic; --
-- Indicates that the transfer token pool has at least --
-- one token available to borrow --
--
rd_addr_posted : In std_logic; --
-- Indication that a read address has been posted to AXI4 --
--
rd_xfer_cmplt : In std_logic; --
-- Indicates that the Datamover has completed a Read Data --
-- transfer on the AXI4 --
----------------------------------------------------------------------
-- Read Side Stream In from DataMover MM2S Read Data Controller ----------------------
--
sf2sin_tready : Out Std_logic; --
-- DRE Stream READY input --
--
sin2sf_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
sin2sf_tlast : In std_logic; --
-- DRE Xfer LAST input --
--------------------------------------------------------------------------------------
-- RDC Store and Forward Supplimental Controls ---------------------
-- These are time aligned and qualified with the RDC Stream Input --
--
data2sf_cmd_cmplt : In std_logic; --
data2sf_dre_flush : In std_logic; --
--------------------------------------------------------------------
-- DRE Control Interface from the Command Calculator -----------------------------
--
dre2mstr_cmd_ready : Out std_logic ; --
-- Indication from the DRE that the command is being --
-- accepted from the Command Calculator --
--
mstr2dre_cmd_valid : In std_logic; --
-- The next command valid indication to the DRE --
-- from the Command Calculator --
--
mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
--
-- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); --
-- -- The bytes to transfer value for the input command --
--
mstr2dre_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
-- mstr2dre_cmd_cmplt : In std_logic; --
-- -- The last tranfer command of a sequence of transfers --
-- -- spawned from a single parent command --
--
mstr2dre_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);--
-- Outputs the starting offset of a transfer. This is used with Store --
-- and Forward Packer/Unpacker logic --
-----------------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
sf2dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
sf2dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
sf2dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- Stream Out -----------------------------------------------------------------------
--
sout2sf_tready : In std_logic; --
-- Write READY input from the Stream Master --
--
sf2sout_tvalid : Out std_logic; --
-- Write VALID output to the Stream Master --
--
sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tlast : Out std_logic --
-- Write LAST output to the Stream Master --
--------------------------------------------------------------------------------------
);
end entity axi_datamover_rd_sf;
architecture implementation of axi_datamover_rd_sf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions ---------------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_fifo_cnt_width
--
-- Function Description:
-- simple function to set the width of the data fifo read
-- and write count outputs.
-------------------------------------------------------------------
function funct_get_fifo_cnt_width (fifo_depth : integer)
return integer is
Variable temp_width : integer := 8;
begin
if (fifo_depth = 1) then
temp_width := 1;
elsif (fifo_depth = 2) then
temp_width := 2;
elsif (fifo_depth <= 4) then
temp_width := 3;
elsif (fifo_depth <= 8) then
temp_width := 4;
elsif (fifo_depth <= 16) then
temp_width := 5;
elsif (fifo_depth <= 32) then
temp_width := 6;
elsif (fifo_depth <= 64) then
temp_width := 7;
elsif (fifo_depth <= 128) then
temp_width := 8;
elsif (fifo_depth <= 256) then
temp_width := 9;
elsif (fifo_depth <= 512) then
temp_width := 10;
elsif (fifo_depth <= 1024) then
temp_width := 11;
elsif (fifo_depth <= 2048) then
temp_width := 12;
elsif (fifo_depth <= 4096) then
temp_width := 13;
else -- assume 8192 depth
temp_width := 14;
end if;
Return (temp_width);
end function funct_get_fifo_cnt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_wrcnt_lsrip
--
-- Function Description:
-- Calculates the ls index of the upper slice of the data fifo
-- write count needed to repesent one max burst worth of data
-- present in the fifo.
--
-------------------------------------------------------------------
function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is
Variable temp_ls_index : Integer := 0;
begin
if (max_burst_dbeats <= 2) then
temp_ls_index := 1;
elsif (max_burst_dbeats <= 4) then
temp_ls_index := 2;
elsif (max_burst_dbeats <= 8) then
temp_ls_index := 3;
elsif (max_burst_dbeats <= 16) then
temp_ls_index := 4;
elsif (max_burst_dbeats <= 32) then
temp_ls_index := 5;
elsif (max_burst_dbeats <= 64) then
temp_ls_index := 6;
elsif (max_burst_dbeats <= 128) then
temp_ls_index := 7;
else
temp_ls_index := 8;
end if;
Return (temp_ls_index);
end function funct_get_wrcnt_lsrip;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_stall_thresh
--
-- Function Description:
-- Calculates the Stall threshold for the input side of the Data
-- FIFO. If DRE is being used by the DataMover, then the threshold
-- must be reduced to account for the potential of an extra write
-- databeat per request (DRE alignment dependent).
--
-------------------------------------------------------------------
function funct_get_stall_thresh (dre_is_used : integer;
max_xfer_length : integer;
data_fifo_depth : integer;
pipeline_delay_clks : integer;
fifo_settling_clks : integer) return integer is
Constant DRE_PIPE_DELAY : integer := 2; -- clks
Variable var_num_max_xfers_allowed : Integer := 0;
Variable var_dre_dbeat_overhead : Integer := 0;
Variable var_delay_fudge_factor : Integer := 0;
Variable var_thresh_headroom : Integer := 0;
Variable var_stall_thresh : Integer := 0;
begin
var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length;
var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used;
var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) +
pipeline_delay_clks +
fifo_settling_clks;
var_thresh_headroom := max_xfer_length +
var_dre_dbeat_overhead +
var_delay_fudge_factor;
-- Scale the result to be in max transfer length increments
var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length;
Return (var_stall_thresh);
end function funct_get_stall_thresh;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_size_drecntl_fifo
--
-- Function Description:
-- Assures that the DRE control fifo depth is at least 4 deep else it
-- is equal to the number of max burst transfers that can fit in the
-- Store and Forward Data FIFO.
--
-------------------------------------------------------------------
function funct_size_drecntl_fifo (sf_fifo_depth : integer;
max_burst_length : integer) return integer is
Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length;
Variable temp_fifo_depth : Integer := 4;
begin
If (NEEDED_FIFO_DEPTH < 4) Then
temp_fifo_depth := 4;
Else
temp_fifo_depth := NEEDED_FIFO_DEPTH;
End if;
Return (temp_fifo_depth);
end function funct_size_drecntl_fifo;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- Detirmine the width needed for the address offset counter used
-- for the data fifo mux selects.
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_count_states : integer) return integer is
Variable lvar_temp_width : Integer := 1;
begin
if (num_count_states <= 2) then
lvar_temp_width := 1;
elsif (num_count_states <= 4) then
lvar_temp_width := 2;
elsif (num_count_states <= 8) then
lvar_temp_width := 3;
elsif (num_count_states <= 16) then
lvar_temp_width := 4;
elsif (num_count_states <= 32) then
lvar_temp_width := 5;
elsif (num_count_states <= 64) then
lvar_temp_width := 6;
Else -- 128 cnt states
lvar_temp_width := 7;
end if;
Return (lvar_temp_width);
end function funct_get_cntr_width;
-- Constants ---------------------------------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BLK_MEM_FIFO : integer := 1;
Constant SRL_FIFO : integer := 0;
Constant NOT_NEEDED : integer := 0;
Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits
Constant TLAST_WIDTH : integer := 1; -- bits
Constant CMPLT_WIDTH : integer := 1; -- bits
Constant DRE_FLUSH_WIDTH : integer := 1; -- bits
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH);
Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN);
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH +
MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP +
TLAST_WIDTH +
CMPLT_WIDTH +
DRE_FLUSH_WIDTH;
Constant DATA_OUT_LSB_INDEX : integer := 0;
Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1;
Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1;
Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP;
Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP;
Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1;
Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1;
Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN;
Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1;
Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH);
Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2;
Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE
Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks
Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on
-- the output side of the Store and Forward
Constant RD_ADDR_POST_STALL_THRESH : integer :=
funct_get_stall_thresh(DRE_COMPENSATION ,
C_MAX_BURST_LEN ,
C_SF_FIFO_DEPTH ,
RD_PATH_PIPE_DEPTH ,
WRCNT_SETTLING_TIME);
Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) :=
TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH ,
THRESH_COMPARE_WIDTH);
Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH);
Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH);
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
-- Signals ---------------------------------------------------------------------------
signal sig_good_sin_strm_dbeat : std_logic := '0';
signal sig_strm_sin_ready : std_logic := '0';
signal sig_good_sout_strm_dbeat : std_logic := '0';
signal sig_sout2sf_tready : std_logic := '0';
signal sig_sf2sout_tvalid : std_logic := '0';
signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_sf2sout_tlast : std_logic := '0';
signal sig_sf2dre_flush : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX) := (others => '0');
signal sig_ok_to_post_rd_addr : std_logic := '0';
signal sig_rd_addr_posted : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
signal sig_taking_last_token : std_logic := '0';
signal sig_stall_rd_addr_posts : std_logic := '0';
signal sig_incr_token_cntr : std_logic := '0';
signal sig_decr_token_cntr : std_logic := '0';
signal sig_token_eq_max : std_logic := '0';
signal sig_token_eq_zero : std_logic := '0';
signal sig_token_eq_one : std_logic := '0';
signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0');
signal sig_cntl_fifo_has_data : std_logic := '0';
signal sig_get_cntl_fifo_data : std_logic := '0';
signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_drr_reg : std_logic := '0';
signal sig_curr_eof_reg : std_logic := '0';
signal sig_curr_calc_error_reg : std_logic := '0';
signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_dre_cntl_reg : std_logic := '0';
signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tlast_out : std_logic := '0';
signal sig_dfifo_cmd_cmplt_out : std_logic := '0';
signal sig_dfifo_dre_flush_out : std_logic := '0';
begin --(architecture implementation)
-- Read Side (MM2S) Control Flags port connections
ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ;
sig_rd_addr_posted <= rd_addr_posted ;
sig_rd_xfer_cmplt <= rd_xfer_cmplt ;
-- Output Stream Port connections
sig_sout2sf_tready <= sout2sf_tready ;
sf2sout_tvalid <= sig_sf2sout_tvalid ;
sf2sout_tdata <= sig_sf2sout_tdata ;
--sf2sout_tkeep <= sig_sf2sout_tkeep ;
sf2sout_tlast <= sig_sf2sout_tlast and
sig_sf2sout_tvalid ;
GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
sf2sout_tkeep <= sig_sf2sout_tkeep ;
end generate GEN_MM2S_TKEEP_ENABLE4;
GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
sf2sout_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE4;
-- Input Stream port connections
sf2sin_tready <= sig_strm_sin_ready;
sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full.
-- This should never happen if read address
-- posting control is working properly.
-- Stream transfer qualifiers
sig_good_sin_strm_dbeat <= sin2sf_tvalid and
sig_strm_sin_ready;
sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and
sig_sout2sf_tready;
----------------------------------------------------------------
-- Unpacking Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_UNPACKING
--
-- If Generate Description:
-- Omits any unpacking logic in the Store and Forward module.
-- The Stream and MMap data widths are the same. The Data FIFO
-- output can be connected directly to the stream outputs.
--
------------------------------------------------------------
OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_ld_cmd : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
begin
-- Data FIFO Output to the stream attachments
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= sig_dfifo_data_out ;
sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ;
sig_sf2sout_tlast <= sig_dfifo_tlast_out ;
sig_sf2dre_flush <= sig_dfifo_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_cmd ;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_cmd ;
lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Generate the control that loads the DRE
lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the DRE Output Register.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_cmd = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
end generate OMIT_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_UNPACKING
--
-- If Generate Description:
-- Includes unpacking logic in the Store and Forward module.
-- The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of
std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_fifo_data_out_wide : lsig_data_slice_type;
signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type;
signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1;
signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ;
signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
signal lsig_tlast_out : std_logic := '0';
signal lsig_dre_flush_out : std_logic := '0';
signal lsig_this_fifo_wrd_done : std_logic := '0';
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
signal lsig_next_slice_tkeep_0 : std_logic := '0';
begin
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= lsig_data_mux_out ;
sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0);
sig_sf2sout_tlast <= lsig_tlast_out ;
sig_sf2dre_flush <= lsig_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_this_fifo_wrd_done and
lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_offset;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_offset ;
lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0);
-- Detirmine if a Command Complete condition exists
lsig_cmd_cmplt <= '1'
when (sig_dfifo_cmd_cmplt_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detirmine if a TLAST condition exists
-- From the RDC via the Data FIFO
lsig_tlast_out <= '1'
when (sig_dfifo_tlast_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detimine if a DRE Flush condition exists
-- From the RDC via the Data FIFO
lsig_dre_flush_out <= '1'
when (sig_dfifo_dre_flush_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Check to see if the FIFO output word is finished. This occurs
-- when the offset counter is at max value or the tlast from the
-- fifo is set and the LS TKEED of the next MS Slice is zero.
lsig_this_fifo_wrd_done <= '1'
When (lsig_offset_cntr_eq_max = '1' or
(lsig_cmd_cmplt_dbeat = '1' and
lsig_next_slice_tkeep_0 = '0'))
Else '0';
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_sout_strm_dbeat;
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the unpacker control logic.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_offset = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- generate the data and tkeep mux selects.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg);
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_CONVERTER
--
-- For Generate Description:
-- This ForGen converts the FIFO output data and tkeep from a single
-- std logic vector type to a vector of slices.
--
------------------------------------------------------------
DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_fifo_data_out_wide(slice_index-1) <=
sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH);
lsig_fifo_tkeep_out_wide(slice_index-1) <=
sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto
(slice_index-1)*TKEEP_SLICE_WIDTH);
end generate DO_DATA_CONVERTER;
-- Assign the extra tkeep slice to all zeros to allow for detection
-- of the data word done when the ls tkeep bit of the next tkeep
-- slice is zero and the offset count is pointing to the last slice
-- position.
lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0');
-- Mux the appropriate data and tkeep slice to the stream output
lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr);
lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ;
lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel);
end generate INCLUDE_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to omit the DRE control logic and
-- minimize the Control FIFO when MM2S DRE is not included
-- in the MM2S.
--
------------------------------------------------------------
OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate
-- Constant Declarations ------------------------------------------------------------------
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
-- Signal Declarations --------------------------------------------------------------------
signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_wr_valid : std_logic := '0';
signal sig_offset_fifo_wr_ready : std_logic := '0';
signal sig_offset_fifo_rd_valid : std_logic := '0';
signal sig_offset_fifo_rd_ready : std_logic := '0';
begin
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ;
sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ;
-- No DRE so no controls
sf2dre_new_align <= '0';
sf2dre_use_autodest <= '0';
sf2dre_src_align <= (others => '0');
sf2dre_dest_align <= (others => '0');
sf2dre_flush <= '0';
-- No DRE so no alignment values
sig_curr_src_align_reg <= (others => '0');
sig_curr_dest_align_reg <= (others => '0');
-- Format the input data word for the Offset FIFO Queue
sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_tag; -- LS Field
sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ;
sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the Offset Control FIFO. This is still needed
-- by the unpacker logic to get the starting offset at the
-- begining of an input packet coming out of the Store and
-- Forward data FIFO.
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => SF_OFFSET_FIFO_WIDTH ,
C_DEPTH => SF_OFFSET_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_offset_fifo_wr_valid ,
fifo_wr_tready => sig_offset_fifo_wr_ready ,
fifo_wr_tdata => sig_offset_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_offset_fifo_rd_valid ,
fifo_rd_tready => sig_offset_fifo_rd_ready ,
fifo_rd_tdata => sig_offset_fifo_data_out ,
fifo_rd_empty => open
);
end generate OMIT_DRE_CNTL;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to include the DRE control logic and
-- Control FIFO when MM2S DRE is included in the MM2S.
--
--
------------------------------------------------------------
INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate
-- Constant Declarations
Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SRC_ALIGN_WIDTH + -- Source align field width
DEST_ALIGN_WIDTH + -- Dest align field width
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH;
Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_dre_align_ready : std_logic := '0';
signal sig_dre_align_valid_reg : std_logic := '0';
signal sig_dre_use_autodest_reg : std_logic := '0';
signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_flush_reg : std_logic := '0';
begin
-- Assign the DRE Control Outputs
sf2dre_new_align <= sig_dre_align_valid_reg;
sf2dre_use_autodest <= sig_dre_use_autodest_reg;
sf2dre_src_align <= sig_dre_src_align_reg;
sf2dre_dest_align <= sig_dre_dest_align_reg;
sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ;
-- Format the input data word for the DRE Control FIFO Queue
sig_cmd_fifo_data_in <= mstr2dre_strt_offset &
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_dre_dest_align &
mstr2dre_dre_src_align &
mstr2dre_tag;
-- Formulate the DRE Control FIFO Read signaling
sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto
SRC_ALIGN_STRT_INDEX);
sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto
DEST_ALIGN_STRT_INDEX);
sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the DRE Control FIFO
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => DRECNTL_FIFO_WIDTH ,
C_DEPTH => DRECNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => open
);
-------------------------------------------------------------------------
-- DRE Control Register
-------------------------------------------------------------------------
-- The DRE will auto-flush on a received TLAST so a commanded Flush
-- is not needed.
sig_dre_flush_reg <= '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CNTL_REG
--
-- Process Description:
-- Implements the DRE alignment Output Register.
--
-------------------------------------------------------------
IMP_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ;
sig_dre_src_align_reg <= sig_curr_src_align_reg ;
sig_dre_dest_align_reg <= sig_curr_dest_align_reg ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_CNTL_VALID_REG
--
-- Process Description:
-- Implements the DRE Alignment valid Register.
--
-------------------------------------------------------------
IMP_DRE_CNTL_VALID_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_align_valid_reg <= '0' ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_align_valid_reg <= '1' ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_align_valid_reg <= '0' ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_DRE_CNTL_VALID_REG;
end generate INCLUDE_DRE_CNTL;
----------------------------------------------------------------
-- Token Counter Logic
-- Predicting fifo space availability at some point in the
-- future is based on managing a virtual pool of transfer tokens.
-- A token represents 1 max length burst worth of space in the
-- Data FIFO.
----------------------------------------------------------------
-- calculate how many tokens are commited to pending transfers
sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr;
-- Decrement the token counter when a token is
-- borrowed
sig_decr_token_cntr <= '1'
when (sig_rd_addr_posted = '1' and
sig_token_eq_zero = '0')
else '0';
-- Increment the token counter when a
-- token is returned.
sig_incr_token_cntr <= '1'
when (sig_rd_xfer_cmplt = '1' and
sig_token_eq_max = '0')
else '0';
-- Detect when the xfer token count is at max value
sig_token_eq_max <= '1'
when (sig_token_cntr = TOKEN_CNT_MAX)
Else '0';
-- Detect when the xfer token count is at one
sig_token_eq_one <= '1'
when (sig_token_cntr = TOKEN_CNT_ONE)
Else '0';
-- Detect when the xfer token count is at zero
sig_token_eq_zero <= '1'
when (sig_token_cntr = TOKEN_CNT_ZERO)
Else '0';
-- Look ahead to see if the xfer token pool is going empty
sig_taking_last_token <= '1'
When (sig_token_eq_one = '1' and
sig_rd_addr_posted = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_CNTR
--
-- Process Description:
-- Implements the Token counter
--
-------------------------------------------------------------
IMP_TOKEN_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' ) then
sig_token_cntr <= TOKEN_CNT_MAX;
elsif (sig_incr_token_cntr = '1' and
sig_decr_token_cntr = '0') then
sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE;
elsif (sig_incr_token_cntr = '0' and
sig_decr_token_cntr = '1') then
sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_TOKEN_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_AVAIL_FLAG
--
-- Process Description:
-- Implements the flag indicating that the AXI Read Master
-- can post a read address request on the AXI4 bus.
--
-- Read address posting can occur if:
--
-- - The write side LEN fifo is not empty.
-- - The commited plus actual Data FIFO space is less than
-- the stall threshold (a max length read burst can fit
-- in the data FIFO without overflow).
-- - The max allowed commited read count has not been reached.
--
-- The flag is cleared after each address has been posted to
-- ensure a second unauthorized post does not occur.
-------------------------------------------------------------
IMP_TOKEN_AVAIL_FLAG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' or
sig_rd_addr_posted = '1') then
sig_ok_to_post_rd_addr <= '0';
else
sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full
not(sig_token_eq_zero) and -- max allowed pending reads has not been reached
not(sig_taking_last_token); -- the max allowed pending reads is about to be reached
end if;
end if;
end process IMP_TOKEN_AVAIL_FLAG;
----------------------------------------------------------------
-- Data FIFO Logic ------------------------------------------
----------------------------------------------------------------
GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto
TKEEP_OUT_LSB_INDEX);
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_ENABLE3;
GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= (others => '1');
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_DISABLE3;
-- Stall Threshold calculations
sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt);
sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX);
sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) +
RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH);
-- Compare the commited read space plus the actual used space against the
-- stall threshold. Assert the read address posting stall flag if the
-- threshold is met or exceeded.
sig_stall_rd_addr_posts <= '1'
when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US)
Else '0';
-- FIFO Rd/WR Controls
sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- sig_pop_data_fifo <= sig_sout2sf_tready and
-- sig_data_fifo_dvalid;
GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_ENABLE2;
GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
--sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_DISABLE2;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO (synchronous)
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1_9.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NOT_NEEDED ,
C_NEED_ALMOST_FULL => NOT_NEEDED ,
C_USE_BLKMEM => BLK_MEM_FIFO ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => reset ,
SFIFO_Clk => aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_rd_sf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_sf.vhd
--
-- Description:
-- This file implements the AXI DataMover Read (MM2S) Store and Forward module.
-- The design utilizes the AXI DataMover's new address pipelining
-- control function. The design is such that predictive address
-- pipelining can be supported on the AXI Read Bus without over-commiting
-- the internal Data FIFO and potentially throttling the Read Data Channel
-- if the Data FIFO goes full.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_sfifo_autord;
use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_rd_sf is
generic (
C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512;
-- Sets the desired depth of the internal Data FIFO.
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max burst length being used by the external
-- AXI4 Master for each AXI4 transfer request.
C_DRE_IS_USED : Integer range 0 to 1 := 0;
-- Indicates if the external Master is utilizing a DRE on
-- the stream input to this module.
C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1;
-- Specifies the depth of the internal dre control queue fifo
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE alignment control ports
C_MMAP_DWIDTH : Integer range 32 to 1024 := 64;
-- Sets the AXI4 Memory Mapped Bus Data Width
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the Stream Data Width for the Input and Output
-- Data streams.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input DRE command
C_FAMILY : String := "virtex7"
-- Indicates the target FPGA Family.
);
port (
-- Clock and Reset inputs --------------------------------------------
--
aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
reset : in std_logic; --
-- Reset used for the internal syncronization logic --
----------------------------------------------------------------------
-- DataMover Read Side Address Pipelining Control Interface ----------
--
ok_to_post_rd_addr : Out Std_logic; --
-- Indicates that the transfer token pool has at least --
-- one token available to borrow --
--
rd_addr_posted : In std_logic; --
-- Indication that a read address has been posted to AXI4 --
--
rd_xfer_cmplt : In std_logic; --
-- Indicates that the Datamover has completed a Read Data --
-- transfer on the AXI4 --
----------------------------------------------------------------------
-- Read Side Stream In from DataMover MM2S Read Data Controller ----------------------
--
sf2sin_tready : Out Std_logic; --
-- DRE Stream READY input --
--
sin2sf_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
sin2sf_tlast : In std_logic; --
-- DRE Xfer LAST input --
--------------------------------------------------------------------------------------
-- RDC Store and Forward Supplimental Controls ---------------------
-- These are time aligned and qualified with the RDC Stream Input --
--
data2sf_cmd_cmplt : In std_logic; --
data2sf_dre_flush : In std_logic; --
--------------------------------------------------------------------
-- DRE Control Interface from the Command Calculator -----------------------------
--
dre2mstr_cmd_ready : Out std_logic ; --
-- Indication from the DRE that the command is being --
-- accepted from the Command Calculator --
--
mstr2dre_cmd_valid : In std_logic; --
-- The next command valid indication to the DRE --
-- from the Command Calculator --
--
mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
--
-- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); --
-- -- The bytes to transfer value for the input command --
--
mstr2dre_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
-- mstr2dre_cmd_cmplt : In std_logic; --
-- -- The last tranfer command of a sequence of transfers --
-- -- spawned from a single parent command --
--
mstr2dre_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);--
-- Outputs the starting offset of a transfer. This is used with Store --
-- and Forward Packer/Unpacker logic --
-----------------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
sf2dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
sf2dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
sf2dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- Stream Out -----------------------------------------------------------------------
--
sout2sf_tready : In std_logic; --
-- Write READY input from the Stream Master --
--
sf2sout_tvalid : Out std_logic; --
-- Write VALID output to the Stream Master --
--
sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tlast : Out std_logic --
-- Write LAST output to the Stream Master --
--------------------------------------------------------------------------------------
);
end entity axi_datamover_rd_sf;
architecture implementation of axi_datamover_rd_sf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions ---------------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_fifo_cnt_width
--
-- Function Description:
-- simple function to set the width of the data fifo read
-- and write count outputs.
-------------------------------------------------------------------
function funct_get_fifo_cnt_width (fifo_depth : integer)
return integer is
Variable temp_width : integer := 8;
begin
if (fifo_depth = 1) then
temp_width := 1;
elsif (fifo_depth = 2) then
temp_width := 2;
elsif (fifo_depth <= 4) then
temp_width := 3;
elsif (fifo_depth <= 8) then
temp_width := 4;
elsif (fifo_depth <= 16) then
temp_width := 5;
elsif (fifo_depth <= 32) then
temp_width := 6;
elsif (fifo_depth <= 64) then
temp_width := 7;
elsif (fifo_depth <= 128) then
temp_width := 8;
elsif (fifo_depth <= 256) then
temp_width := 9;
elsif (fifo_depth <= 512) then
temp_width := 10;
elsif (fifo_depth <= 1024) then
temp_width := 11;
elsif (fifo_depth <= 2048) then
temp_width := 12;
elsif (fifo_depth <= 4096) then
temp_width := 13;
else -- assume 8192 depth
temp_width := 14;
end if;
Return (temp_width);
end function funct_get_fifo_cnt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_wrcnt_lsrip
--
-- Function Description:
-- Calculates the ls index of the upper slice of the data fifo
-- write count needed to repesent one max burst worth of data
-- present in the fifo.
--
-------------------------------------------------------------------
function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is
Variable temp_ls_index : Integer := 0;
begin
if (max_burst_dbeats <= 2) then
temp_ls_index := 1;
elsif (max_burst_dbeats <= 4) then
temp_ls_index := 2;
elsif (max_burst_dbeats <= 8) then
temp_ls_index := 3;
elsif (max_burst_dbeats <= 16) then
temp_ls_index := 4;
elsif (max_burst_dbeats <= 32) then
temp_ls_index := 5;
elsif (max_burst_dbeats <= 64) then
temp_ls_index := 6;
elsif (max_burst_dbeats <= 128) then
temp_ls_index := 7;
else
temp_ls_index := 8;
end if;
Return (temp_ls_index);
end function funct_get_wrcnt_lsrip;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_stall_thresh
--
-- Function Description:
-- Calculates the Stall threshold for the input side of the Data
-- FIFO. If DRE is being used by the DataMover, then the threshold
-- must be reduced to account for the potential of an extra write
-- databeat per request (DRE alignment dependent).
--
-------------------------------------------------------------------
function funct_get_stall_thresh (dre_is_used : integer;
max_xfer_length : integer;
data_fifo_depth : integer;
pipeline_delay_clks : integer;
fifo_settling_clks : integer) return integer is
Constant DRE_PIPE_DELAY : integer := 2; -- clks
Variable var_num_max_xfers_allowed : Integer := 0;
Variable var_dre_dbeat_overhead : Integer := 0;
Variable var_delay_fudge_factor : Integer := 0;
Variable var_thresh_headroom : Integer := 0;
Variable var_stall_thresh : Integer := 0;
begin
var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length;
var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used;
var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) +
pipeline_delay_clks +
fifo_settling_clks;
var_thresh_headroom := max_xfer_length +
var_dre_dbeat_overhead +
var_delay_fudge_factor;
-- Scale the result to be in max transfer length increments
var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length;
Return (var_stall_thresh);
end function funct_get_stall_thresh;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_size_drecntl_fifo
--
-- Function Description:
-- Assures that the DRE control fifo depth is at least 4 deep else it
-- is equal to the number of max burst transfers that can fit in the
-- Store and Forward Data FIFO.
--
-------------------------------------------------------------------
function funct_size_drecntl_fifo (sf_fifo_depth : integer;
max_burst_length : integer) return integer is
Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length;
Variable temp_fifo_depth : Integer := 4;
begin
If (NEEDED_FIFO_DEPTH < 4) Then
temp_fifo_depth := 4;
Else
temp_fifo_depth := NEEDED_FIFO_DEPTH;
End if;
Return (temp_fifo_depth);
end function funct_size_drecntl_fifo;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- Detirmine the width needed for the address offset counter used
-- for the data fifo mux selects.
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_count_states : integer) return integer is
Variable lvar_temp_width : Integer := 1;
begin
if (num_count_states <= 2) then
lvar_temp_width := 1;
elsif (num_count_states <= 4) then
lvar_temp_width := 2;
elsif (num_count_states <= 8) then
lvar_temp_width := 3;
elsif (num_count_states <= 16) then
lvar_temp_width := 4;
elsif (num_count_states <= 32) then
lvar_temp_width := 5;
elsif (num_count_states <= 64) then
lvar_temp_width := 6;
Else -- 128 cnt states
lvar_temp_width := 7;
end if;
Return (lvar_temp_width);
end function funct_get_cntr_width;
-- Constants ---------------------------------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BLK_MEM_FIFO : integer := 1;
Constant SRL_FIFO : integer := 0;
Constant NOT_NEEDED : integer := 0;
Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits
Constant TLAST_WIDTH : integer := 1; -- bits
Constant CMPLT_WIDTH : integer := 1; -- bits
Constant DRE_FLUSH_WIDTH : integer := 1; -- bits
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH);
Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN);
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH +
MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP +
TLAST_WIDTH +
CMPLT_WIDTH +
DRE_FLUSH_WIDTH;
Constant DATA_OUT_LSB_INDEX : integer := 0;
Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1;
Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1;
Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP;
Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP;
Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1;
Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1;
Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN;
Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1;
Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH);
Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2;
Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE
Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks
Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on
-- the output side of the Store and Forward
Constant RD_ADDR_POST_STALL_THRESH : integer :=
funct_get_stall_thresh(DRE_COMPENSATION ,
C_MAX_BURST_LEN ,
C_SF_FIFO_DEPTH ,
RD_PATH_PIPE_DEPTH ,
WRCNT_SETTLING_TIME);
Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) :=
TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH ,
THRESH_COMPARE_WIDTH);
Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH);
Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH);
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
-- Signals ---------------------------------------------------------------------------
signal sig_good_sin_strm_dbeat : std_logic := '0';
signal sig_strm_sin_ready : std_logic := '0';
signal sig_good_sout_strm_dbeat : std_logic := '0';
signal sig_sout2sf_tready : std_logic := '0';
signal sig_sf2sout_tvalid : std_logic := '0';
signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_sf2sout_tlast : std_logic := '0';
signal sig_sf2dre_flush : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX) := (others => '0');
signal sig_ok_to_post_rd_addr : std_logic := '0';
signal sig_rd_addr_posted : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
signal sig_taking_last_token : std_logic := '0';
signal sig_stall_rd_addr_posts : std_logic := '0';
signal sig_incr_token_cntr : std_logic := '0';
signal sig_decr_token_cntr : std_logic := '0';
signal sig_token_eq_max : std_logic := '0';
signal sig_token_eq_zero : std_logic := '0';
signal sig_token_eq_one : std_logic := '0';
signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0');
signal sig_cntl_fifo_has_data : std_logic := '0';
signal sig_get_cntl_fifo_data : std_logic := '0';
signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_drr_reg : std_logic := '0';
signal sig_curr_eof_reg : std_logic := '0';
signal sig_curr_calc_error_reg : std_logic := '0';
signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_dre_cntl_reg : std_logic := '0';
signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tlast_out : std_logic := '0';
signal sig_dfifo_cmd_cmplt_out : std_logic := '0';
signal sig_dfifo_dre_flush_out : std_logic := '0';
begin --(architecture implementation)
-- Read Side (MM2S) Control Flags port connections
ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ;
sig_rd_addr_posted <= rd_addr_posted ;
sig_rd_xfer_cmplt <= rd_xfer_cmplt ;
-- Output Stream Port connections
sig_sout2sf_tready <= sout2sf_tready ;
sf2sout_tvalid <= sig_sf2sout_tvalid ;
sf2sout_tdata <= sig_sf2sout_tdata ;
--sf2sout_tkeep <= sig_sf2sout_tkeep ;
sf2sout_tlast <= sig_sf2sout_tlast and
sig_sf2sout_tvalid ;
GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
sf2sout_tkeep <= sig_sf2sout_tkeep ;
end generate GEN_MM2S_TKEEP_ENABLE4;
GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
sf2sout_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE4;
-- Input Stream port connections
sf2sin_tready <= sig_strm_sin_ready;
sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full.
-- This should never happen if read address
-- posting control is working properly.
-- Stream transfer qualifiers
sig_good_sin_strm_dbeat <= sin2sf_tvalid and
sig_strm_sin_ready;
sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and
sig_sout2sf_tready;
----------------------------------------------------------------
-- Unpacking Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_UNPACKING
--
-- If Generate Description:
-- Omits any unpacking logic in the Store and Forward module.
-- The Stream and MMap data widths are the same. The Data FIFO
-- output can be connected directly to the stream outputs.
--
------------------------------------------------------------
OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_ld_cmd : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
begin
-- Data FIFO Output to the stream attachments
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= sig_dfifo_data_out ;
sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ;
sig_sf2sout_tlast <= sig_dfifo_tlast_out ;
sig_sf2dre_flush <= sig_dfifo_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_cmd ;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_cmd ;
lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Generate the control that loads the DRE
lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the DRE Output Register.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_cmd = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
end generate OMIT_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_UNPACKING
--
-- If Generate Description:
-- Includes unpacking logic in the Store and Forward module.
-- The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of
std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_fifo_data_out_wide : lsig_data_slice_type;
signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type;
signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1;
signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ;
signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
signal lsig_tlast_out : std_logic := '0';
signal lsig_dre_flush_out : std_logic := '0';
signal lsig_this_fifo_wrd_done : std_logic := '0';
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
signal lsig_next_slice_tkeep_0 : std_logic := '0';
begin
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= lsig_data_mux_out ;
sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0);
sig_sf2sout_tlast <= lsig_tlast_out ;
sig_sf2dre_flush <= lsig_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_this_fifo_wrd_done and
lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_offset;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_offset ;
lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0);
-- Detirmine if a Command Complete condition exists
lsig_cmd_cmplt <= '1'
when (sig_dfifo_cmd_cmplt_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detirmine if a TLAST condition exists
-- From the RDC via the Data FIFO
lsig_tlast_out <= '1'
when (sig_dfifo_tlast_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detimine if a DRE Flush condition exists
-- From the RDC via the Data FIFO
lsig_dre_flush_out <= '1'
when (sig_dfifo_dre_flush_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Check to see if the FIFO output word is finished. This occurs
-- when the offset counter is at max value or the tlast from the
-- fifo is set and the LS TKEED of the next MS Slice is zero.
lsig_this_fifo_wrd_done <= '1'
When (lsig_offset_cntr_eq_max = '1' or
(lsig_cmd_cmplt_dbeat = '1' and
lsig_next_slice_tkeep_0 = '0'))
Else '0';
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_sout_strm_dbeat;
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the unpacker control logic.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_offset = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- generate the data and tkeep mux selects.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg);
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_CONVERTER
--
-- For Generate Description:
-- This ForGen converts the FIFO output data and tkeep from a single
-- std logic vector type to a vector of slices.
--
------------------------------------------------------------
DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_fifo_data_out_wide(slice_index-1) <=
sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH);
lsig_fifo_tkeep_out_wide(slice_index-1) <=
sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto
(slice_index-1)*TKEEP_SLICE_WIDTH);
end generate DO_DATA_CONVERTER;
-- Assign the extra tkeep slice to all zeros to allow for detection
-- of the data word done when the ls tkeep bit of the next tkeep
-- slice is zero and the offset count is pointing to the last slice
-- position.
lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0');
-- Mux the appropriate data and tkeep slice to the stream output
lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr);
lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ;
lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel);
end generate INCLUDE_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to omit the DRE control logic and
-- minimize the Control FIFO when MM2S DRE is not included
-- in the MM2S.
--
------------------------------------------------------------
OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate
-- Constant Declarations ------------------------------------------------------------------
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
-- Signal Declarations --------------------------------------------------------------------
signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_wr_valid : std_logic := '0';
signal sig_offset_fifo_wr_ready : std_logic := '0';
signal sig_offset_fifo_rd_valid : std_logic := '0';
signal sig_offset_fifo_rd_ready : std_logic := '0';
begin
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ;
sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ;
-- No DRE so no controls
sf2dre_new_align <= '0';
sf2dre_use_autodest <= '0';
sf2dre_src_align <= (others => '0');
sf2dre_dest_align <= (others => '0');
sf2dre_flush <= '0';
-- No DRE so no alignment values
sig_curr_src_align_reg <= (others => '0');
sig_curr_dest_align_reg <= (others => '0');
-- Format the input data word for the Offset FIFO Queue
sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_tag; -- LS Field
sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ;
sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the Offset Control FIFO. This is still needed
-- by the unpacker logic to get the starting offset at the
-- begining of an input packet coming out of the Store and
-- Forward data FIFO.
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => SF_OFFSET_FIFO_WIDTH ,
C_DEPTH => SF_OFFSET_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_offset_fifo_wr_valid ,
fifo_wr_tready => sig_offset_fifo_wr_ready ,
fifo_wr_tdata => sig_offset_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_offset_fifo_rd_valid ,
fifo_rd_tready => sig_offset_fifo_rd_ready ,
fifo_rd_tdata => sig_offset_fifo_data_out ,
fifo_rd_empty => open
);
end generate OMIT_DRE_CNTL;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to include the DRE control logic and
-- Control FIFO when MM2S DRE is included in the MM2S.
--
--
------------------------------------------------------------
INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate
-- Constant Declarations
Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SRC_ALIGN_WIDTH + -- Source align field width
DEST_ALIGN_WIDTH + -- Dest align field width
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH;
Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_dre_align_ready : std_logic := '0';
signal sig_dre_align_valid_reg : std_logic := '0';
signal sig_dre_use_autodest_reg : std_logic := '0';
signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_flush_reg : std_logic := '0';
begin
-- Assign the DRE Control Outputs
sf2dre_new_align <= sig_dre_align_valid_reg;
sf2dre_use_autodest <= sig_dre_use_autodest_reg;
sf2dre_src_align <= sig_dre_src_align_reg;
sf2dre_dest_align <= sig_dre_dest_align_reg;
sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ;
-- Format the input data word for the DRE Control FIFO Queue
sig_cmd_fifo_data_in <= mstr2dre_strt_offset &
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_dre_dest_align &
mstr2dre_dre_src_align &
mstr2dre_tag;
-- Formulate the DRE Control FIFO Read signaling
sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto
SRC_ALIGN_STRT_INDEX);
sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto
DEST_ALIGN_STRT_INDEX);
sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the DRE Control FIFO
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => DRECNTL_FIFO_WIDTH ,
C_DEPTH => DRECNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => open
);
-------------------------------------------------------------------------
-- DRE Control Register
-------------------------------------------------------------------------
-- The DRE will auto-flush on a received TLAST so a commanded Flush
-- is not needed.
sig_dre_flush_reg <= '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CNTL_REG
--
-- Process Description:
-- Implements the DRE alignment Output Register.
--
-------------------------------------------------------------
IMP_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ;
sig_dre_src_align_reg <= sig_curr_src_align_reg ;
sig_dre_dest_align_reg <= sig_curr_dest_align_reg ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_CNTL_VALID_REG
--
-- Process Description:
-- Implements the DRE Alignment valid Register.
--
-------------------------------------------------------------
IMP_DRE_CNTL_VALID_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_align_valid_reg <= '0' ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_align_valid_reg <= '1' ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_align_valid_reg <= '0' ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_DRE_CNTL_VALID_REG;
end generate INCLUDE_DRE_CNTL;
----------------------------------------------------------------
-- Token Counter Logic
-- Predicting fifo space availability at some point in the
-- future is based on managing a virtual pool of transfer tokens.
-- A token represents 1 max length burst worth of space in the
-- Data FIFO.
----------------------------------------------------------------
-- calculate how many tokens are commited to pending transfers
sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr;
-- Decrement the token counter when a token is
-- borrowed
sig_decr_token_cntr <= '1'
when (sig_rd_addr_posted = '1' and
sig_token_eq_zero = '0')
else '0';
-- Increment the token counter when a
-- token is returned.
sig_incr_token_cntr <= '1'
when (sig_rd_xfer_cmplt = '1' and
sig_token_eq_max = '0')
else '0';
-- Detect when the xfer token count is at max value
sig_token_eq_max <= '1'
when (sig_token_cntr = TOKEN_CNT_MAX)
Else '0';
-- Detect when the xfer token count is at one
sig_token_eq_one <= '1'
when (sig_token_cntr = TOKEN_CNT_ONE)
Else '0';
-- Detect when the xfer token count is at zero
sig_token_eq_zero <= '1'
when (sig_token_cntr = TOKEN_CNT_ZERO)
Else '0';
-- Look ahead to see if the xfer token pool is going empty
sig_taking_last_token <= '1'
When (sig_token_eq_one = '1' and
sig_rd_addr_posted = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_CNTR
--
-- Process Description:
-- Implements the Token counter
--
-------------------------------------------------------------
IMP_TOKEN_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' ) then
sig_token_cntr <= TOKEN_CNT_MAX;
elsif (sig_incr_token_cntr = '1' and
sig_decr_token_cntr = '0') then
sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE;
elsif (sig_incr_token_cntr = '0' and
sig_decr_token_cntr = '1') then
sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_TOKEN_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_AVAIL_FLAG
--
-- Process Description:
-- Implements the flag indicating that the AXI Read Master
-- can post a read address request on the AXI4 bus.
--
-- Read address posting can occur if:
--
-- - The write side LEN fifo is not empty.
-- - The commited plus actual Data FIFO space is less than
-- the stall threshold (a max length read burst can fit
-- in the data FIFO without overflow).
-- - The max allowed commited read count has not been reached.
--
-- The flag is cleared after each address has been posted to
-- ensure a second unauthorized post does not occur.
-------------------------------------------------------------
IMP_TOKEN_AVAIL_FLAG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' or
sig_rd_addr_posted = '1') then
sig_ok_to_post_rd_addr <= '0';
else
sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full
not(sig_token_eq_zero) and -- max allowed pending reads has not been reached
not(sig_taking_last_token); -- the max allowed pending reads is about to be reached
end if;
end if;
end process IMP_TOKEN_AVAIL_FLAG;
----------------------------------------------------------------
-- Data FIFO Logic ------------------------------------------
----------------------------------------------------------------
GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto
TKEEP_OUT_LSB_INDEX);
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_ENABLE3;
GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= (others => '1');
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_DISABLE3;
-- Stall Threshold calculations
sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt);
sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX);
sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) +
RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH);
-- Compare the commited read space plus the actual used space against the
-- stall threshold. Assert the read address posting stall flag if the
-- threshold is met or exceeded.
sig_stall_rd_addr_posts <= '1'
when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US)
Else '0';
-- FIFO Rd/WR Controls
sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- sig_pop_data_fifo <= sig_sout2sf_tready and
-- sig_data_fifo_dvalid;
GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_ENABLE2;
GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
--sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_DISABLE2;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO (synchronous)
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1_9.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NOT_NEEDED ,
C_NEED_ALMOST_FULL => NOT_NEEDED ,
C_USE_BLKMEM => BLK_MEM_FIFO ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => reset ,
SFIFO_Clk => aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_rd_sf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_sf.vhd
--
-- Description:
-- This file implements the AXI DataMover Read (MM2S) Store and Forward module.
-- The design utilizes the AXI DataMover's new address pipelining
-- control function. The design is such that predictive address
-- pipelining can be supported on the AXI Read Bus without over-commiting
-- the internal Data FIFO and potentially throttling the Read Data Channel
-- if the Data FIFO goes full.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_sfifo_autord;
use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_rd_sf is
generic (
C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512;
-- Sets the desired depth of the internal Data FIFO.
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max burst length being used by the external
-- AXI4 Master for each AXI4 transfer request.
C_DRE_IS_USED : Integer range 0 to 1 := 0;
-- Indicates if the external Master is utilizing a DRE on
-- the stream input to this module.
C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1;
-- Specifies the depth of the internal dre control queue fifo
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE alignment control ports
C_MMAP_DWIDTH : Integer range 32 to 1024 := 64;
-- Sets the AXI4 Memory Mapped Bus Data Width
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the Stream Data Width for the Input and Output
-- Data streams.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input DRE command
C_FAMILY : String := "virtex7"
-- Indicates the target FPGA Family.
);
port (
-- Clock and Reset inputs --------------------------------------------
--
aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
reset : in std_logic; --
-- Reset used for the internal syncronization logic --
----------------------------------------------------------------------
-- DataMover Read Side Address Pipelining Control Interface ----------
--
ok_to_post_rd_addr : Out Std_logic; --
-- Indicates that the transfer token pool has at least --
-- one token available to borrow --
--
rd_addr_posted : In std_logic; --
-- Indication that a read address has been posted to AXI4 --
--
rd_xfer_cmplt : In std_logic; --
-- Indicates that the Datamover has completed a Read Data --
-- transfer on the AXI4 --
----------------------------------------------------------------------
-- Read Side Stream In from DataMover MM2S Read Data Controller ----------------------
--
sf2sin_tready : Out Std_logic; --
-- DRE Stream READY input --
--
sin2sf_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
sin2sf_tlast : In std_logic; --
-- DRE Xfer LAST input --
--------------------------------------------------------------------------------------
-- RDC Store and Forward Supplimental Controls ---------------------
-- These are time aligned and qualified with the RDC Stream Input --
--
data2sf_cmd_cmplt : In std_logic; --
data2sf_dre_flush : In std_logic; --
--------------------------------------------------------------------
-- DRE Control Interface from the Command Calculator -----------------------------
--
dre2mstr_cmd_ready : Out std_logic ; --
-- Indication from the DRE that the command is being --
-- accepted from the Command Calculator --
--
mstr2dre_cmd_valid : In std_logic; --
-- The next command valid indication to the DRE --
-- from the Command Calculator --
--
mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
--
-- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); --
-- -- The bytes to transfer value for the input command --
--
mstr2dre_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
-- mstr2dre_cmd_cmplt : In std_logic; --
-- -- The last tranfer command of a sequence of transfers --
-- -- spawned from a single parent command --
--
mstr2dre_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);--
-- Outputs the starting offset of a transfer. This is used with Store --
-- and Forward Packer/Unpacker logic --
-----------------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
sf2dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
sf2dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
sf2dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- Stream Out -----------------------------------------------------------------------
--
sout2sf_tready : In std_logic; --
-- Write READY input from the Stream Master --
--
sf2sout_tvalid : Out std_logic; --
-- Write VALID output to the Stream Master --
--
sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tlast : Out std_logic --
-- Write LAST output to the Stream Master --
--------------------------------------------------------------------------------------
);
end entity axi_datamover_rd_sf;
architecture implementation of axi_datamover_rd_sf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions ---------------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_fifo_cnt_width
--
-- Function Description:
-- simple function to set the width of the data fifo read
-- and write count outputs.
-------------------------------------------------------------------
function funct_get_fifo_cnt_width (fifo_depth : integer)
return integer is
Variable temp_width : integer := 8;
begin
if (fifo_depth = 1) then
temp_width := 1;
elsif (fifo_depth = 2) then
temp_width := 2;
elsif (fifo_depth <= 4) then
temp_width := 3;
elsif (fifo_depth <= 8) then
temp_width := 4;
elsif (fifo_depth <= 16) then
temp_width := 5;
elsif (fifo_depth <= 32) then
temp_width := 6;
elsif (fifo_depth <= 64) then
temp_width := 7;
elsif (fifo_depth <= 128) then
temp_width := 8;
elsif (fifo_depth <= 256) then
temp_width := 9;
elsif (fifo_depth <= 512) then
temp_width := 10;
elsif (fifo_depth <= 1024) then
temp_width := 11;
elsif (fifo_depth <= 2048) then
temp_width := 12;
elsif (fifo_depth <= 4096) then
temp_width := 13;
else -- assume 8192 depth
temp_width := 14;
end if;
Return (temp_width);
end function funct_get_fifo_cnt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_wrcnt_lsrip
--
-- Function Description:
-- Calculates the ls index of the upper slice of the data fifo
-- write count needed to repesent one max burst worth of data
-- present in the fifo.
--
-------------------------------------------------------------------
function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is
Variable temp_ls_index : Integer := 0;
begin
if (max_burst_dbeats <= 2) then
temp_ls_index := 1;
elsif (max_burst_dbeats <= 4) then
temp_ls_index := 2;
elsif (max_burst_dbeats <= 8) then
temp_ls_index := 3;
elsif (max_burst_dbeats <= 16) then
temp_ls_index := 4;
elsif (max_burst_dbeats <= 32) then
temp_ls_index := 5;
elsif (max_burst_dbeats <= 64) then
temp_ls_index := 6;
elsif (max_burst_dbeats <= 128) then
temp_ls_index := 7;
else
temp_ls_index := 8;
end if;
Return (temp_ls_index);
end function funct_get_wrcnt_lsrip;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_stall_thresh
--
-- Function Description:
-- Calculates the Stall threshold for the input side of the Data
-- FIFO. If DRE is being used by the DataMover, then the threshold
-- must be reduced to account for the potential of an extra write
-- databeat per request (DRE alignment dependent).
--
-------------------------------------------------------------------
function funct_get_stall_thresh (dre_is_used : integer;
max_xfer_length : integer;
data_fifo_depth : integer;
pipeline_delay_clks : integer;
fifo_settling_clks : integer) return integer is
Constant DRE_PIPE_DELAY : integer := 2; -- clks
Variable var_num_max_xfers_allowed : Integer := 0;
Variable var_dre_dbeat_overhead : Integer := 0;
Variable var_delay_fudge_factor : Integer := 0;
Variable var_thresh_headroom : Integer := 0;
Variable var_stall_thresh : Integer := 0;
begin
var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length;
var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used;
var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) +
pipeline_delay_clks +
fifo_settling_clks;
var_thresh_headroom := max_xfer_length +
var_dre_dbeat_overhead +
var_delay_fudge_factor;
-- Scale the result to be in max transfer length increments
var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length;
Return (var_stall_thresh);
end function funct_get_stall_thresh;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_size_drecntl_fifo
--
-- Function Description:
-- Assures that the DRE control fifo depth is at least 4 deep else it
-- is equal to the number of max burst transfers that can fit in the
-- Store and Forward Data FIFO.
--
-------------------------------------------------------------------
function funct_size_drecntl_fifo (sf_fifo_depth : integer;
max_burst_length : integer) return integer is
Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length;
Variable temp_fifo_depth : Integer := 4;
begin
If (NEEDED_FIFO_DEPTH < 4) Then
temp_fifo_depth := 4;
Else
temp_fifo_depth := NEEDED_FIFO_DEPTH;
End if;
Return (temp_fifo_depth);
end function funct_size_drecntl_fifo;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- Detirmine the width needed for the address offset counter used
-- for the data fifo mux selects.
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_count_states : integer) return integer is
Variable lvar_temp_width : Integer := 1;
begin
if (num_count_states <= 2) then
lvar_temp_width := 1;
elsif (num_count_states <= 4) then
lvar_temp_width := 2;
elsif (num_count_states <= 8) then
lvar_temp_width := 3;
elsif (num_count_states <= 16) then
lvar_temp_width := 4;
elsif (num_count_states <= 32) then
lvar_temp_width := 5;
elsif (num_count_states <= 64) then
lvar_temp_width := 6;
Else -- 128 cnt states
lvar_temp_width := 7;
end if;
Return (lvar_temp_width);
end function funct_get_cntr_width;
-- Constants ---------------------------------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BLK_MEM_FIFO : integer := 1;
Constant SRL_FIFO : integer := 0;
Constant NOT_NEEDED : integer := 0;
Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits
Constant TLAST_WIDTH : integer := 1; -- bits
Constant CMPLT_WIDTH : integer := 1; -- bits
Constant DRE_FLUSH_WIDTH : integer := 1; -- bits
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH);
Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN);
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH +
MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP +
TLAST_WIDTH +
CMPLT_WIDTH +
DRE_FLUSH_WIDTH;
Constant DATA_OUT_LSB_INDEX : integer := 0;
Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1;
Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1;
Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP;
Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP;
Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1;
Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1;
Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN;
Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1;
Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH);
Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2;
Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE
Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks
Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on
-- the output side of the Store and Forward
Constant RD_ADDR_POST_STALL_THRESH : integer :=
funct_get_stall_thresh(DRE_COMPENSATION ,
C_MAX_BURST_LEN ,
C_SF_FIFO_DEPTH ,
RD_PATH_PIPE_DEPTH ,
WRCNT_SETTLING_TIME);
Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) :=
TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH ,
THRESH_COMPARE_WIDTH);
Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH);
Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH);
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
-- Signals ---------------------------------------------------------------------------
signal sig_good_sin_strm_dbeat : std_logic := '0';
signal sig_strm_sin_ready : std_logic := '0';
signal sig_good_sout_strm_dbeat : std_logic := '0';
signal sig_sout2sf_tready : std_logic := '0';
signal sig_sf2sout_tvalid : std_logic := '0';
signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_sf2sout_tlast : std_logic := '0';
signal sig_sf2dre_flush : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX) := (others => '0');
signal sig_ok_to_post_rd_addr : std_logic := '0';
signal sig_rd_addr_posted : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
signal sig_taking_last_token : std_logic := '0';
signal sig_stall_rd_addr_posts : std_logic := '0';
signal sig_incr_token_cntr : std_logic := '0';
signal sig_decr_token_cntr : std_logic := '0';
signal sig_token_eq_max : std_logic := '0';
signal sig_token_eq_zero : std_logic := '0';
signal sig_token_eq_one : std_logic := '0';
signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0');
signal sig_cntl_fifo_has_data : std_logic := '0';
signal sig_get_cntl_fifo_data : std_logic := '0';
signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_drr_reg : std_logic := '0';
signal sig_curr_eof_reg : std_logic := '0';
signal sig_curr_calc_error_reg : std_logic := '0';
signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_dre_cntl_reg : std_logic := '0';
signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tlast_out : std_logic := '0';
signal sig_dfifo_cmd_cmplt_out : std_logic := '0';
signal sig_dfifo_dre_flush_out : std_logic := '0';
begin --(architecture implementation)
-- Read Side (MM2S) Control Flags port connections
ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ;
sig_rd_addr_posted <= rd_addr_posted ;
sig_rd_xfer_cmplt <= rd_xfer_cmplt ;
-- Output Stream Port connections
sig_sout2sf_tready <= sout2sf_tready ;
sf2sout_tvalid <= sig_sf2sout_tvalid ;
sf2sout_tdata <= sig_sf2sout_tdata ;
--sf2sout_tkeep <= sig_sf2sout_tkeep ;
sf2sout_tlast <= sig_sf2sout_tlast and
sig_sf2sout_tvalid ;
GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
sf2sout_tkeep <= sig_sf2sout_tkeep ;
end generate GEN_MM2S_TKEEP_ENABLE4;
GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
sf2sout_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE4;
-- Input Stream port connections
sf2sin_tready <= sig_strm_sin_ready;
sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full.
-- This should never happen if read address
-- posting control is working properly.
-- Stream transfer qualifiers
sig_good_sin_strm_dbeat <= sin2sf_tvalid and
sig_strm_sin_ready;
sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and
sig_sout2sf_tready;
----------------------------------------------------------------
-- Unpacking Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_UNPACKING
--
-- If Generate Description:
-- Omits any unpacking logic in the Store and Forward module.
-- The Stream and MMap data widths are the same. The Data FIFO
-- output can be connected directly to the stream outputs.
--
------------------------------------------------------------
OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_ld_cmd : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
begin
-- Data FIFO Output to the stream attachments
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= sig_dfifo_data_out ;
sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ;
sig_sf2sout_tlast <= sig_dfifo_tlast_out ;
sig_sf2dre_flush <= sig_dfifo_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_cmd ;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_cmd ;
lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Generate the control that loads the DRE
lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the DRE Output Register.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_cmd = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
end generate OMIT_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_UNPACKING
--
-- If Generate Description:
-- Includes unpacking logic in the Store and Forward module.
-- The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of
std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_fifo_data_out_wide : lsig_data_slice_type;
signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type;
signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1;
signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ;
signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
signal lsig_tlast_out : std_logic := '0';
signal lsig_dre_flush_out : std_logic := '0';
signal lsig_this_fifo_wrd_done : std_logic := '0';
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
signal lsig_next_slice_tkeep_0 : std_logic := '0';
begin
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= lsig_data_mux_out ;
sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0);
sig_sf2sout_tlast <= lsig_tlast_out ;
sig_sf2dre_flush <= lsig_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_this_fifo_wrd_done and
lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_offset;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_offset ;
lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0);
-- Detirmine if a Command Complete condition exists
lsig_cmd_cmplt <= '1'
when (sig_dfifo_cmd_cmplt_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detirmine if a TLAST condition exists
-- From the RDC via the Data FIFO
lsig_tlast_out <= '1'
when (sig_dfifo_tlast_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detimine if a DRE Flush condition exists
-- From the RDC via the Data FIFO
lsig_dre_flush_out <= '1'
when (sig_dfifo_dre_flush_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Check to see if the FIFO output word is finished. This occurs
-- when the offset counter is at max value or the tlast from the
-- fifo is set and the LS TKEED of the next MS Slice is zero.
lsig_this_fifo_wrd_done <= '1'
When (lsig_offset_cntr_eq_max = '1' or
(lsig_cmd_cmplt_dbeat = '1' and
lsig_next_slice_tkeep_0 = '0'))
Else '0';
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_sout_strm_dbeat;
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the unpacker control logic.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_offset = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- generate the data and tkeep mux selects.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg);
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_CONVERTER
--
-- For Generate Description:
-- This ForGen converts the FIFO output data and tkeep from a single
-- std logic vector type to a vector of slices.
--
------------------------------------------------------------
DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_fifo_data_out_wide(slice_index-1) <=
sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH);
lsig_fifo_tkeep_out_wide(slice_index-1) <=
sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto
(slice_index-1)*TKEEP_SLICE_WIDTH);
end generate DO_DATA_CONVERTER;
-- Assign the extra tkeep slice to all zeros to allow for detection
-- of the data word done when the ls tkeep bit of the next tkeep
-- slice is zero and the offset count is pointing to the last slice
-- position.
lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0');
-- Mux the appropriate data and tkeep slice to the stream output
lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr);
lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ;
lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel);
end generate INCLUDE_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to omit the DRE control logic and
-- minimize the Control FIFO when MM2S DRE is not included
-- in the MM2S.
--
------------------------------------------------------------
OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate
-- Constant Declarations ------------------------------------------------------------------
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
-- Signal Declarations --------------------------------------------------------------------
signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_wr_valid : std_logic := '0';
signal sig_offset_fifo_wr_ready : std_logic := '0';
signal sig_offset_fifo_rd_valid : std_logic := '0';
signal sig_offset_fifo_rd_ready : std_logic := '0';
begin
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ;
sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ;
-- No DRE so no controls
sf2dre_new_align <= '0';
sf2dre_use_autodest <= '0';
sf2dre_src_align <= (others => '0');
sf2dre_dest_align <= (others => '0');
sf2dre_flush <= '0';
-- No DRE so no alignment values
sig_curr_src_align_reg <= (others => '0');
sig_curr_dest_align_reg <= (others => '0');
-- Format the input data word for the Offset FIFO Queue
sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_tag; -- LS Field
sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ;
sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the Offset Control FIFO. This is still needed
-- by the unpacker logic to get the starting offset at the
-- begining of an input packet coming out of the Store and
-- Forward data FIFO.
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => SF_OFFSET_FIFO_WIDTH ,
C_DEPTH => SF_OFFSET_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_offset_fifo_wr_valid ,
fifo_wr_tready => sig_offset_fifo_wr_ready ,
fifo_wr_tdata => sig_offset_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_offset_fifo_rd_valid ,
fifo_rd_tready => sig_offset_fifo_rd_ready ,
fifo_rd_tdata => sig_offset_fifo_data_out ,
fifo_rd_empty => open
);
end generate OMIT_DRE_CNTL;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to include the DRE control logic and
-- Control FIFO when MM2S DRE is included in the MM2S.
--
--
------------------------------------------------------------
INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate
-- Constant Declarations
Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SRC_ALIGN_WIDTH + -- Source align field width
DEST_ALIGN_WIDTH + -- Dest align field width
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH;
Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_dre_align_ready : std_logic := '0';
signal sig_dre_align_valid_reg : std_logic := '0';
signal sig_dre_use_autodest_reg : std_logic := '0';
signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_flush_reg : std_logic := '0';
begin
-- Assign the DRE Control Outputs
sf2dre_new_align <= sig_dre_align_valid_reg;
sf2dre_use_autodest <= sig_dre_use_autodest_reg;
sf2dre_src_align <= sig_dre_src_align_reg;
sf2dre_dest_align <= sig_dre_dest_align_reg;
sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ;
-- Format the input data word for the DRE Control FIFO Queue
sig_cmd_fifo_data_in <= mstr2dre_strt_offset &
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_dre_dest_align &
mstr2dre_dre_src_align &
mstr2dre_tag;
-- Formulate the DRE Control FIFO Read signaling
sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto
SRC_ALIGN_STRT_INDEX);
sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto
DEST_ALIGN_STRT_INDEX);
sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the DRE Control FIFO
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => DRECNTL_FIFO_WIDTH ,
C_DEPTH => DRECNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => open
);
-------------------------------------------------------------------------
-- DRE Control Register
-------------------------------------------------------------------------
-- The DRE will auto-flush on a received TLAST so a commanded Flush
-- is not needed.
sig_dre_flush_reg <= '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CNTL_REG
--
-- Process Description:
-- Implements the DRE alignment Output Register.
--
-------------------------------------------------------------
IMP_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ;
sig_dre_src_align_reg <= sig_curr_src_align_reg ;
sig_dre_dest_align_reg <= sig_curr_dest_align_reg ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_CNTL_VALID_REG
--
-- Process Description:
-- Implements the DRE Alignment valid Register.
--
-------------------------------------------------------------
IMP_DRE_CNTL_VALID_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_align_valid_reg <= '0' ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_align_valid_reg <= '1' ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_align_valid_reg <= '0' ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_DRE_CNTL_VALID_REG;
end generate INCLUDE_DRE_CNTL;
----------------------------------------------------------------
-- Token Counter Logic
-- Predicting fifo space availability at some point in the
-- future is based on managing a virtual pool of transfer tokens.
-- A token represents 1 max length burst worth of space in the
-- Data FIFO.
----------------------------------------------------------------
-- calculate how many tokens are commited to pending transfers
sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr;
-- Decrement the token counter when a token is
-- borrowed
sig_decr_token_cntr <= '1'
when (sig_rd_addr_posted = '1' and
sig_token_eq_zero = '0')
else '0';
-- Increment the token counter when a
-- token is returned.
sig_incr_token_cntr <= '1'
when (sig_rd_xfer_cmplt = '1' and
sig_token_eq_max = '0')
else '0';
-- Detect when the xfer token count is at max value
sig_token_eq_max <= '1'
when (sig_token_cntr = TOKEN_CNT_MAX)
Else '0';
-- Detect when the xfer token count is at one
sig_token_eq_one <= '1'
when (sig_token_cntr = TOKEN_CNT_ONE)
Else '0';
-- Detect when the xfer token count is at zero
sig_token_eq_zero <= '1'
when (sig_token_cntr = TOKEN_CNT_ZERO)
Else '0';
-- Look ahead to see if the xfer token pool is going empty
sig_taking_last_token <= '1'
When (sig_token_eq_one = '1' and
sig_rd_addr_posted = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_CNTR
--
-- Process Description:
-- Implements the Token counter
--
-------------------------------------------------------------
IMP_TOKEN_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' ) then
sig_token_cntr <= TOKEN_CNT_MAX;
elsif (sig_incr_token_cntr = '1' and
sig_decr_token_cntr = '0') then
sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE;
elsif (sig_incr_token_cntr = '0' and
sig_decr_token_cntr = '1') then
sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_TOKEN_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_AVAIL_FLAG
--
-- Process Description:
-- Implements the flag indicating that the AXI Read Master
-- can post a read address request on the AXI4 bus.
--
-- Read address posting can occur if:
--
-- - The write side LEN fifo is not empty.
-- - The commited plus actual Data FIFO space is less than
-- the stall threshold (a max length read burst can fit
-- in the data FIFO without overflow).
-- - The max allowed commited read count has not been reached.
--
-- The flag is cleared after each address has been posted to
-- ensure a second unauthorized post does not occur.
-------------------------------------------------------------
IMP_TOKEN_AVAIL_FLAG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' or
sig_rd_addr_posted = '1') then
sig_ok_to_post_rd_addr <= '0';
else
sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full
not(sig_token_eq_zero) and -- max allowed pending reads has not been reached
not(sig_taking_last_token); -- the max allowed pending reads is about to be reached
end if;
end if;
end process IMP_TOKEN_AVAIL_FLAG;
----------------------------------------------------------------
-- Data FIFO Logic ------------------------------------------
----------------------------------------------------------------
GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto
TKEEP_OUT_LSB_INDEX);
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_ENABLE3;
GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= (others => '1');
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_DISABLE3;
-- Stall Threshold calculations
sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt);
sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX);
sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) +
RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH);
-- Compare the commited read space plus the actual used space against the
-- stall threshold. Assert the read address posting stall flag if the
-- threshold is met or exceeded.
sig_stall_rd_addr_posts <= '1'
when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US)
Else '0';
-- FIFO Rd/WR Controls
sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- sig_pop_data_fifo <= sig_sout2sf_tready and
-- sig_data_fifo_dvalid;
GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_ENABLE2;
GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
--sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_DISABLE2;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO (synchronous)
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1_9.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NOT_NEEDED ,
C_NEED_ALMOST_FULL => NOT_NEEDED ,
C_USE_BLKMEM => BLK_MEM_FIFO ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => reset ,
SFIFO_Clk => aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover_rd_sf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_sf.vhd
--
-- Description:
-- This file implements the AXI DataMover Read (MM2S) Store and Forward module.
-- The design utilizes the AXI DataMover's new address pipelining
-- control function. The design is such that predictive address
-- pipelining can be supported on the AXI Read Bus without over-commiting
-- the internal Data FIFO and potentially throttling the Read Data Channel
-- if the Data FIFO goes full.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_sfifo_autord;
use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_rd_sf is
generic (
C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512;
-- Sets the desired depth of the internal Data FIFO.
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max burst length being used by the external
-- AXI4 Master for each AXI4 transfer request.
C_DRE_IS_USED : Integer range 0 to 1 := 0;
-- Indicates if the external Master is utilizing a DRE on
-- the stream input to this module.
C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1;
-- Specifies the depth of the internal dre control queue fifo
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE alignment control ports
C_MMAP_DWIDTH : Integer range 32 to 1024 := 64;
-- Sets the AXI4 Memory Mapped Bus Data Width
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the Stream Data Width for the Input and Output
-- Data streams.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input DRE command
C_FAMILY : String := "virtex7"
-- Indicates the target FPGA Family.
);
port (
-- Clock and Reset inputs --------------------------------------------
--
aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
reset : in std_logic; --
-- Reset used for the internal syncronization logic --
----------------------------------------------------------------------
-- DataMover Read Side Address Pipelining Control Interface ----------
--
ok_to_post_rd_addr : Out Std_logic; --
-- Indicates that the transfer token pool has at least --
-- one token available to borrow --
--
rd_addr_posted : In std_logic; --
-- Indication that a read address has been posted to AXI4 --
--
rd_xfer_cmplt : In std_logic; --
-- Indicates that the Datamover has completed a Read Data --
-- transfer on the AXI4 --
----------------------------------------------------------------------
-- Read Side Stream In from DataMover MM2S Read Data Controller ----------------------
--
sf2sin_tready : Out Std_logic; --
-- DRE Stream READY input --
--
sin2sf_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
sin2sf_tlast : In std_logic; --
-- DRE Xfer LAST input --
--------------------------------------------------------------------------------------
-- RDC Store and Forward Supplimental Controls ---------------------
-- These are time aligned and qualified with the RDC Stream Input --
--
data2sf_cmd_cmplt : In std_logic; --
data2sf_dre_flush : In std_logic; --
--------------------------------------------------------------------
-- DRE Control Interface from the Command Calculator -----------------------------
--
dre2mstr_cmd_ready : Out std_logic ; --
-- Indication from the DRE that the command is being --
-- accepted from the Command Calculator --
--
mstr2dre_cmd_valid : In std_logic; --
-- The next command valid indication to the DRE --
-- from the Command Calculator --
--
mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
--
-- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); --
-- -- The bytes to transfer value for the input command --
--
mstr2dre_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
-- mstr2dre_cmd_cmplt : In std_logic; --
-- -- The last tranfer command of a sequence of transfers --
-- -- spawned from a single parent command --
--
mstr2dre_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);--
-- Outputs the starting offset of a transfer. This is used with Store --
-- and Forward Packer/Unpacker logic --
-----------------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
sf2dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
sf2dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
sf2dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- Stream Out -----------------------------------------------------------------------
--
sout2sf_tready : In std_logic; --
-- Write READY input from the Stream Master --
--
sf2sout_tvalid : Out std_logic; --
-- Write VALID output to the Stream Master --
--
sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tlast : Out std_logic --
-- Write LAST output to the Stream Master --
--------------------------------------------------------------------------------------
);
end entity axi_datamover_rd_sf;
architecture implementation of axi_datamover_rd_sf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions ---------------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_fifo_cnt_width
--
-- Function Description:
-- simple function to set the width of the data fifo read
-- and write count outputs.
-------------------------------------------------------------------
function funct_get_fifo_cnt_width (fifo_depth : integer)
return integer is
Variable temp_width : integer := 8;
begin
if (fifo_depth = 1) then
temp_width := 1;
elsif (fifo_depth = 2) then
temp_width := 2;
elsif (fifo_depth <= 4) then
temp_width := 3;
elsif (fifo_depth <= 8) then
temp_width := 4;
elsif (fifo_depth <= 16) then
temp_width := 5;
elsif (fifo_depth <= 32) then
temp_width := 6;
elsif (fifo_depth <= 64) then
temp_width := 7;
elsif (fifo_depth <= 128) then
temp_width := 8;
elsif (fifo_depth <= 256) then
temp_width := 9;
elsif (fifo_depth <= 512) then
temp_width := 10;
elsif (fifo_depth <= 1024) then
temp_width := 11;
elsif (fifo_depth <= 2048) then
temp_width := 12;
elsif (fifo_depth <= 4096) then
temp_width := 13;
else -- assume 8192 depth
temp_width := 14;
end if;
Return (temp_width);
end function funct_get_fifo_cnt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_wrcnt_lsrip
--
-- Function Description:
-- Calculates the ls index of the upper slice of the data fifo
-- write count needed to repesent one max burst worth of data
-- present in the fifo.
--
-------------------------------------------------------------------
function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is
Variable temp_ls_index : Integer := 0;
begin
if (max_burst_dbeats <= 2) then
temp_ls_index := 1;
elsif (max_burst_dbeats <= 4) then
temp_ls_index := 2;
elsif (max_burst_dbeats <= 8) then
temp_ls_index := 3;
elsif (max_burst_dbeats <= 16) then
temp_ls_index := 4;
elsif (max_burst_dbeats <= 32) then
temp_ls_index := 5;
elsif (max_burst_dbeats <= 64) then
temp_ls_index := 6;
elsif (max_burst_dbeats <= 128) then
temp_ls_index := 7;
else
temp_ls_index := 8;
end if;
Return (temp_ls_index);
end function funct_get_wrcnt_lsrip;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_stall_thresh
--
-- Function Description:
-- Calculates the Stall threshold for the input side of the Data
-- FIFO. If DRE is being used by the DataMover, then the threshold
-- must be reduced to account for the potential of an extra write
-- databeat per request (DRE alignment dependent).
--
-------------------------------------------------------------------
function funct_get_stall_thresh (dre_is_used : integer;
max_xfer_length : integer;
data_fifo_depth : integer;
pipeline_delay_clks : integer;
fifo_settling_clks : integer) return integer is
Constant DRE_PIPE_DELAY : integer := 2; -- clks
Variable var_num_max_xfers_allowed : Integer := 0;
Variable var_dre_dbeat_overhead : Integer := 0;
Variable var_delay_fudge_factor : Integer := 0;
Variable var_thresh_headroom : Integer := 0;
Variable var_stall_thresh : Integer := 0;
begin
var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length;
var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used;
var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) +
pipeline_delay_clks +
fifo_settling_clks;
var_thresh_headroom := max_xfer_length +
var_dre_dbeat_overhead +
var_delay_fudge_factor;
-- Scale the result to be in max transfer length increments
var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length;
Return (var_stall_thresh);
end function funct_get_stall_thresh;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_size_drecntl_fifo
--
-- Function Description:
-- Assures that the DRE control fifo depth is at least 4 deep else it
-- is equal to the number of max burst transfers that can fit in the
-- Store and Forward Data FIFO.
--
-------------------------------------------------------------------
function funct_size_drecntl_fifo (sf_fifo_depth : integer;
max_burst_length : integer) return integer is
Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length;
Variable temp_fifo_depth : Integer := 4;
begin
If (NEEDED_FIFO_DEPTH < 4) Then
temp_fifo_depth := 4;
Else
temp_fifo_depth := NEEDED_FIFO_DEPTH;
End if;
Return (temp_fifo_depth);
end function funct_size_drecntl_fifo;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- Detirmine the width needed for the address offset counter used
-- for the data fifo mux selects.
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_count_states : integer) return integer is
Variable lvar_temp_width : Integer := 1;
begin
if (num_count_states <= 2) then
lvar_temp_width := 1;
elsif (num_count_states <= 4) then
lvar_temp_width := 2;
elsif (num_count_states <= 8) then
lvar_temp_width := 3;
elsif (num_count_states <= 16) then
lvar_temp_width := 4;
elsif (num_count_states <= 32) then
lvar_temp_width := 5;
elsif (num_count_states <= 64) then
lvar_temp_width := 6;
Else -- 128 cnt states
lvar_temp_width := 7;
end if;
Return (lvar_temp_width);
end function funct_get_cntr_width;
-- Constants ---------------------------------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BLK_MEM_FIFO : integer := 1;
Constant SRL_FIFO : integer := 0;
Constant NOT_NEEDED : integer := 0;
Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits
Constant TLAST_WIDTH : integer := 1; -- bits
Constant CMPLT_WIDTH : integer := 1; -- bits
Constant DRE_FLUSH_WIDTH : integer := 1; -- bits
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH);
Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN);
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH +
MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP +
TLAST_WIDTH +
CMPLT_WIDTH +
DRE_FLUSH_WIDTH;
Constant DATA_OUT_LSB_INDEX : integer := 0;
Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1;
Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1;
Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP;
Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP;
Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1;
Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1;
Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN;
Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1;
Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH);
Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2;
Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE
Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks
Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on
-- the output side of the Store and Forward
Constant RD_ADDR_POST_STALL_THRESH : integer :=
funct_get_stall_thresh(DRE_COMPENSATION ,
C_MAX_BURST_LEN ,
C_SF_FIFO_DEPTH ,
RD_PATH_PIPE_DEPTH ,
WRCNT_SETTLING_TIME);
Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) :=
TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH ,
THRESH_COMPARE_WIDTH);
Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH);
Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH);
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
-- Signals ---------------------------------------------------------------------------
signal sig_good_sin_strm_dbeat : std_logic := '0';
signal sig_strm_sin_ready : std_logic := '0';
signal sig_good_sout_strm_dbeat : std_logic := '0';
signal sig_sout2sf_tready : std_logic := '0';
signal sig_sf2sout_tvalid : std_logic := '0';
signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_sf2sout_tlast : std_logic := '0';
signal sig_sf2dre_flush : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX) := (others => '0');
signal sig_ok_to_post_rd_addr : std_logic := '0';
signal sig_rd_addr_posted : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
signal sig_taking_last_token : std_logic := '0';
signal sig_stall_rd_addr_posts : std_logic := '0';
signal sig_incr_token_cntr : std_logic := '0';
signal sig_decr_token_cntr : std_logic := '0';
signal sig_token_eq_max : std_logic := '0';
signal sig_token_eq_zero : std_logic := '0';
signal sig_token_eq_one : std_logic := '0';
signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0');
signal sig_cntl_fifo_has_data : std_logic := '0';
signal sig_get_cntl_fifo_data : std_logic := '0';
signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_drr_reg : std_logic := '0';
signal sig_curr_eof_reg : std_logic := '0';
signal sig_curr_calc_error_reg : std_logic := '0';
signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_dre_cntl_reg : std_logic := '0';
signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tlast_out : std_logic := '0';
signal sig_dfifo_cmd_cmplt_out : std_logic := '0';
signal sig_dfifo_dre_flush_out : std_logic := '0';
begin --(architecture implementation)
-- Read Side (MM2S) Control Flags port connections
ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ;
sig_rd_addr_posted <= rd_addr_posted ;
sig_rd_xfer_cmplt <= rd_xfer_cmplt ;
-- Output Stream Port connections
sig_sout2sf_tready <= sout2sf_tready ;
sf2sout_tvalid <= sig_sf2sout_tvalid ;
sf2sout_tdata <= sig_sf2sout_tdata ;
--sf2sout_tkeep <= sig_sf2sout_tkeep ;
sf2sout_tlast <= sig_sf2sout_tlast and
sig_sf2sout_tvalid ;
GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
sf2sout_tkeep <= sig_sf2sout_tkeep ;
end generate GEN_MM2S_TKEEP_ENABLE4;
GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
sf2sout_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE4;
-- Input Stream port connections
sf2sin_tready <= sig_strm_sin_ready;
sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full.
-- This should never happen if read address
-- posting control is working properly.
-- Stream transfer qualifiers
sig_good_sin_strm_dbeat <= sin2sf_tvalid and
sig_strm_sin_ready;
sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and
sig_sout2sf_tready;
----------------------------------------------------------------
-- Unpacking Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_UNPACKING
--
-- If Generate Description:
-- Omits any unpacking logic in the Store and Forward module.
-- The Stream and MMap data widths are the same. The Data FIFO
-- output can be connected directly to the stream outputs.
--
------------------------------------------------------------
OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_ld_cmd : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
begin
-- Data FIFO Output to the stream attachments
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= sig_dfifo_data_out ;
sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ;
sig_sf2sout_tlast <= sig_dfifo_tlast_out ;
sig_sf2dre_flush <= sig_dfifo_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_cmd ;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_cmd ;
lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Generate the control that loads the DRE
lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the DRE Output Register.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_cmd = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
end generate OMIT_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_UNPACKING
--
-- If Generate Description:
-- Includes unpacking logic in the Store and Forward module.
-- The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of
std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_fifo_data_out_wide : lsig_data_slice_type;
signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type;
signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1;
signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ;
signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
signal lsig_tlast_out : std_logic := '0';
signal lsig_dre_flush_out : std_logic := '0';
signal lsig_this_fifo_wrd_done : std_logic := '0';
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
signal lsig_next_slice_tkeep_0 : std_logic := '0';
begin
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= lsig_data_mux_out ;
sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0);
sig_sf2sout_tlast <= lsig_tlast_out ;
sig_sf2dre_flush <= lsig_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_this_fifo_wrd_done and
lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_offset;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_offset ;
lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0);
-- Detirmine if a Command Complete condition exists
lsig_cmd_cmplt <= '1'
when (sig_dfifo_cmd_cmplt_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detirmine if a TLAST condition exists
-- From the RDC via the Data FIFO
lsig_tlast_out <= '1'
when (sig_dfifo_tlast_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detimine if a DRE Flush condition exists
-- From the RDC via the Data FIFO
lsig_dre_flush_out <= '1'
when (sig_dfifo_dre_flush_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Check to see if the FIFO output word is finished. This occurs
-- when the offset counter is at max value or the tlast from the
-- fifo is set and the LS TKEED of the next MS Slice is zero.
lsig_this_fifo_wrd_done <= '1'
When (lsig_offset_cntr_eq_max = '1' or
(lsig_cmd_cmplt_dbeat = '1' and
lsig_next_slice_tkeep_0 = '0'))
Else '0';
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_sout_strm_dbeat;
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the unpacker control logic.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_offset = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- generate the data and tkeep mux selects.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg);
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_CONVERTER
--
-- For Generate Description:
-- This ForGen converts the FIFO output data and tkeep from a single
-- std logic vector type to a vector of slices.
--
------------------------------------------------------------
DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_fifo_data_out_wide(slice_index-1) <=
sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH);
lsig_fifo_tkeep_out_wide(slice_index-1) <=
sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto
(slice_index-1)*TKEEP_SLICE_WIDTH);
end generate DO_DATA_CONVERTER;
-- Assign the extra tkeep slice to all zeros to allow for detection
-- of the data word done when the ls tkeep bit of the next tkeep
-- slice is zero and the offset count is pointing to the last slice
-- position.
lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0');
-- Mux the appropriate data and tkeep slice to the stream output
lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr);
lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ;
lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel);
end generate INCLUDE_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to omit the DRE control logic and
-- minimize the Control FIFO when MM2S DRE is not included
-- in the MM2S.
--
------------------------------------------------------------
OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate
-- Constant Declarations ------------------------------------------------------------------
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
-- Signal Declarations --------------------------------------------------------------------
signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_wr_valid : std_logic := '0';
signal sig_offset_fifo_wr_ready : std_logic := '0';
signal sig_offset_fifo_rd_valid : std_logic := '0';
signal sig_offset_fifo_rd_ready : std_logic := '0';
begin
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ;
sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ;
-- No DRE so no controls
sf2dre_new_align <= '0';
sf2dre_use_autodest <= '0';
sf2dre_src_align <= (others => '0');
sf2dre_dest_align <= (others => '0');
sf2dre_flush <= '0';
-- No DRE so no alignment values
sig_curr_src_align_reg <= (others => '0');
sig_curr_dest_align_reg <= (others => '0');
-- Format the input data word for the Offset FIFO Queue
sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_tag; -- LS Field
sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ;
sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the Offset Control FIFO. This is still needed
-- by the unpacker logic to get the starting offset at the
-- begining of an input packet coming out of the Store and
-- Forward data FIFO.
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => SF_OFFSET_FIFO_WIDTH ,
C_DEPTH => SF_OFFSET_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_offset_fifo_wr_valid ,
fifo_wr_tready => sig_offset_fifo_wr_ready ,
fifo_wr_tdata => sig_offset_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_offset_fifo_rd_valid ,
fifo_rd_tready => sig_offset_fifo_rd_ready ,
fifo_rd_tdata => sig_offset_fifo_data_out ,
fifo_rd_empty => open
);
end generate OMIT_DRE_CNTL;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to include the DRE control logic and
-- Control FIFO when MM2S DRE is included in the MM2S.
--
--
------------------------------------------------------------
INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate
-- Constant Declarations
Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SRC_ALIGN_WIDTH + -- Source align field width
DEST_ALIGN_WIDTH + -- Dest align field width
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH;
Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_dre_align_ready : std_logic := '0';
signal sig_dre_align_valid_reg : std_logic := '0';
signal sig_dre_use_autodest_reg : std_logic := '0';
signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_flush_reg : std_logic := '0';
begin
-- Assign the DRE Control Outputs
sf2dre_new_align <= sig_dre_align_valid_reg;
sf2dre_use_autodest <= sig_dre_use_autodest_reg;
sf2dre_src_align <= sig_dre_src_align_reg;
sf2dre_dest_align <= sig_dre_dest_align_reg;
sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ;
-- Format the input data word for the DRE Control FIFO Queue
sig_cmd_fifo_data_in <= mstr2dre_strt_offset &
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_dre_dest_align &
mstr2dre_dre_src_align &
mstr2dre_tag;
-- Formulate the DRE Control FIFO Read signaling
sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto
SRC_ALIGN_STRT_INDEX);
sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto
DEST_ALIGN_STRT_INDEX);
sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the DRE Control FIFO
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => DRECNTL_FIFO_WIDTH ,
C_DEPTH => DRECNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => open
);
-------------------------------------------------------------------------
-- DRE Control Register
-------------------------------------------------------------------------
-- The DRE will auto-flush on a received TLAST so a commanded Flush
-- is not needed.
sig_dre_flush_reg <= '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CNTL_REG
--
-- Process Description:
-- Implements the DRE alignment Output Register.
--
-------------------------------------------------------------
IMP_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ;
sig_dre_src_align_reg <= sig_curr_src_align_reg ;
sig_dre_dest_align_reg <= sig_curr_dest_align_reg ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_CNTL_VALID_REG
--
-- Process Description:
-- Implements the DRE Alignment valid Register.
--
-------------------------------------------------------------
IMP_DRE_CNTL_VALID_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_align_valid_reg <= '0' ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_align_valid_reg <= '1' ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_align_valid_reg <= '0' ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_DRE_CNTL_VALID_REG;
end generate INCLUDE_DRE_CNTL;
----------------------------------------------------------------
-- Token Counter Logic
-- Predicting fifo space availability at some point in the
-- future is based on managing a virtual pool of transfer tokens.
-- A token represents 1 max length burst worth of space in the
-- Data FIFO.
----------------------------------------------------------------
-- calculate how many tokens are commited to pending transfers
sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr;
-- Decrement the token counter when a token is
-- borrowed
sig_decr_token_cntr <= '1'
when (sig_rd_addr_posted = '1' and
sig_token_eq_zero = '0')
else '0';
-- Increment the token counter when a
-- token is returned.
sig_incr_token_cntr <= '1'
when (sig_rd_xfer_cmplt = '1' and
sig_token_eq_max = '0')
else '0';
-- Detect when the xfer token count is at max value
sig_token_eq_max <= '1'
when (sig_token_cntr = TOKEN_CNT_MAX)
Else '0';
-- Detect when the xfer token count is at one
sig_token_eq_one <= '1'
when (sig_token_cntr = TOKEN_CNT_ONE)
Else '0';
-- Detect when the xfer token count is at zero
sig_token_eq_zero <= '1'
when (sig_token_cntr = TOKEN_CNT_ZERO)
Else '0';
-- Look ahead to see if the xfer token pool is going empty
sig_taking_last_token <= '1'
When (sig_token_eq_one = '1' and
sig_rd_addr_posted = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_CNTR
--
-- Process Description:
-- Implements the Token counter
--
-------------------------------------------------------------
IMP_TOKEN_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' ) then
sig_token_cntr <= TOKEN_CNT_MAX;
elsif (sig_incr_token_cntr = '1' and
sig_decr_token_cntr = '0') then
sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE;
elsif (sig_incr_token_cntr = '0' and
sig_decr_token_cntr = '1') then
sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_TOKEN_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_AVAIL_FLAG
--
-- Process Description:
-- Implements the flag indicating that the AXI Read Master
-- can post a read address request on the AXI4 bus.
--
-- Read address posting can occur if:
--
-- - The write side LEN fifo is not empty.
-- - The commited plus actual Data FIFO space is less than
-- the stall threshold (a max length read burst can fit
-- in the data FIFO without overflow).
-- - The max allowed commited read count has not been reached.
--
-- The flag is cleared after each address has been posted to
-- ensure a second unauthorized post does not occur.
-------------------------------------------------------------
IMP_TOKEN_AVAIL_FLAG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' or
sig_rd_addr_posted = '1') then
sig_ok_to_post_rd_addr <= '0';
else
sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full
not(sig_token_eq_zero) and -- max allowed pending reads has not been reached
not(sig_taking_last_token); -- the max allowed pending reads is about to be reached
end if;
end if;
end process IMP_TOKEN_AVAIL_FLAG;
----------------------------------------------------------------
-- Data FIFO Logic ------------------------------------------
----------------------------------------------------------------
GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto
TKEEP_OUT_LSB_INDEX);
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_ENABLE3;
GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= (others => '1');
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_DISABLE3;
-- Stall Threshold calculations
sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt);
sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX);
sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) +
RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH);
-- Compare the commited read space plus the actual used space against the
-- stall threshold. Assert the read address posting stall flag if the
-- threshold is met or exceeded.
sig_stall_rd_addr_posts <= '1'
when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US)
Else '0';
-- FIFO Rd/WR Controls
sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- sig_pop_data_fifo <= sig_sout2sf_tready and
-- sig_data_fifo_dvalid;
GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_ENABLE2;
GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
--sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_DISABLE2;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO (synchronous)
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1_9.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NOT_NEEDED ,
C_NEED_ALMOST_FULL => NOT_NEEDED ,
C_USE_BLKMEM => BLK_MEM_FIFO ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => reset ,
SFIFO_Clk => aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
end implementation;
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : entity fifo_dsn.INST1(rtl);
U_INST2 : component INST2
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
);
U_INST3 : INST3
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violation below
U_INST1 : entity fifo_dsn.INST1(rtl)
;
U_INST2 : component INST2
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
;
U_INST3 : INST3
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
)
;
end architecture ARCH;
|
--
-- Copyright (C) 2009-2012 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sdram is
port(
clk_in : in std_logic;
reset_in : in std_logic;
-- DVR interface -----------------------------------------------------------------------------
--chanAddr_in : in std_logic_vector(6 downto 0); -- the selected channel (0-127)
-- Host >> FPGA pipe:
h2fData_in : in std_logic_vector(7 downto 0); -- data lines used when the host writes to a channel
h2fValid_in : in std_logic; -- '1' means "on the next clock rising edge, please accept the data on h2fData"
h2fReady_out : out std_logic; -- channel logic can drive this low to say "I'm not ready for more data yet"
-- Host << FPGA pipe:
f2hData_out : out std_logic_vector(7 downto 0); -- data lines used when the host reads from a channel
f2hValid_out : out std_logic; -- channel logic can drive this low to say "I don't have data ready for you"
f2hReady_in : in std_logic; -- '1' means "on the next clock rising edge, put your next byte of data on f2hData"
-- SDRAM interface ---------------------------------------------------------------------------
ramCmd_out : out std_logic_vector(2 downto 0);
ramBank_out : out std_logic_vector(1 downto 0);
ramAddr_out : out std_logic_vector(11 downto 0);
ramData_io : inout std_logic_vector(15 downto 0);
ramLDQM_out : out std_logic;
ramUDQM_out : out std_logic
);
end entity;
|
--
-- This file is part of IP_register
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity my_register is
generic (
size : natural := 1);-- size
port (
clk : in std_logic; -- clock
rst : in std_logic; --rst
input : in std_logic_vector(size - 1 downto 0); -- input
output : out std_logic_vector(size -1 downto 0) -- output
-- input : in std_logic_vector; -- input
-- output : out std_logic_vector -- output
);
end my_register;
architecture behavourial of my_register is
begin -- behavourial
my_register: process(clk,rst)
begin
if rising_edge(clk) then
if rst = '1' then
output <= (others => '0');
else
output <= input;
end if;
end if;
end process;
end behavourial;
|
-- $Id: tb_s3board_core.vhd 476 2013-01-26 22:23:53Z mueller $
--
-- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_s3board_core - sim
-- Description: Test bench for s3board - core device handling
--
-- Dependencies: vlib/parts/issi/is61lv25616al
--
-- To test: generic, any s3board target
--
-- Target Devices: generic
-- Tool versions: xst 11.4, 13.1; ghdl 0.26-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-19 427 1.0.2 now numeric_std clean
-- 2010-05-02 287 1.0.1 add sbaddr_(swi|btn) defs, now sbus addr 16,17
-- 2010-04-24 282 1.0 Initial version (from vlib/s3board/tb/tb_s3board)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.serportlib.all;
use work.simbus.all;
entity tb_s3board_core is
port (
I_SWI : out slv8; -- s3 switches
I_BTN : out slv4; -- s3 buttons
O_MEM_CE_N : in slv2; -- sram: chip enables (act.low)
O_MEM_BE_N : in slv4; -- sram: byte enables (act.low)
O_MEM_WE_N : in slbit; -- sram: write enable (act.low)
O_MEM_OE_N : in slbit; -- sram: output enable (act.low)
O_MEM_ADDR : in slv18; -- sram: address lines
IO_MEM_DATA : inout slv32 -- sram: data lines
);
end tb_s3board_core;
architecture sim of tb_s3board_core is
signal R_SWI : slv8 := (others=>'0');
signal R_BTN : slv4 := (others=>'0');
constant sbaddr_swi: slv8 := slv(to_unsigned( 16,8));
constant sbaddr_btn: slv8 := slv(to_unsigned( 17,8));
begin
MEM_L : entity work.is61lv25616al
port map (
CE_N => O_MEM_CE_N(0),
OE_N => O_MEM_OE_N,
WE_N => O_MEM_WE_N,
UB_N => O_MEM_BE_N(1),
LB_N => O_MEM_BE_N(0),
ADDR => O_MEM_ADDR,
DATA => IO_MEM_DATA(15 downto 0)
);
MEM_U : entity work.is61lv25616al
port map (
CE_N => O_MEM_CE_N(1),
OE_N => O_MEM_OE_N,
WE_N => O_MEM_WE_N,
UB_N => O_MEM_BE_N(3),
LB_N => O_MEM_BE_N(2),
ADDR => O_MEM_ADDR,
DATA => IO_MEM_DATA(31 downto 16)
);
proc_simbus: process (SB_VAL)
begin
if SB_VAL'event and to_x01(SB_VAL)='1' then
if SB_ADDR = sbaddr_swi then
R_SWI <= to_x01(SB_DATA(R_SWI'range));
end if;
if SB_ADDR = sbaddr_btn then
R_BTN <= to_x01(SB_DATA(R_BTN'range));
end if;
end if;
end process proc_simbus;
I_SWI <= R_SWI;
I_BTN <= R_BTN;
end sim;
|
--------------------------------------------------------------------------------
-- Company: Dossmatik GmbH
-- Create Date: 21:08:31 05/17/2011
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench
-- test for VHPI
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.sim_pkg.all;
entity tb_cosim is
end tb_cosim;
architecture behavior of tb_cosim is
function crc (crc_value : std_logic_vector(31 downto 0)
) return std_logic_vector is
variable crc_out : std_logic_vector(31 downto 0);
begin
crc_out := (crc(3 downto 0)& crc_out(31 downto 4)) xor crc;
return crc_out;
end crc;
signal random : std_logic_vector ( 31 downto 0):=X"00000000";
-- Clock period definitions
constant board_clk_period : time := 20 ns;
signal board_clk: std_logic;
begin
process (board_clk)
begin
if rising_edge(board_clk) then
street(to_integer(unsigned(random)));
random<=crc(random);
end if;
end process;
-- Clock process definitions
board_clk_process : process
begin
board_clk <= '0';
wait for board_clk_period/2;
board_clk <= '1';
wait for board_clk_period/2;
end process;
end;
|
--------------------------------------------------------------------------------
-- Company: Dossmatik GmbH
-- Create Date: 21:08:31 05/17/2011
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench
-- test for VHPI
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.sim_pkg.all;
entity tb_cosim is
end tb_cosim;
architecture behavior of tb_cosim is
function crc (crc_value : std_logic_vector(31 downto 0)
) return std_logic_vector is
variable crc_out : std_logic_vector(31 downto 0);
begin
crc_out := (crc(3 downto 0)& crc_out(31 downto 4)) xor crc;
return crc_out;
end crc;
signal random : std_logic_vector ( 31 downto 0):=X"00000000";
-- Clock period definitions
constant board_clk_period : time := 20 ns;
signal board_clk: std_logic;
begin
process (board_clk)
begin
if rising_edge(board_clk) then
street(to_integer(unsigned(random)));
random<=crc(random);
end if;
end process;
-- Clock process definitions
board_clk_process : process
begin
board_clk <= '0';
wait for board_clk_period/2;
board_clk <= '1';
wait for board_clk_period/2;
end process;
end;
|
-------------------------------------------------------------------------------
--
-- Title : R4B user core
-- Design : r4b
-- Author : Bulent Selek
-- Company : Best Bilgisayar ve Elektronik Sanayi Ticaret
--
-------------------------------------------------------------------------------
--
-- File : ts7300_usercore.vhd
-- Generated : Fri Jan 8 13:22:36 2007
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Modified by Nigel Gunton
-- Company : Bristol Institute of Technology
-- version 1.0
-- full access to the DIO pins split into 3 registers plus output enable registers
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ts7300_usercore is
-- 75Mhz clock is fed to this module */
port (
wb_clk_i : in std_logic;
wb_rst_i : in std_logic;
wb_adr_i : in std_logic_vector ( 21 downto 0 );
wb_dat_i : in std_logic_vector ( 31 downto 0 );
wb_cyc_i : in std_logic;
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
wb_dat_o : out std_logic_vector ( 31 downto 0 );
headerpin_i : in std_logic_vector( 40 downto 1 );
headerpin_o : out std_logic_vector( 40 downto 1 );
headerpin_oe_o : out std_logic_vector( 40 downto 1 );
irq_o : out std_logic;
-- SDRAM
sdram_ras_o : out std_logic; -- SDRAM row address strobe
sdram_cas_o : out std_logic; -- SDRAM column address strobe
sdram_we_n_o : out std_logic; -- SDRAM write enable
sdram_ba_o : out std_logic_vector( 1 downto 0 ); -- SDRAM bank address
sdram_saddr_o : out std_logic_vector( 11 downto 0 ); -- SDRAM row/column address
sdram_sdata_i : in std_logic_vector ( 15 downto 0 ); -- data from SDRAM
sdram_sdata_o : out std_logic_vector ( 15 downto 0 ); -- data to SDRAM
sdram_sdata_oe : out std_logic -- true if data is output to SDRAM on sDOut
);
end ts7300_usercore;
architecture ts7300_usercore of ts7300_usercore is
--- PWM Stuff ---
component pwm
port (
clk : in std_logic;
duty_cycle : in std_logic_vector(15 downto 0);
pwm_enable : in std_logic;
resetn : in std_logic;
pwm_out : out std_logic);
end component;
constant deadbeaf : std_logic_vector( 31 downto 0 ) := x"deadcafe";
signal vga_reg : std_logic_vector( 14 downto 0 ); -- only 14 downto 0 valid
signal dio2_reg : std_logic_vector( 15 downto 0 ); -- only 15 downto 0 valid
signal dummyreg : std_logic_vector( 31 downto 0 ); -- temporary reg
signal misc_reg : std_logic_vector( 3 downto 0 ); -- only 3 downto 0 valid
signal vga_enable : std_logic_vector( 14 downto 0 ); -- 1 = output enabled
signal dio2_enable : std_logic_vector( 15 downto 0 ); -- ditto
signal misc_enable : std_logic_vector( 3 downto 0 ); -- ditto
signal vga_read : std_logic_vector(14 downto 0); -- read from I/O pins
signal dio2_read : std_logic_vector(15 downto 0); -- ditto
signal misc_read : std_logic_vector(3 downto 0); -- ditto
signal DUTY_CYCLEA : std_logic_vector(15 downto 0) := X"006F";
signal DUTY_CYCLEB : std_logic_vector(15 downto 0) := X"006F";
signal DUTY_CYCLEC : std_logic_vector(15 downto 0) := X"006F";
signal DUTY_CYCLED : std_logic_vector(15 downto 0) := X"006F";
signal PWM_not_reset, PWM_enable_A, PWM_enable_B, PWM_enable_C, PWM_enable_D : std_logic := '1';
--- Read and write cycles of FPGA by and to the Processor
begin
process ( wb_clk_i )
variable addr : std_logic_vector ( 23 downto 0 );
begin
if ( wb_clk_i'event ) and ( wb_clk_i = '1' ) then
addr := "00" & wb_adr_i;
if (wb_cyc_i = '1' and wb_stb_i = '1' ) and ( wb_we_i = '1') then
-- WRITE CYCLE
case addr is
when x"280000" => vga_reg <= wb_dat_i(14 downto 0);
when x"280001" => vga_enable <= wb_dat_i(14 downto 0);
when x"280002" => dio2_reg <= wb_dat_i(15 downto 0);
when x"280003" => dio2_enable <= wb_dat_i(15 downto 0);
when x"280004" => misc_reg <= wb_dat_i(3 downto 0);
when x"280005" => misc_enable <= wb_dat_i(3 downto 0);
when x"280006" => DUTY_CYCLEA <= wb_dat_i(15 downto 0);
when x"280007" => DUTY_CYCLEB <= wb_dat_i(15 downto 0);
when x"280008" => DUTY_CYCLEC <= wb_dat_i(15 downto 0);
when x"280009" => DUTY_CYCLED <= wb_dat_i(15 downto 0);
when x"28000A" => PWM_enable_A <= wb_dat_i(0);
when x"28000B" => PWM_enable_B <= wb_dat_i(0);
when x"28000C" => PWM_enable_C <= wb_dat_i(0);
when x"28000D" => PWM_enable_D <= wb_dat_i(0);
when x"28000E" => PWM_not_reset <= wb_dat_i(0);
when others => null;
end case;
end if;
-- READ CYCLE
case addr is
when x"280000" => dummyreg <= "00000000000000000" & vga_read;
when x"280001" => dummyreg <= "00000000000000000" & vga_enable;
when x"280002" => dummyreg <= "0000000000000000" & dio2_read;
when x"280003" => dummyreg <= "0000000000000000" & dio2_enable;
when x"280004" => dummyreg <= "0000000000000000000000000000" & misc_read;
when x"280005" => dummyreg <= "0000000000000000000000000000" & misc_enable;
when x"280006" => dummyreg <= "0000000000000000" & DUTY_CYCLEA;
when x"280007" => dummyreg <= "0000000000000000" & DUTY_CYCLEB;
when x"280008" => dummyreg <= "0000000000000000" & DUTY_CYCLEC;
when x"280009" => dummyreg <= "0000000000000000" & DUTY_CYCLED;
when x"28000A" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_A;
when x"28000B" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_B;
when x"28000C" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_C;
when x"28000D" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_D;
when x"28000E" => dummyreg <= "0000000000000000000000000000000" & PWM_not_reset ;
when others => dummyreg <= x"deadbeef";
end case;
end if;
end process;
wb_ack_o <= wb_cyc_i and wb_stb_i; -- 0-wait state WISHBONE
wb_dat_o <= dummyreg;
irq_o <= '0';
-------------------------------------------------------------------------------
-- FPGA DIO output enable assignments: 40 downto 1
-- What follows is a pigs ear of assignments as the output enables are in pin
-- number order and the enable registers are in data name order
-------------------------------------------------------------------------------
headerpin_oe_o(1) <= vga_enable(0); -- blu0
headerpin_oe_o(3) <= vga_enable(1); -- blu1
headerpin_oe_o(5) <= vga_enable(2); -- blu2
headerpin_oe_o(7) <= vga_enable(3); -- blu3
headerpin_oe_o(9) <= vga_enable(4); -- blu4
headerpin_oe_o(11) <= vga_enable(5); -- grn0
headerpin_oe_o(13) <= vga_enable(6); -- grn1
headerpin_oe_o(15) <= vga_enable(7); -- grn2
headerpin_oe_o(17) <= vga_enable(8); -- grn3
headerpin_oe_o(4) <= vga_enable(10); -- red0
headerpin_oe_o(6) <= vga_enable(11); -- red1
headerpin_oe_o(8) <= vga_enable(12); -- red2
headerpin_oe_o(10) <= vga_enable(13); -- red3
headerpin_oe_o(12) <= vga_enable(14); -- red4
--headerpin_oe_o(19) <= '1';
headerpin_oe_o(21) <= '1';
headerpin_oe_o(23) <= '1';
headerpin_oe_o(25) <= '1';
headerpin_oe_o(29) <= '1';
-- headerpin_oe_o(29) <= dio2_enable(4); -- DIO2
headerpin_oe_o(31) <= dio2_enable(5); -- DIO2
headerpin_oe_o(33) <= dio2_enable(6); -- DIO2
headerpin_oe_o(35) <= dio2_enable(7); -- DIO2
headerpin_oe_o(37) <= dio2_enable(8); -- DIO2
-- THE FOLLOWING PIN IS DEDICATED CLK INPUT ONLY
-- headerpin_oe_o(39) <= dio2_enable(9); -- DIO2
headerpin_oe_o(24) <= dio2_enable(10); -- DIO2
headerpin_oe_o(26) <= dio2_enable(11); -- DIO2
headerpin_oe_o(28) <= dio2_enable(12); -- DIO2
headerpin_oe_o(30) <= dio2_enable(13); -- DIO2
headerpin_oe_o(32) <= dio2_enable(14); -- DIO2
headerpin_oe_o(34) <= dio2_enable(15); -- DIO2
headerpin_oe_o(14) <= misc_enable(0); -- HSYNC
headerpin_oe_o(16) <= misc_enable(1); -- VSYNC
headerpin_oe_o(36) <= misc_enable(2); -- RX_LD
headerpin_oe_o(38) <= misc_enable(3); -- TX_LD
-- the following MUST NOT be altered as they have dedicated use
headerpin_oe_o(2) <= '0'; -- GND
headerpin_oe_o(18) <= '0'; -- OEV
headerpin_oe_o(20) <= '0'; -- +5v fused
headerpin_oe_o(22) <= '0'; -- GND
headerpin_oe_o(39) <= '0'; -- external clk input only
headerpin_oe_o(40) <= '0'; -- +3.3v
-------------------------------------------------------------------------------
-- output assignments
-------------------------------------------------------------------------------
headerpin_o(1) <= vga_reg(0); --blu0
headerpin_o(3) <= vga_reg(1); --blu1
headerpin_o(5) <= vga_reg(2); --blu2
headerpin_o(6) <= vga_reg(3); --blu3
headerpin_o(7) <= vga_reg(4); --blu4
headerpin_o(11) <= vga_reg(5); --grn0
headerpin_o(13) <= vga_reg(6); --grn1
headerpin_o(15) <= vga_reg(7); --grn2
headerpin_o(17) <= vga_reg(8); --grn3
-- headerpin_o(29) <= dio2_reg(4); -- DIO2
headerpin_o(31) <= dio2_reg(5); -- DIO2
headerpin_o(33) <= dio2_reg(6); -- DIO2
headerpin_o(35) <= dio2_reg(7); -- DIO2
headerpin_o(37) <= dio2_reg(8); -- DIO2
-- THE FOLLOWING PIN IS INPUT ONLY
-- headerpin_o(39) <= dio2_reg(9); -- DIO2
headerpin_o(24) <= dio2_reg(10); -- DIO2
headerpin_o(26) <= dio2_reg(11); -- DIO2
headerpin_o(28) <= dio2_reg(12); -- DIO2
headerpin_o(30) <= dio2_reg(13); -- DIO2
headerpin_o(32) <= dio2_reg(14); -- DIO2
headerpin_o(34) <= dio2_reg(15); -- DIO2
headerpin_o(14) <= misc_reg(0); -- HSYNC
headerpin_o(16) <= misc_reg(1); -- VSYNC
headerpin_o(36) <= misc_reg(2); -- RX_LD
headerpin_o(38) <= misc_reg(3); -- TX_LD
-- just to keep synthesis warnings down ;)
headerpin_o(2) <= '0'; -- GND
headerpin_o(18) <= '0'; -- OEV
headerpin_o(20) <= '0'; -- +5v fused
headerpin_o(22) <= '0'; -- GND
headerpin_o(40) <= '0'; -- +3.3v
-----------------------------------------------------------------------------
-- read values on the IO pins; the special pins are handled in ts7300_top.v
-----------------------------------------------------------------------------
vga_read(0) <= headerpin_i(1); -- blu0
vga_read(1) <= headerpin_i(3); -- blu1
vga_read(2) <= headerpin_i(5); -- blu2
vga_read(3) <= headerpin_i(7); -- blu3
vga_read(4) <= headerpin_i(9); -- blu4
vga_read(5) <= headerpin_i(11); -- grn0
vga_read(6) <= headerpin_i(13); -- grn1
vga_read(7) <= headerpin_i(15); -- grn2
vga_read(8) <= headerpin_i(17); -- grn3
dio2_read(0) <= headerpin_i(21); -- DIO2
dio2_read(1) <= headerpin_i(23); -- DIO2
dio2_read(2) <= headerpin_i(25); -- DIO2
dio2_read(3) <= headerpin_i(27); -- DIO2
dio2_read(4) <= headerpin_i(29); -- DIO2
dio2_read(5) <= headerpin_i(31); -- DIO2
dio2_read(6) <= headerpin_i(33); -- DIO2
dio2_read(7) <= headerpin_i(35); -- DIO2
dio2_read(8) <= headerpin_i(37); -- DIO2
-- dio2_read(9) deliberately left out; see above
dio2_read(10) <= headerpin_i(24); -- DIO2
dio2_read(11) <= headerpin_i(26); -- DIO2
dio2_read(12) <= headerpin_i(28); -- DIO2
dio2_read(13) <= headerpin_i(30); -- DIO2
dio2_read(14) <= headerpin_i(32); -- DIO2
dio2_read(15) <= headerpin_i(34); -- DIO2
misc_read(0) <= headerpin_i(14); -- HSYNC
misc_read(1) <= headerpin_i(16); -- VSYNC
misc_read(2) <= headerpin_i(36); -- RX_LD but not a lot of point
misc_read(3) <= headerpin_i(38); -- TX_LD
PWM_1:pwm
port map (
clk => wb_clk_i,
duty_cycle => DUTY_CYCLEA,
pwm_enable => PWM_enable_A,--Enable_output_A,
resetn => PWM_not_reset,
pwm_out => headerpin_o(21));
PWM_2:pwm
port map (
clk => wb_clk_i,
duty_cycle => DUTY_CYCLEB,
pwm_enable => PWM_enable_B,--Enable_output_B,
resetn => PWM_not_reset,
pwm_out => headerpin_o(23));
PWM_3:pwm
port map (
clk => wb_clk_i,
duty_cycle => DUTY_CYCLEC,
pwm_enable => PWM_enable_C,--Enable_output_C,
resetn => PWM_not_reset,
pwm_out => headerpin_o(25));
PWM_4:pwm
port map (
clk => wb_clk_i,
duty_cycle => DUTY_CYCLED,
pwm_enable => PWM_enable_D,--Enable_output_D,
resetn => PWM_not_reset,
pwm_out => headerpin_o(29));
end ts7300_usercore;
|
entity paren3 is
end paren3;
architecture behav of paren3
is
subtype a is integer;
constant b : real := 3.15;
begin
assert a(b) = 3;
end behav;
|
entity paren3 is
end paren3;
architecture behav of paren3
is
subtype a is integer;
constant b : real := 3.15;
begin
assert a(b) = 3;
end behav;
|
entity paren3 is
end paren3;
architecture behav of paren3
is
subtype a is integer;
constant b : real := 3.15;
begin
assert a(b) = 3;
end behav;
|
architecture RTL of FIFO is
begin
process
begin
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
else
if x = '1' then
z <= '0';
elsif x = '0' then
z <= '1';
else
z <= 'Z';
END if;
END if;
-- Violations below
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
else
if x = '1' then
z <= '0';
elsif x = '0' then
z <= '1';
else
z <= 'Z';
END if;
END if;
end process;
end architecture RTL;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use WORK.alu_types.all;
-- Behavioral
entity SUMGENERATOR is
generic(
NBIT: integer := NSUMG; --32,64
NCSB: integer := NCSUMG --8,16
);
port (
A: in std_logic_vector(NBIT-1 downto 0);
B: in std_logic_vector(NBIT-1 downto 0);
Ci: in std_logic_vector(NCSB-1 downto 0);
S: out std_logic_vector(NBIT-1 downto 0)
);
end SUMGENERATOR;
-- Architectures
architecture structural of SUMGENERATOR is
component CSB
generic(
N: integer := NCSBLOCK -- 4
);
port (
A: in std_logic_vector(N-1 downto 0);
B: in std_logic_vector(N-1 downto 0);
Ci: in std_logic;
S: out std_logic_vector(N-1 downto 0)
);
end component;
begin
-- For NCSBLOCK and others constants refer to P4ADDER_constants file.
-- This structural architecture generates (NCSB - 1) carry selects block of NCSBLOCK bits,
-- which is the number of carry bits generated by the sparse tree, plus 1, that is the carry in.
CS: for i in 0 to NCSB-1 generate
CSBX: CSB
port map(
A(((i*NCSBLOCK)+NCSBLOCK-1) downto i*NCSBLOCK),
B(((i*NCSBLOCK)+NCSBLOCK-1) downto i*NCSBLOCK),
Ci(i),
S(((i*NCSBLOCK)+NCSBLOCK-1) downto i*NCSBLOCK)
);
end generate;
end structural;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1558.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s10b00x00p03n01i01558ent IS
END c08s10b00x00p03n01i01558ent;
ARCHITECTURE c08s10b00x00p03n01i01558arch OF c08s10b00x00p03n01i01558ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
L : for i in 1 to 10 loop
next L;
k := 5;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c08s10b00x00p03n01i01558"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s10b00x00p03n01i01558 - a next statement with a loop label is allowed inside a labeled loop"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s10b00x00p03n01i01558arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1558.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s10b00x00p03n01i01558ent IS
END c08s10b00x00p03n01i01558ent;
ARCHITECTURE c08s10b00x00p03n01i01558arch OF c08s10b00x00p03n01i01558ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
L : for i in 1 to 10 loop
next L;
k := 5;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c08s10b00x00p03n01i01558"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s10b00x00p03n01i01558 - a next statement with a loop label is allowed inside a labeled loop"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s10b00x00p03n01i01558arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1558.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s10b00x00p03n01i01558ent IS
END c08s10b00x00p03n01i01558ent;
ARCHITECTURE c08s10b00x00p03n01i01558arch OF c08s10b00x00p03n01i01558ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
L : for i in 1 to 10 loop
next L;
k := 5;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c08s10b00x00p03n01i01558"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s10b00x00p03n01i01558 - a next statement with a loop label is allowed inside a labeled loop"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s10b00x00p03n01i01558arch;
|
--*****************************************************************************
--* Copyright (c) 2012 by Michael Fischer. All rights reserved.
--*
--* Redistribution and use in source and binary forms, with or without
--* modification, are permitted provided that the following conditions
--* are met:
--*
--* 1. Redistributions of source code must retain the above copyright
--* notice, this list of conditions and the following disclaimer.
--* 2. Redistributions in binary form must reproduce the above copyright
--* notice, this list of conditions and the following disclaimer in the
--* documentation and/or other materials provided with the distribution.
--* 3. Neither the name of the author nor the names of its contributors may
--* be used to endorse or promote products derived from this software
--* without specific prior written permiSS_asyncion.
--*
--* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
--* "AS IS" AND ANY EXPRESS_async OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
--* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS_async
--* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL
--* THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
--* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
--* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS_async
--* OF USE, DATA, OR PROFITS; OR BUSINESS_async INTERRUPTION) HOWEVER CAUSED
--* AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
--* OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
--* THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSS_asyncIBILITY OF
--* SUCH DAMAGE.
--*
--*****************************************************************************
--* History:
--*
--* 14.07.2011 mifi First Version
--*****************************************************************************
--*****************************************************************************
--* DEFINE: Library *
--*****************************************************************************
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_arith.all;
--*****************************************************************************
--* DEFINE: Entity *
--*****************************************************************************
entity uart_halfduplex is
port(
clk : in std_logic;
rst : in std_logic;
parallell_data_out : out std_logic_vector(7 downto 0);
parallell_data_out_valid : out std_logic;
uart_data_in : in std_logic;
parallell_data_in : in std_logic_vector(7 downto 0);
parallell_data_in_valid : in std_logic;
parallell_data_in_sent : out std_logic;
uart_data_out : out std_logic;
rts : out std_logic
);
end entity uart_halfduplex;
--*****************************************************************************
--* DEFINE: Architecture *
--****************************************************************************
architecture syn of uart_halfduplex is
component txuart is
port(
clk : in std_logic;
rst : in std_logic;
parallell_data_in : in std_logic_vector(7 downto 0);
parallell_data_in_valid : in std_logic;
parallell_data_in_sent : out std_logic;
uart_data_out : out std_logic;
busy : out std_logic
);
end component;
component rxuart is
port(
clk : in std_logic;
rst : in std_logic;
parallell_data_out : out std_logic_vector(7 downto 0);
parallell_data_out_valid : out std_logic;
uart_data_in_ext : in std_logic
);
end component;
begin
inst_txuart : txuart
port map(
clk => clk,
rst => rst,
parallell_data_in => parallell_data_in,
parallell_data_in_valid => parallell_data_in_valid,
parallell_data_in_sent => parallell_data_in_sent,
uart_data_out => uart_data_out,
busy => rts
);
inst_rxuart : rxuart
port map(
clk => clk,
rst => rst,
parallell_data_out => parallell_data_out,
parallell_data_out_valid => parallell_data_out_valid,
uart_data_in_ext => uart_data_in
);
end architecture syn;
-- *** EOF ***
|
entity e is
end entity;
architecture a of e is
begin
process
type LongInteger is range -2**47 to 2**47-1;
variable v : LongInteger;
begin
v := 12345678901;
report "v = " & LongInteger'image(v) severity note;
-- report "v = " & to_string(v) severity note; -- works
-- report "v = " & to_string(LongInteger'pos(v)) severity note; -- works
wait;
end process;
end architecture;
|
entity e is
end entity;
architecture a of e is
begin
process
type LongInteger is range -2**47 to 2**47-1;
variable v : LongInteger;
begin
v := 12345678901;
report "v = " & LongInteger'image(v) severity note;
-- report "v = " & to_string(v) severity note; -- works
-- report "v = " & to_string(LongInteger'pos(v)) severity note; -- works
wait;
end process;
end architecture;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.2 (lin64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015
-- Date : Mon Nov 30 13:52:22 2015
-- Host : centennial.andrew.cmu.edu running 64-bit Red Hat Enterprise Linux Server release 7.2 (Maipo)
-- Command : write_vhdl -force -mode synth_stub
-- /afs/ece.cmu.edu/usr/rmrobert/Private/18545/Atari7800/Atari7900/Atari7900.srcs/sources_1/ip/DIGDUG_ROM_1/DIGDUG_ROM_stub.vhdl
-- Design : DIGDUG_ROM
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity DIGDUG_ROM is
Port (
clka : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
end DIGDUG_ROM;
architecture stub of DIGDUG_ROM is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,addra[13:0],douta[7:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_2,Vivado 2015.2";
begin
end;
|
-- NEED RESULT: ARCH00410.P1: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00410: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00410: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00410: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00410: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: P1: Inertial transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00410
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (3)
-- 9.5.1 (1)
-- 9.5.1 (2)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00410(ARCH00410)
-- ENT00410_Test_Bench(ARCH00410_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00410 is
end ENT00410 ;
--
--
architecture ARCH00410 of ENT00410 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_st_rec3_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_rec3_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 6 ;
signal st_rec3_select : select_type := 1 ;
--
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
begin
CHG1 :
process
variable correct : boolean ;
begin
case s_st_rec3_cnt is
when 0
=> null ;
-- s_st_rec3.f3(lowb,true) <=
-- c_st_rec3_2.f3(lowb,true) after 10 ns,
-- c_st_rec3_1.f3(lowb,true) after 20 ns ;
--
when 1
=> correct :=
s_st_rec3.f3(lowb,true) =
c_st_rec3_2.f3(lowb,true) and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3.f3(lowb,true) =
c_st_rec3_1.f3(lowb,true) and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00410.P1" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec3_select <= transport 2 ;
-- s_st_rec3.f3(lowb,true) <=
-- c_st_rec3_2.f3(lowb,true) after 10 ns ,
-- c_st_rec3_1.f3(lowb,true) after 20 ns ,
-- c_st_rec3_2.f3(lowb,true) after 30 ns ,
-- c_st_rec3_1.f3(lowb,true) after 40 ns ;
--
when 3
=> correct :=
s_st_rec3.f3(lowb,true) =
c_st_rec3_2.f3(lowb,true) and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
st_rec3_select <= transport 3 ;
-- s_st_rec3.f3(lowb,true) <=
-- c_st_rec3_1.f3(lowb,true) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3.f3(lowb,true) =
c_st_rec3_1.f3(lowb,true) and
(s_st_rec3_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00410" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 4 ;
-- s_st_rec3.f3(lowb,true) <=
-- c_st_rec3_1.f3(lowb,true) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec3.f3(lowb,true) =
c_st_rec3_1.f3(lowb,true) and
(s_st_rec3_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00410" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 5 ;
-- s_st_rec3.f3(lowb,true) <=
-- c_st_rec3_2.f3(lowb,true) after 10 ns ,
-- c_st_rec3_1.f3(lowb,true) after 20 ns ,
-- c_st_rec3_2.f3(lowb,true) after 30 ns ,
-- c_st_rec3_1.f3(lowb,true) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec3.f3(lowb,true) =
c_st_rec3_2.f3(lowb,true) and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00410" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec3_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec3.f3(lowb,true) <=
-- c_st_rec3_1.f3(lowb,true) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec3.f3(lowb,true) =
c_st_rec3_1.f3(lowb,true) and
(s_st_rec3_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec3.f3(lowb,true) =
c_st_rec3_1.f3(lowb,true) and
(s_st_rec3_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00410" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00410" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec3_savt <= transport Std.Standard.Now ;
chk_st_rec3 <= transport s_st_rec3_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ;
wait until (not s_st_rec3.f3(lowb,true)'Quiet) and
(s_st_rec3_savt /= Std.Standard.Now) ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions completed entirely",
chk_st_rec3 = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
s_st_rec3.f3(lowb,true) <=
c_st_rec3_2.f3(lowb,true) after 10 ns,
c_st_rec3_1.f3(lowb,true) after 20 ns
when st_rec3_select = 1 else
--
c_st_rec3_2.f3(lowb,true) after 10 ns ,
c_st_rec3_1.f3(lowb,true) after 20 ns ,
c_st_rec3_2.f3(lowb,true) after 30 ns ,
c_st_rec3_1.f3(lowb,true) after 40 ns
when st_rec3_select = 2 else
--
c_st_rec3_1.f3(lowb,true) after 5 ns
when st_rec3_select = 3 else
--
c_st_rec3_1.f3(lowb,true) after 100 ns
when st_rec3_select = 4 else
--
c_st_rec3_2.f3(lowb,true) after 10 ns ,
c_st_rec3_1.f3(lowb,true) after 20 ns ,
c_st_rec3_2.f3(lowb,true) after 30 ns ,
c_st_rec3_1.f3(lowb,true) after 40 ns
when st_rec3_select = 5 else
--
-- Last transaction above is marked
c_st_rec3_1.f3(lowb,true) after 40 ns ;
--
end ARCH00410 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00410_Test_Bench is
end ENT00410_Test_Bench ;
--
--
architecture ARCH00410_Test_Bench of ENT00410_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00410 ( ARCH00410 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00410_Test_Bench ;
|
-- **********************************************************
-- Corso di Reti Logiche - Progetto Registratore Portatile
-- Andrea Carrer - 729101
-- Modulo Audio_In_Deserializer.vhd
-- Versione 1.01 - 14.03.2013
-- **********************************************************
-- **********************************************************
-- Lettura dati dall'ADC. I dati vengono ricevuti in seriale
-- e deserializzati, cioe' raggruppati in blocchi da 32 bit.
-- **********************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity Audio_In_Deserializer is
generic (
AUDIO_DATA_WIDTH: integer := 32;
BIT_COUNTER_INIT: std_logic_vector (4 downto 0) := "11111"
);
port (
clk: in std_logic;
reset: in std_logic;
bit_clk_rising_edge: in std_logic;
bit_clk_falling_edge: in std_logic;
left_right_clk_rising_edge: in std_logic;
left_right_clk_falling_edge: in std_logic;
done_channel_sync: in std_logic;
serial_audio_in_data: in std_logic;
read_left_audio_data_en: in std_logic;
read_right_audio_data_en: in std_logic;
left_audio_fifo_read_space: out std_logic_vector(7 downto 0);
right_audio_fifo_read_space: out std_logic_vector(7 downto 0);
left_channel_data: out std_logic_vector(AUDIO_DATA_WIDTH downto 1);
right_channel_data: out std_logic_vector(AUDIO_DATA_WIDTH downto 1)
);
end Audio_In_Deserializer;
architecture behaviour of Audio_In_Deserializer is
component Audio_Bit_Counter is
generic(
BIT_COUNTER_INIT: std_logic_vector(4 downto 0) := "11111"
);
port(
clk: in std_logic;
reset: in std_logic;
bit_clk_rising_edge: in std_logic;
bit_clk_falling_edge: in std_logic;
left_right_clk_rising_edge: in std_logic;
left_right_clk_falling_edge: in std_logic;
counting: out std_logic
);
end component;
component SYNC_FIFO is
generic (
DATA_WIDTH: integer := 32;
DATA_DEPTH: integer := 128;
ADDR_WIDTH: integer := 7
);
port (
clk: in std_logic;
reset: in std_logic;
write_en: in std_logic;
write_data: in std_logic_vector(DATA_WIDTH downto 1);
read_en: in std_logic;
fifo_is_empty: out std_logic;
fifo_is_full: out std_logic;
words_used: out std_logic_vector(ADDR_WIDTH downto 1);
read_data: out std_logic_vector(DATA_WIDTH downto 1)
);
end component;
signal valid_audio_input: std_logic;
signal left_channel_fifo_is_empty: std_logic;
signal right_channel_fifo_is_empty: std_logic;
signal left_channel_fifo_is_full: std_logic;
signal right_channel_fifo_is_full: std_logic;
signal left_channel_fifo_used: std_logic_vector(6 downto 0);
signal right_channel_fifo_used: std_logic_vector(6 downto 0);
signal data_in_shift_reg: std_logic_vector(AUDIO_DATA_WIDTH downto 1);
begin
process(clk)
begin
if (rising_edge(clk)) then
if (reset = '1') then
left_audio_fifo_read_space <= "00000000";
else
left_audio_fifo_read_space(7) <= left_channel_fifo_is_full;
left_audio_fifo_read_space(6 downto 0) <= left_channel_fifo_used;
end if;
end if;
end process;
process(clk)
begin
if (rising_edge(clk)) then
if (reset = '1') then
right_audio_fifo_read_space <= "00000000";
else
right_audio_fifo_read_space(7) <= right_channel_fifo_is_full;
right_audio_fifo_read_space(6 downto 0) <= right_channel_fifo_used;
end if;
end if;
end process;
process(clk)
begin
if (rising_edge(clk)) then
if (reset = '1') then
data_in_shift_reg <= "00000000000000000000000000000000";
elsif (bit_clk_rising_edge='1' and valid_audio_input='1') then
data_in_shift_reg <= data_in_shift_reg((AUDIO_DATA_WIDTH - 1) downto 1) & serial_audio_in_data;
end if;
end if;
end process;
Audio_Out_Bit_Counter: Audio_Bit_Counter generic map (
BIT_COUNTER_INIT => BIT_COUNTER_INIT
)
port map(
clk => clk,
reset => reset,
bit_clk_rising_edge => bit_clk_rising_edge,
bit_clk_falling_edge => bit_clk_falling_edge,
left_right_clk_rising_edge => left_right_clk_rising_edge,
left_right_clk_falling_edge => left_right_clk_falling_edge,
counting => valid_audio_input
);
Audio_In_Left_Channel_FIFO: SYNC_FIFO generic map(
DATA_WIDTH => AUDIO_DATA_WIDTH,
DATA_DEPTH => 128,
ADDR_WIDTH => 7
)
port map (
clk => clk,
reset => reset,
write_en => left_right_clk_falling_edge and not left_channel_fifo_is_full and done_channel_sync,
write_data => data_in_shift_reg,
read_en => read_left_audio_data_en and not left_channel_fifo_is_empty,
fifo_is_empty => left_channel_fifo_is_empty,
fifo_is_full => left_channel_fifo_is_full,
words_used => left_channel_fifo_used,
read_data => left_channel_data
);
Audio_In_Right_Channel_FIFO: SYNC_FIFO generic map(
DATA_WIDTH => AUDIO_DATA_WIDTH,
DATA_DEPTH => 128,
ADDR_WIDTH => 7
)
port map (
clk => clk,
reset => reset,
write_en => left_right_clk_rising_edge and not right_channel_fifo_is_full and done_channel_sync,
write_data => data_in_shift_reg,
read_en => read_right_audio_data_en and not right_channel_fifo_is_empty,
fifo_is_empty => right_channel_fifo_is_empty,
fifo_is_full => right_channel_fifo_is_full,
words_used => right_channel_fifo_used,
read_data => right_channel_data
);
end behaviour; |
architecture RTL of FIFO is
begin
BLOCK_LABEL : block is begin end block BLOCK_LABEL;
-- Violations below
BLOCK_LABEL : block is begin end block BLOCK_LABEL;
end architecture RTL;
|
-- Copyright (C) 2014 Roland Dobai
--
-- This file is part of ZyEHW.
--
-- ZyEHW is free software: you can redistribute it and/or modify it under the
-- terms of the GNU General Public License as published by the Free Software
-- Foundation, either version 3 of the License, or (at your option) any later
-- version.
--
-- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ZyEHW. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.zyehw_pkg.all;
entity tb_fifo is
end tb_fifo;
architecture behav_tb_fifo of tb_fifo is
component fifo is
port (
rdclk: in std_logic;
wrclk: in std_logic;
rden: in std_logic;
wren: in std_logic;
datain: in fifo_t;
dataout: out fifo_t;
rderr: out std_logic;
wrerr: out std_logic;
near_full: out std_logic;
half_full: out std_logic
);
end component;
constant t_wr: time:= 18 ns;
constant t_rd: time:= 10 ns;
constant data_low: integer:= 0;
constant data_high: integer:= (2**16)-1;
signal rdclk: std_logic;
signal wrclk: std_logic;
signal rden: std_logic:= '0';
signal wren: std_logic:= '0';
signal write: std_logic;
signal pre_datain, datain: fifo_t;
signal dataout: fifo_t;
signal rderr: std_logic;
signal wrerr: std_logic;
signal near_full: std_logic;
signal half_full: std_logic;
begin
write <= '0', '1' after 10*t_wr;
-- In the axi_data core the write enable is written into a register. Here,
-- the signal write mimics this: it writes the datain into a register and
-- is similarly stored into another register.
process (wrclk)
begin
if wrclk'event and wrclk = '1' then
wren <= write;
if write = '1' then
datain <= pre_datain;
end if;
end if;
end process;
rden_proc: process (rdclk)
variable readon: boolean:= false;
variable i: integer;
variable rd: std_logic;
begin
-- It waits for half_full and then it reads a frame from the fifo
if (rdclk'event and rdclk = '1') then
if (half_full = '1' and readon = false) then
readon:= true;
i:= 0;
end if;
if (readon = true) then
rd := '1';
if (i = 128*128-1) then
readon:= false;
else
i:= i + 1;
end if;
else
rd := '0';
end if;
rden <= rd;
end if;
end process;
datain_proc: process
variable input: integer:= data_low;
variable input_v: std_logic_vector(2*cgp_t'length-1 downto 0);
begin
input_v:= std_logic_vector(to_unsigned(input, input_v'length));
pre_datain.input <= input_v(1*cgp_t'length-1 downto 0*cgp_t'length);
pre_datain.output <= input_v(2*cgp_t'length-1 downto 1*cgp_t'length);
if input = data_high then
input:= data_low;
else
input:= input + 1;
end if;
wait for t_wr;
end process;
rdclk_proc: process
variable tmp_clk: std_logic:= '1';
begin
rdclk <= tmp_clk;
tmp_clk := not tmp_clk;
wait for t_rd / 2;
end process;
wrclk_proc: process
variable tmp_clk: std_logic:= '1';
begin
wrclk <= tmp_clk;
tmp_clk := not tmp_clk;
wait for t_wr / 2;
end process;
fifo_i: fifo
port map (
rdclk => rdclk,
wrclk => wrclk,
rden => rden,
wren => wren,
datain => datain,
dataout => dataout,
rderr => rderr,
wrerr => wrerr,
near_full => near_full,
half_full => half_full
);
end behav_tb_fifo;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_8_e
--
-- Generated
-- by: wig
-- on: Fri Jul 15 13:54:30 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_8_e-e.vhd,v 1.2 2005/07/15 16:20:00 wig Exp $
-- $Date: 2005/07/15 16:20:00 $
-- $Log: inst_8_e-e.vhd,v $
-- Revision 1.2 2005/07/15 16:20:00 wig
-- Update all testcases; still problems though
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_8_e
--
entity inst_8_e is
-- Generics:
-- No Generated Generics for Entity inst_8_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_8_e
end inst_8_e;
--
-- End of Generated Entity inst_8_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
entity case1 is
end;
architecture behav of case1 is
begin
process
begin
for i in 1 to 10 loop
case i is
when 1 =>
report "one";
wait for 1 ns;
when 2 =>
report "two";
wait for 2 ns;
when 3 =>
report "three";
wait for 3 ns;
when 4 to 9 =>
report "a big digit";
wait for 5 ns;
when others =>
report "a number"; -- including 0.
wait for 10 ns;
end case;
end loop;
report "SUCCESS";
wait;
end process;
end behav;
|
entity case1 is
end;
architecture behav of case1 is
begin
process
begin
for i in 1 to 10 loop
case i is
when 1 =>
report "one";
wait for 1 ns;
when 2 =>
report "two";
wait for 2 ns;
when 3 =>
report "three";
wait for 3 ns;
when 4 to 9 =>
report "a big digit";
wait for 5 ns;
when others =>
report "a number"; -- including 0.
wait for 10 ns;
end case;
end loop;
report "SUCCESS";
wait;
end process;
end behav;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity MUX_PCSOURCE is
Port ( PCdisp30 : in STD_LOGIC_VECTOR (31 downto 0);
PCSEUdisp22 : in STD_LOGIC_VECTOR (31 downto 0);
ALURESULT : in STD_LOGIC_VECTOR (31 downto 0);
PC : in STD_LOGIC_VECTOR(31 downto 0);
PCSOURCE : in STD_LOGIC_VECTOR (1 downto 0);
nPC : out STD_LOGIC_VECTOR (31 downto 0));
end MUX_PCSOURCE;
architecture Behavioral of MUX_PCSOURCE is
begin
process(PC,PCdisp30,PCSEUdisp22,ALURESULT,PCSOURCE)
begin
case PCSOURCE is
when "00"=>nPC<=PCdisp30;
when "01"=>nPC<=PCSEUdisp22;
when "10"=>nPC<=PC;
when others=>nPC<=ALURESULT;--11
end case;
end process;
end Behavioral;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for ioblock0_e
--
-- Generated
-- by: wig
-- on: Mon Jul 18 15:46:40 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../padio.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ioblock0_e-e.vhd,v 1.2 2005/07/19 07:13:15 wig Exp $
-- $Date: 2005/07/19 07:13:15 $
-- $Log: ioblock0_e-e.vhd,v $
-- Revision 1.2 2005/07/19 07:13:15 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ioblock0_e
--
entity ioblock0_e is
-- Generics:
-- No Generated Generics for Entity ioblock0_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity ioblock0_e
p_mix_data_i1_go : out std_ulogic_vector(7 downto 0);
p_mix_data_o1_gi : in std_ulogic_vector(7 downto 0);
p_mix_iosel_0_gi : in std_ulogic;
p_mix_iosel_1_gi : in std_ulogic;
p_mix_iosel_2_gi : in std_ulogic;
p_mix_iosel_3_gi : in std_ulogic;
p_mix_iosel_4_gi : in std_ulogic;
p_mix_iosel_5_gi : in std_ulogic;
p_mix_nand_dir_gi : in std_ulogic;
p_mix_nand_out_2_go : out std_ulogic;
p_mix_pad_di_1_gi : in std_ulogic;
p_mix_pad_do_2_go : out std_ulogic;
p_mix_pad_en_2_go : out std_ulogic
-- End of Generated Port for Entity ioblock0_e
);
end ioblock0_e;
--
-- End of Generated Entity ioblock0_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- =================================================================================
-- // Name: Bryan Mason, James Batcheler, & Brad McMahon
-- // File: tetris_control.vhd
-- // Date: 12/9/2004
-- // Description: Tetris program controller
-- // Class: CSE 378
-- =================================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity tetris_control is
port
(
clk: in STD_LOGIC;
clr: in STD_LOGIC;
i_buttons: in STD_LOGIC_VECTOR(7 downto 0); -- controller buttons vector
i_block_code: in STD_LOGIC_VECTOR(2 downto 0); -- 0..7, chooses next block
o_load_xpos: out STD_LOGIC; -- '1' when xreg should be loaded with xpos
o_xpos_val: out STD_LOGIC_VECTOR(3 downto 0); -- 0..9 < 16
o_load_ypos: out STD_LOGIC; -- '1' when yreg should be loaded with ypos
o_ypos_val: out STD_LOGIC_VECTOR(4 downto 0); -- 0..19 < 32
o_load_block: out STD_LOGIC; -- '1' when blockreg should be loaded with shape
o_block_val: out STD_LOGIC_VECTOR(15 downto 0); -- 4x4 block, '1' = SOLID
o_paused: out STD_LOGIC; -- '1' when the game is paused
-- // for fetching rows into and out of tetris_control / ramtable
i_row_val: in STD_LOGIC_VECTOR(15 downto 0);
o_row_fetch: out STD_LOGIC; -- '1' when i_row_val should be loaded for i_row_no
o_row_load: out STD_LOGIC; -- '1' when o_row_val should br put for o_row_no
o_row_no: out STD_LOGIC_VECTOR(4 downto 0); -- 0..19 < 32
o_row_val: out STD_LOGIC_VECTOR(15 downto 0);
o_Lines_Destroyed : out std_logic_vector(2 downto 0);
i_Clear_Lines : in std_logic
);
end tetris_control;
architecture tetris_control_arch of tetris_control is
type state_type is
(
startup,
purge,
wait_for_start,
read_nes_pad,
make_block,
move_left,
move_right,
move_down,
check_down,
check_down2,
rot_right,
rot_left,
fetch_in_row0,
fetch_in_row1,
fetch_in_row2,
write_out_row0,
write_out_row,
kill_row,
kill_row2,
kill_row3,
kill_row4
);
-- // the number of clock cycles that pass before the block will drop
-- // 50,000,000 = 1 Hz = 1 second
constant C_READ_GAME_INPUT_MAX_TICKS: positive := 50000000;
--constant C_READ_GAME_INPUT_MAX_TICKS: positive := 20;
-- // the number of ticks to wait between controller commands (buffering)
constant C_MASTER_READ_WAIT_TICKS: positive := 25000000 / 5;
--constant C_MASTER_READ_WAIT_TICKS: positive := 10;
-- // the grid offset for to the first position (x,y) on screen
constant C_GRID_OFFSET: positive := 3;
constant C_READ_BUTTON_A_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS*2;
constant C_READ_BUTTON_B_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS*2;
constant C_READ_BUTTON_SELECT_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS;
constant C_READ_BUTTON_START_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS*4;
constant C_READ_BUTTON_UP_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS/2;
constant C_READ_BUTTON_DOWN_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS/2;
constant C_READ_BUTTON_LEFT_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS/2;
constant C_READ_BUTTON_RIGHT_WAIT_TICKS: positive := C_MASTER_READ_WAIT_TICKS/2;
-- // indexes to breakout the individual buttons from the button vector
constant BUTTON_A: integer := 7;
constant BUTTON_B: integer := 6;
constant BUTTON_SELECT: integer := 5;
constant BUTTON_START: integer := 4;
constant BUTTON_UP: integer := 3;
constant BUTTON_DOWN: integer := 2;
constant BUTTON_LEFT: integer := 1;
constant BUTTON_RIGHT: integer := 0;
-- // the current count of clock cycles past since the last reset
signal t_read_game_input_ticks: std_logic_vector(31 downto 0) := X"00000000";
-- // counts up the number of rows that have been "purged" / reset
signal t_purge_counter : integer := 0;
signal t_Lines_Destroyed : std_logic_vector(2 downto 0) := "000";
signal t_kill_counter : integer := 0;
-- // the number of ticks that the controller input is disabled
signal t_button_a_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_b_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_select_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_start_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_up_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_down_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_left_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_button_right_wait_ticks_remain: std_logic_vector(31 downto 0) := X"00000000";
signal t_lines_killed : std_logic_vector(2 downto 0) := "000";
signal t_condense_inner_counter : integer := 23;
signal t_condense_outer_counter : integer := 0;
signal t_Shift_row : std_logic := '0';
signal t_condenseB : std_logic_vector(15 downto 0) := "0000000000000000";
signal t_condenseA : std_logic_vector(15 downto 0) := "0000000000000000";
-- // signals holding for the states set for the program
signal current_state, next_state: state_type;
-- // the current block shape
signal t_block_val : std_logic_vector(15 downto 0) := X"0000";
-- // the x-position of the block on the grid
signal t_xpos : std_logic_vector(3 downto 0) := "0011";
-- // the y-position of the block on the grid
signal t_ypos : std_logic_vector(4 downto 0) := "00011";
-- // '1' when the read_nes_pad state should write out rows / make block
signal t_down_movement_done : std_logic := '0';
-- // '1' when the fetch_row routines should stop looping
signal t_row_fetches_done : std_logic := '1';
-- // temp work variable for storing the last row processed in reading / writing
signal t_last_row_processed : std_logic_vector(4 downto 0) := "00011";
-- // cached row data around and below the current piece
signal t_row0_data : std_logic_vector(15 downto 0) := X"0000";
signal t_row1_data : std_logic_vector(15 downto 0) := X"0000";
signal t_row2_data : std_logic_vector(15 downto 0) := X"0000";
signal t_row3_data : std_logic_vector(15 downto 0) := X"0000";
signal t_row4_data : std_logic_vector(15 downto 0) := X"0000";
begin
-- // =================================================================
-- // process for clear, setting up first state, next state progression
-- // also increments counter for clock cycles processed
-- // =================================================================
synch: process(clk, clr)
begin
if clr = '1' then -- on clear
current_state <= startup;
elsif (clk'event and clk = '1') then -- on the rising edge of the clock
-- // set the next state
current_state <= next_state;
end if;
end process synch;
-- // =================================================================
-- // process for setting up the next state based on the current state
-- // =================================================================
C1: process(current_state,
t_read_game_input_ticks,
t_button_a_wait_ticks_remain,
t_button_b_wait_ticks_remain,
t_button_select_wait_ticks_remain,
t_button_start_wait_ticks_remain,
t_button_up_wait_ticks_remain,
t_button_down_wait_ticks_remain,
t_button_left_wait_ticks_remain,
t_button_right_wait_ticks_remain,
i_buttons,
t_last_row_processed,
t_purge_counter, t_block_val, t_row_fetches_done, t_down_movement_done, t_ypos, t_kill_counter,t_condense_outer_counter, t_Shift_row,t_condense_inner_counter)
begin
case current_state is
when startup =>
next_state <= purge;
when purge =>
if t_purge_counter < 32 then
next_state <= purge;
else
next_state <= wait_for_start;
end if;
when wait_for_start =>
-- // wait for START button depressed, else keep waiting
if i_buttons(BUTTON_START) = '1' then
if t_button_start_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
if t_block_val /= X"0000" then
next_state <= read_nes_pad;
else
next_state <= make_block;
end if;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= wait_for_start;
end if;
else
next_state <= wait_for_start;
end if;
when read_nes_pad =>
-- // we get out of the read loop state when we have spent a certain number
-- // of clock ticks here, the move and rot states return back to here
if t_read_game_input_ticks < C_READ_GAME_INPUT_MAX_TICKS then
-- // ==============================================
-- // ALL IN GAME BUTTON next states are set here
-- // ==============================================
if i_buttons(BUTTON_LEFT) = '1' then
if t_button_left_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
next_state <= move_left;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= read_nes_pad;
end if;
elsif i_buttons(BUTTON_RIGHT) = '1' then
if t_button_right_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
next_state <= move_right;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= read_nes_pad;
end if;
elsif i_buttons(BUTTON_B) = '1' then
if t_button_b_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
next_state <= rot_left;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= read_nes_pad;
end if;
elsif i_buttons(BUTTON_A) = '1' then
if t_button_a_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
next_state <= rot_right;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= read_nes_pad;
end if;
elsif i_buttons(BUTTON_START) = '1' then
if t_button_start_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
next_state <= wait_for_start;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= read_nes_pad;
end if;
elsif i_buttons(BUTTON_DOWN) = '1' then
if t_button_down_wait_ticks_remain = X"00000000" then
-- // TRUE when we haven't recently done something on button press
next_state <= move_down;
else
-- // OR FALSE when we need to just keep waiting (next_state <= this)
next_state <= read_nes_pad;
end if;
else
next_state <= read_nes_pad;
end if;
else
next_state <= move_down;
end if;
when make_block =>
-- // pull random number from random number generator
-- // use random number to get a block and write it into
-- // current block description RAM
-- // dump the starting block position out to the xreg, yreg
next_state <= read_nes_pad;
when fetch_in_row0 =>
next_state <= fetch_in_row1;
when fetch_in_row1 =>
if t_row_fetches_done /= '1' then
next_state <= fetch_in_row2;
else
next_state <= check_down;
end if;
when fetch_in_row2 =>
next_state <= fetch_in_row1;
when check_down =>
next_state <= check_down2;
when check_down2 =>
if t_down_movement_done = '1' then
next_state <= write_out_row0;
else
next_state <= read_nes_pad;
end if;
when move_down =>
next_state <= fetch_in_row0;
when move_left =>
next_state <= read_nes_pad;
when move_right =>
next_state <= read_nes_pad;
when rot_left =>
next_state <= read_nes_pad;
when rot_right =>
next_state <= read_nes_pad;
when write_out_row0 =>
next_state <= write_out_row;
when write_out_row =>
if t_last_row_processed = t_ypos + 4 then
next_state <= kill_row;
else
next_state <= write_out_row;
end if;
when kill_row =>
if t_kill_counter <= 22 then
next_state <= kill_row2;
else
next_state <= kill_row4;
end if;
when kill_row2 =>
next_state <= kill_row3;
when kill_row3 =>
next_state <= kill_row;
when kill_row4 =>
next_state <= make_block; --condense0;
end case;
end process C1;
C2: process(current_state, clr, clk)
-- // is set to '1' when the process should clear current clock
-- // cycle count to zero rather than increment it
variable p_read_game_input_clear: std_logic := '0';
-- // temp variable used by make block
variable p_block_val: std_logic_vector(15 downto 0) := X"0000";
-- // what the block would look like rotated to the left
variable p_block_left : std_logic_vector(15 downto 0) := X"0000";
-- // what the block would look like rotated to the right
variable p_block_right : std_logic_vector(15 downto 0) := X"0000";
-- // temporary variables
variable p_tmp_work : std_logic_vector(3 downto 0) := "0000";
variable p_tmp_var : std_logic := '0';
-- // temporary x,y position
variable p_ypos : integer range 26 downto 0 := 0;
variable p_xpos : integer range 16 downto 0 := 0;
-- // the data behind / near the block at its current position
variable p_data_behind_block : std_logic_vector(15 downto 0) := X"0000";
variable p_data_below_block : std_logic_vector(3 downto 0) := "0000";
variable p_data_right_of_block : std_logic_vector(3 downto 0) := "0000";
variable p_data_left_of_block : std_logic_vector(3 downto 0) := "0000";
-- // '1' when named action is allowed for the current state of block
variable p_move_down_ok : std_logic := '0';
variable p_move_left_ok : std_logic := '0';
variable p_move_right_ok : std_logic := '0';
variable p_rotate_right_ok : std_logic := '0';
variable p_rotate_left_ok : std_logic := '0';
-- // row data if the current t_block were committed to the row data
variable p_row0_final_data : std_logic_vector(15 downto 0) := X"0000";
variable p_row1_final_data : std_logic_vector(15 downto 0) := X"0000";
variable p_row2_final_data : std_logic_vector(15 downto 0) := X"0000";
variable p_row3_final_data : std_logic_vector(15 downto 0) := X"0000";
-- // first filled columns and rows
variable p_first_filled_column_from_left : integer := 0;
variable p_first_filled_column_from_right : integer := 0;
variable p_first_filled_row_from_bottom : integer := 0;
begin
if (clr = '1') then
t_Shift_row <= '0';
t_condenseA <= "0000000000000000";
t_condenseB <= "0000000000000000";
t_condense_inner_counter <= 23;
t_condense_outer_counter <= 0;
t_read_game_input_ticks <= X"00000000";
t_purge_counter <= 0;
t_button_a_wait_ticks_remain <= X"00000000";
t_button_b_wait_ticks_remain <= X"00000000";
t_button_select_wait_ticks_remain <= X"00000000";
t_button_start_wait_ticks_remain <= X"00000000";
t_button_up_wait_ticks_remain <= X"00000000";
t_button_down_wait_ticks_remain <= X"00000000";
t_button_left_wait_ticks_remain <= X"00000000";
t_button_right_wait_ticks_remain <= X"00000000";
t_Lines_Destroyed <= "000";
o_Lines_Destroyed <= "000";
t_block_val <= X"0000";
t_xpos <= "0011";
t_ypos <= "00011";
t_down_movement_done <= '0';
t_row_fetches_done <= '1';
t_last_row_processed <= "00011";
t_row0_data <= X"0000";
t_row1_data <= X"0000";
t_row2_data <= X"0000";
t_row3_data <= X"0000";
t_row4_data <= X"0000";
t_kill_counter <= 3;
p_read_game_input_clear := '0';
p_block_val := X"0000";
p_block_left := X"0000";
p_block_right := X"0000";
p_tmp_work := "0000";
p_tmp_var := '0';
p_ypos := 0;
p_xpos := 0;
p_data_behind_block := X"0000";
p_data_below_block := "0000";
p_data_right_of_block := "0000";
p_data_left_of_block := "0000";
p_move_down_ok := '0';
p_move_left_ok := '0';
p_move_right_ok := '0';
p_rotate_right_ok := '0';
p_rotate_left_ok := '0';
p_row0_final_data := X"0000";
p_row1_final_data := X"0000";
p_row2_final_data := X"0000";
p_row3_final_data := X"0000";
p_first_filled_column_from_left := 0;
p_first_filled_column_from_right := 0;
p_first_filled_row_from_bottom := 0;
t_Shift_row <= '0';
elsif (clk'event and clk = '1') then
if i_Clear_Lines = '1' then
t_Lines_Destroyed <= "000";
o_Lines_Destroyed <= t_Lines_Destroyed;
end if;
-- // by default, don't load new xpos, ypos, or blocks
o_load_xpos <= '0';
o_xpos_val <= "0000";
o_load_ypos <= '0';
o_ypos_val <= "00000";
o_load_block <= '0';
o_block_val <= X"0000";
o_row_fetch <= '0';
o_row_load <= '0';
o_row_no <= "00000";
o_row_val <= X"0000";
p_block_val := t_block_val;
p_xpos := CONV_INTEGER(t_xpos);
p_ypos := CONV_INTEGER(t_ypos);
p_read_game_input_clear := '0';
p_tmp_var := '0';
-- // by default we are not paused
o_paused <= '0';
-- // predetermine the rotated forms of the current piece
p_block_left := p_block_val(3) & p_block_val(7) & p_block_val(11) & p_block_val(15) &
p_block_val(2) & p_block_val(6) & p_block_val(10) & p_block_val(14) &
p_block_val(1) & p_block_val(5) & p_block_val(9) & p_block_val(13) &
p_block_val(0) & p_block_val(4) & p_block_val(8) & p_block_val(12);
p_block_right := p_block_val(12) & p_block_val(8) & p_block_val(4) & p_block_val(0) &
p_block_val(13) & p_block_val(9) & p_block_val(5) & p_block_val(1) &
p_block_val(14) & p_block_val(10) & p_block_val(6) & p_block_val(2) &
p_block_val(15) & p_block_val(11) & p_block_val(7) & p_block_val(3);
-- // fetch data behind / near the block using the position of the current block
for i in 0 to 3 loop
p_data_behind_block(15 - i) := t_row0_data(15 - p_xpos - i);
p_data_behind_block(11 - i) := t_row1_data(15 - p_xpos - i);
p_data_behind_block(7 - i) := t_row2_data(15 - p_xpos - i);
p_data_behind_block(3 - i) := t_row3_data(15 - p_xpos - i);
end loop;
-- // find the first row (from bottom to top) on the piece that contains a bit
if ((t_block_val(12) or t_block_val(13) or t_block_val(14) or t_block_val(15)) = '1') then
p_first_filled_row_from_bottom := 3;
elsif ((t_block_val(8) or t_block_val(9) or t_block_val(10) or t_block_val(11)) = '1') then
p_first_filled_row_from_bottom := 2;
elsif ((t_block_val(4) or t_block_val(5) or t_block_val(6) or t_block_val(7)) = '1') then
p_first_filled_row_from_bottom := 1;
else
p_first_filled_row_from_bottom := 0;
end if;
-- // find the first column (from right to left) on the piece that contains a bit
if ((t_block_val(3) or t_block_val(7) or t_block_val(11) or t_block_val(15)) = '1') then
p_first_filled_column_from_right := 3;
elsif ((t_block_val(2) or t_block_val(6) or t_block_val(10) or t_block_val(14)) = '1') then
p_first_filled_column_from_right := 2;
elsif ((t_block_val(1) or t_block_val(5) or t_block_val(9) or t_block_val(13)) = '1') then
p_first_filled_column_from_right := 1;
else
p_first_filled_column_from_right := 0;
end if;
-- // find the first column (from left to right) on the piece that contains a bit
if ((t_block_val(0) or t_block_val(4) or t_block_val(8) or t_block_val(12)) = '1') then
p_first_filled_column_from_left := 0;
elsif ((t_block_val(1) or t_block_val(5) or t_block_val(9) or t_block_val(13)) = '1') then
p_first_filled_column_from_left := 1;
elsif ((t_block_val(2) or t_block_val(6) or t_block_val(10) or t_block_val(14)) = '1') then
p_first_filled_column_from_left := 2;
else
p_first_filled_column_from_left := 3;
end if;
-- // fetch data below
for i in 0 to 3 loop
p_data_below_block(3 - i) := t_row4_data(15 - p_xpos - i);
end loop;
-- // fetch data to the right
--Changed from 0...3 to 1...4
p_data_right_of_block(3 downto 0) := t_row0_data(15 - p_xpos - 4) &
t_row1_data(15 - p_xpos - 4) &
t_row2_data(15 - p_xpos - 4) &
t_row3_data(15 - p_xpos - 4);
-- // fetch data to the left
p_data_left_of_block(3 downto 0) := t_row0_data(15 - p_xpos + 1) &
t_row1_data(15 - p_xpos + 1) &
t_row2_data(15 - p_xpos + 1) &
t_row3_data(15 - p_xpos + 1);
-- // ===========================================================
-- // perform checks to see if movements are allowed
-- // ===========================================================
-- // check downward movement
p_tmp_var := '1';
for i in 0 to 3 loop
p_tmp_var := p_tmp_var and (p_data_below_block(i) nand t_block_val(i));
end loop;
for i in 0 to 11 loop
p_tmp_var := p_tmp_var and (p_data_behind_block(i) nand t_block_val(i+4));
end loop;
if p_tmp_var = '1' then
p_move_down_ok := '1';
else
p_move_down_ok := '0';
end if;
-- // check left movement
p_tmp_var := '1';
for i in 0 to 15 loop
if i /= 15 and i /= 11 and i /= 7 and i /= 3 then -- exclude farthest right column
p_tmp_var := (p_tmp_var and (p_data_behind_block(i + 1) nand t_block_val(i)));
end if;
end loop; -- check farthest left data column
for i in 0 to 3 loop
p_tmp_var := p_tmp_var and (t_block_val(4*i+3) nand p_data_left_of_block(i));
end loop;
if p_tmp_var = '1' then
p_move_left_ok := '1';
else
p_move_left_ok := '0';
end if;
-- // check right movement
p_tmp_var := '1';
for i in 0 to 15 loop
if i /= 0 and i /= 4 and i /= 8 and i /= 12 then -- exclude farthest left column
p_tmp_var := (p_tmp_var and (p_data_behind_block(i - 1) nand t_block_val(i)));
end if;
end loop; -- check farthest right column
for i in 0 to 3 loop
p_tmp_var := p_tmp_var and (t_block_val(4*i) nand p_data_right_of_block(i));
end loop;
if p_tmp_var = '1' then
p_move_right_ok := '1';
else
p_move_right_ok := '0';
end if;
-- // check left rotation
p_tmp_var := '1';
for i in 0 to 15 loop
p_tmp_var := p_tmp_var and (p_data_behind_block(i) nand p_block_left(i));
end loop;
if p_tmp_var = '1' then
p_rotate_left_ok := '1';
else
p_rotate_left_ok := '0';
end if;
-- // check right rotation
p_tmp_var := '1';
for i in 0 to 15 loop
p_tmp_var := p_tmp_var and (p_data_behind_block(i) nand p_block_right(i));
end loop;
if p_tmp_var = '1' then
p_rotate_right_ok := '1';
else
p_rotate_right_ok := '0';
end if;
-- // =============================================================
-- // build the final piece data that will be used when writing out
-- // =============================================================
p_row0_final_data(15 downto 0) := t_row0_data(15 downto 0);
p_row1_final_data(15 downto 0) := t_row1_data(15 downto 0);
p_row2_final_data(15 downto 0) := t_row2_data(15 downto 0);
p_row3_final_data(15 downto 0) := t_row3_data(15 downto 0);
for i in 0 to 3 loop
p_row0_final_data(15 - p_xpos - i) := (t_block_val(15 - i) or t_row0_data(15 - p_xpos - i));
p_row1_final_data(15 - p_xpos - i) := (t_block_val(11 - i) or t_row1_data(15 - p_xpos - i));
p_row2_final_data(15 - p_xpos - i) := (t_block_val(7 - i) or t_row2_data(15 - p_xpos - i));
p_row3_final_data(15 - p_xpos - i) := (t_block_val(3 - i) or t_row3_data(15 - p_xpos - i));
end loop;
case current_state is
when startup =>
t_purge_counter <= 0;
when purge =>
if t_purge_counter < 3 or t_purge_counter > 22 then
o_row_val <= "1111111111111111";
else
o_row_val <= "1110000000000111";
end if;
o_row_load <= '1';
o_row_no <= CONV_STD_LOGIC_VECTOR(t_purge_counter, 5);
t_purge_counter <= t_purge_counter + 1;
when wait_for_start =>
-- // wait for START button depressed, else keep waiting
o_paused <= '1';
if (i_buttons(BUTTON_START) = '1') then
t_button_start_wait_ticks_remain <= CONV_STD_LOGIC_VECTOR(C_READ_BUTTON_START_WAIT_TICKS, 32);
end if;
when read_nes_pad =>
-- // check for controller keystrokes
when fetch_in_row0 =>
t_last_row_processed <= conv_std_logic_vector(p_ypos,5);
when fetch_in_row1 =>
o_row_fetch <= '1';
o_row_no <= t_last_row_processed;
when fetch_in_row2 =>
if (t_last_row_processed /= p_ypos + 5) then
-- // shift all rows up and shift in new row to bottom
t_row0_data <= t_row1_data;
t_row1_data <= t_row2_data;
t_row2_data <= t_row3_data;
t_row3_data <= t_row4_data;
t_row4_data <= i_row_val;
--for i in 0 to 15 loop --backwards read
-- t_row4_data(i) <= i_row_val(15 - i);
--end loop;
t_last_row_processed <= t_last_row_processed + 1;
else
t_row_fetches_done <= '1';
end if;
when move_left =>
-- // move the xreg position left
if p_move_left_ok = '1' then
o_load_xpos <= '1';
p_xpos := p_xpos - 1;
o_xpos_val <= CONV_STD_LOGIC_VECTOR(p_xpos, 4);
t_xpos <= CONV_STD_LOGIC_VECTOR(p_xpos, 4);
t_button_left_wait_ticks_remain <= CONV_STD_LOGIC_VECTOR(C_READ_BUTTON_LEFT_WAIT_TICKS, 32);
end if;
when move_right =>
-- // move the xreg position right
if p_move_right_ok = '1' then
o_load_xpos <= '1';
p_xpos := p_xpos + 1;
o_xpos_val <= CONV_STD_LOGIC_VECTOR(p_xpos, 4);
t_xpos <= CONV_STD_LOGIC_VECTOR(p_xpos, 4);
t_button_right_wait_ticks_remain <= CONV_STD_LOGIC_VECTOR(C_READ_BUTTON_RIGHT_WAIT_TICKS, 32);
end if;
when move_down =>
when check_down =>
t_row_fetches_done <= '0';
if p_move_down_ok = '0' then
t_down_movement_done <= '1';
end if;
if p_move_down_ok = '1' then
t_down_movement_done <= '0';
-- // decrement the yreg position
o_load_ypos <= '1';
p_ypos := p_ypos + 1;
o_ypos_val <= CONV_STD_LOGIC_VECTOR(p_ypos, 5);
t_ypos <= CONV_STD_LOGIC_VECTOR(p_ypos, 5);
-- // the block has moved down, reset the allowed input time
p_read_game_input_clear := '1';
t_button_down_wait_ticks_remain <= CONV_STD_LOGIC_VECTOR(C_READ_BUTTON_DOWN_WAIT_TICKS, 32);
else
--t_last_row_processed <= CONV_STD_LOGIC_VECTOR(p_ypos, 5) - 1;
end if;
when check_down2 =>
when rot_left =>
-- // rotate the piece left
if p_rotate_left_ok = '1' then
o_load_block <= '1';
t_block_val <= p_block_left;
o_block_val <= p_block_left;
p_block_val := p_block_left;
t_button_b_wait_ticks_remain <= CONV_STD_LOGIC_VECTOR(C_READ_BUTTON_B_WAIT_TICKS, 32);
end if;
when rot_right =>
-- // rotate the piece right
if p_rotate_right_ok = '1' then
o_load_block <= '1';
t_block_val <= p_block_right;
o_block_val <= p_block_right;
p_block_val := p_block_right;
t_button_a_wait_ticks_remain <= CONV_STD_LOGIC_VECTOR(C_READ_BUTTON_A_WAIT_TICKS, 32);
end if;
when make_block =>
-- // pull random number from random number generator
-- // use random number to get a block and write it into
-- // current block description RAM
-- // dump the starting block position out to the xreg, yreg
--Reset killed lines counter.
t_last_row_processed <= CONV_STD_LOGIC_VECTOR(C_GRID_OFFSET, 5);
o_load_xpos <= '1';
o_load_ypos <= '1';
o_xpos_val <= CONV_STD_LOGIC_VECTOR(C_GRID_OFFSET + 4, 4); -- 7, middle (x) of screen (+3 offset border)
o_ypos_val <= CONV_STD_LOGIC_VECTOR(C_GRID_OFFSET, 5); -- 3, top (y) of screen (+3 offset border)
-- // also store current x,y position stored in signals
t_xpos <= CONV_STD_LOGIC_VECTOR(C_GRID_OFFSET + 4, 4);
t_ypos <= CONV_STD_LOGIC_VECTOR(C_GRID_OFFSET, 5);
o_load_block <= '1';
if i_block_code = "000" then
p_block_val := "1010" & -- custom "cup" block
"1010" & -- a REAL PAIN
"1110" &
"0000";
elsif i_block_code = "001" then
p_block_val := "0000" & -- square block
"0110" &
"0110" &
"0000";
elsif i_block_code = "010" then
p_block_val := "0010" & -- tee block
"0110" &
"0010" &
"0000";
elsif i_block_code = "011" then
p_block_val := "0100" & -- bolt right block
"0110" &
"0010" &
"0000";
elsif i_block_code = "100" then
p_block_val := "0010" & -- bolt left block
"0110" &
"0100" &
"0000";
elsif i_block_code = "101" then
p_block_val := "0010" & -- arch left block
"0010" &
"0110" &
"0000";
elsif i_block_code = "110" then
p_block_val := "0100" & -- arch right block
"0100" &
"0110" &
"0000";
elsif i_block_code = "111" then
p_block_val := "0100" & -- the legendary pipe block
"0100" &
"0100" &
"0100";
end if;
t_block_val <= p_block_val;
o_block_val <= p_block_val;
when kill_row =>
--Read in row
o_row_no <= conv_std_logic_vector(t_kill_counter, 5);
when kill_row2 =>
--Make comparison.
if i_row_val = "1111111111111111" and t_kill_counter >= 3 and t_kill_counter <= 22 then
o_row_val <= "1110000000000111";
o_row_no <= conv_std_logic_vector(t_kill_counter, 5);
o_row_load <= '1';
t_lines_killed <= t_lines_killed + 1;
end if;
when kill_row3 =>
t_kill_counter <= t_kill_counter + 1;
when kill_row4 =>
t_kill_counter <= 0;
t_Lines_Destroyed <= t_lines_killed;
o_Lines_Destroyed <= t_Lines_Destroyed;
t_lines_killed <= "000";
when write_out_row0 =>
t_last_row_processed <= conv_std_logic_vector(p_ypos,5);
when write_out_row =>
t_down_movement_done <= '0';
if t_last_row_processed = p_ypos then
o_row_val <= p_row0_final_data;
o_row_load <= '1';
o_row_no <= CONV_STD_LOGIC_VECTOR(p_ypos, 5);
elsif t_last_row_processed = p_ypos + 1 then
o_row_val <= p_row1_final_data;
o_row_load <= '1';
o_row_no <= CONV_STD_LOGIC_VECTOR(p_ypos + 1, 5);
elsif t_last_row_processed = p_ypos + 2 then
o_row_val <= p_row2_final_data;
o_row_load <= '1';
o_row_no <= CONV_STD_LOGIC_VECTOR(p_ypos + 2, 5);
elsif t_last_row_processed = p_ypos + 3 then
o_row_val <= p_row3_final_data ;
o_row_load <= '1';
o_row_no <= CONV_STD_LOGIC_VECTOR(p_ypos + 3, 5);
end if;
t_last_row_processed <= t_last_row_processed + 1;
end case;
-- // either increment or clear the number of ticks that have passed
if p_read_game_input_clear = '1' then
t_read_game_input_ticks <= X"00000000";
else
t_read_game_input_ticks <= t_read_game_input_ticks + 1;
end if;
-- // decrement the no of clock cycles the read counters must wait
if t_button_a_wait_ticks_remain > X"00000000" then
t_button_a_wait_ticks_remain <= t_button_a_wait_ticks_remain - 1;
end if;
if t_button_b_wait_ticks_remain > X"00000000" then
t_button_b_wait_ticks_remain <= t_button_b_wait_ticks_remain - 1;
end if;
if t_button_start_wait_ticks_remain > X"00000000" then
t_button_start_wait_ticks_remain <= t_button_start_wait_ticks_remain - 1;
end if;
if t_button_select_wait_ticks_remain > X"00000000" then
t_button_select_wait_ticks_remain <= t_button_select_wait_ticks_remain - 1;
end if;
if t_button_up_wait_ticks_remain > X"00000000" then
t_button_up_wait_ticks_remain <= t_button_up_wait_ticks_remain - 1;
end if;
if t_button_down_wait_ticks_remain > X"00000000" then
t_button_down_wait_ticks_remain <= t_button_down_wait_ticks_remain - 1;
end if;
if t_button_left_wait_ticks_remain > X"00000000" then
t_button_left_wait_ticks_remain <= t_button_left_wait_ticks_remain - 1;
end if;
if t_button_right_wait_ticks_remain > X"00000000" then
t_button_right_wait_ticks_remain <= t_button_right_wait_ticks_remain - 1;
end if;
end if; -- // matching endif for clk='1' and clk'event
end process C2;
end; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: tap_altera
-- File: tap_altera_gen.vhd
-- Author: Edvin Catovic - Gaisler Research
-- Description: Altera TAP controllers wrappers
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library altera_mf;
use altera_mf.altera_mf_components.all;
use altera_mf.sld_virtual_jtag;
-- pragma translate_on
entity altera_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_inst : out std_logic_vector(7 downto 0);
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of altera_tap is
signal ir0 : std_logic_vector(7 downto 0);
component sld_virtual_jtag
generic (
--lpm_hint : string := "UNUSED";
--lpm_type : string := "sld_virtual_jtag";
sld_auto_instance_index : string := "NO";
sld_instance_index : natural := 0;
sld_ir_width : natural := 1
--sld_sim_action : string := "UNUSED"
--sld_sim_n_scan : natural := 0;
--sld_sim_total_length : natural := 0
);
port(
ir_in : out std_logic_vector(sld_ir_width-1 downto 0);
ir_out : in std_logic_vector(sld_ir_width-1 downto 0);
jtag_state_cdr : out std_logic;
jtag_state_cir : out std_logic;
jtag_state_e1dr : out std_logic;
jtag_state_e1ir : out std_logic;
jtag_state_e2dr : out std_logic;
jtag_state_e2ir : out std_logic;
jtag_state_pdr : out std_logic;
jtag_state_pir : out std_logic;
jtag_state_rti : out std_logic;
jtag_state_sdr : out std_logic;
jtag_state_sdrs : out std_logic;
jtag_state_sir : out std_logic;
jtag_state_sirs : out std_logic;
jtag_state_tlr : out std_logic;
jtag_state_udr : out std_logic;
jtag_state_uir : out std_logic;
tck : out std_logic;
tdi : out std_logic;
tdo : in std_logic;
tms : out std_logic;
virtual_state_cdr : out std_logic;
virtual_state_cir : out std_logic;
virtual_state_e1dr : out std_logic;
virtual_state_e2dr : out std_logic;
virtual_state_pdr : out std_logic;
virtual_state_sdr : out std_logic;
virtual_state_udr : out std_logic;
virtual_state_uir : out std_logic
);
end component;
begin
tapo_rst <= '0';
tapo_xsel1 <= '0'; tapo_xsel2 <= '0';
u0 : sld_virtual_jtag
generic map (sld_ir_width => 8,
sld_auto_instance_index => "NO",
sld_instance_index => 0)
port map (ir_in => tapo_inst,
ir_out => ir0,
jtag_state_cdr => open,
jtag_state_cir => open,
jtag_state_e1dr => open,
jtag_state_e1ir => open,
jtag_state_e2dr => open,
jtag_state_e2ir => open,
jtag_state_pdr => open,
jtag_state_pir => open,
jtag_state_rti => open,
jtag_state_sdr => open,
jtag_state_sdrs => open,
jtag_state_sir => open,
jtag_state_sirs => open,
jtag_state_tlr => open,
jtag_state_udr => open,
jtag_state_uir => open,
tck => tapo_tck,
tdi => tapo_tdi,
tdo => tapi_tdo1,
tms => open,
virtual_state_cdr => tapo_capt,
virtual_state_cir => open,
virtual_state_e1dr => open,
virtual_state_e2dr => open,
virtual_state_pdr => open,
virtual_state_sdr => tapo_shft,
virtual_state_udr => tapo_upd,
virtual_state_uir => open);
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZtEcgNdf+JpKSdr4W/0I7KrQdp1K22I49MzroqChJzxYJjaingA4z9aW0bBR0Ej/KPokiL90vcWb
vq+uk45tPw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TZtipo5NekKb4ogd12TQnx92XUmIXKGXsCDxJwX+rsqBXS82AZHHQnKISio4RlaLNXZ1bSzIXIdg
dZ/2e6B9NdOQREfk/G7csDKMBAxua4XD31PAurgg2yBlxKEO3ZHAMBv4Q9qayX6fjtfEg8DV0XOF
3NeSBVodKSR7SVZh7RM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iI5GcndPhOj6v9l4WvoOdEmdrYHfASd2X7pje/SbQo+hMc/wybbjaqoqZe+TqYriLm57PkD19FSQ
gwTqF0eZXlvpR082qAymzHa5k+FZGDa6pIr1wbbpMOX6DFAMFN5LM35TwcIsyw3MeGRwxmf3i5JM
wfSg9jgIYQpmJjHrFyZ/2TyXJkAMuefFBdwA39ge5gU+i/Xr81FhyT4IA6GpANCNzdJuejNLTwuH
+/h42cLGMEIIOLQ0Sq361+jg42L7a41HocL2THFdXQQ1LiALk09R8ijo9jP3FSMoqG0IyYiDfElT
r5NSsx1wcGgLcc/a8YqwTW4/nsjemi0CEi7azg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YZHSc0jqlzu9AG8TxbIuOBvPKyF5f8ZzVK2IvPB0loqI7rV5CKeGERGCjnNHKxR31ynQ2ExY3ZEl
YV0JVir+2mvpHUk7hNQZ/NJN4ypN50kUJOlWAU08S4zcTzXPiyeKNnlO6YWYyUXPuD8QVzAWXSLi
htiBdZ4k1897TQpnlV0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZcBdkZvqDLc1nk62vUG9NEYkshAgEh3XiiLebMcmeXIjtVFS3kuWcBwvHhCYI9O8B7G9sqD4Lmsn
Tee5m6w2vO3OxozCHTM9U+Vi54vpEsuM4j7s4ITj5KvI2Fa5W5Ip6ruUw61UHKM3icqfRx+iXyWd
LSTBOVU0XYykNyrFSTX2OPPQ2QaN0qg7+8ihIdPsD6vW1PPOnHa24pQVkzjgV6+u8P175HV5vcBG
3Ygsw0wm4dNzRqJEYUwb+iDNQGapps3/t6BtuIS31HJY9OuhxQbFFkv1ng0+6cQmEFyWYyQvI24u
1MdO0zPzpTYQmWTX9kcEQfCZRJ90SKzYVM7/TQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6624)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZtEcgNdf+JpKSdr4W/0I7KrQdp1K22I49MzroqChJzxYJjaingA4z9aW0bBR0Ej/KPokiL90vcWb
vq+uk45tPw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TZtipo5NekKb4ogd12TQnx92XUmIXKGXsCDxJwX+rsqBXS82AZHHQnKISio4RlaLNXZ1bSzIXIdg
dZ/2e6B9NdOQREfk/G7csDKMBAxua4XD31PAurgg2yBlxKEO3ZHAMBv4Q9qayX6fjtfEg8DV0XOF
3NeSBVodKSR7SVZh7RM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iI5GcndPhOj6v9l4WvoOdEmdrYHfASd2X7pje/SbQo+hMc/wybbjaqoqZe+TqYriLm57PkD19FSQ
gwTqF0eZXlvpR082qAymzHa5k+FZGDa6pIr1wbbpMOX6DFAMFN5LM35TwcIsyw3MeGRwxmf3i5JM
wfSg9jgIYQpmJjHrFyZ/2TyXJkAMuefFBdwA39ge5gU+i/Xr81FhyT4IA6GpANCNzdJuejNLTwuH
+/h42cLGMEIIOLQ0Sq361+jg42L7a41HocL2THFdXQQ1LiALk09R8ijo9jP3FSMoqG0IyYiDfElT
r5NSsx1wcGgLcc/a8YqwTW4/nsjemi0CEi7azg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YZHSc0jqlzu9AG8TxbIuOBvPKyF5f8ZzVK2IvPB0loqI7rV5CKeGERGCjnNHKxR31ynQ2ExY3ZEl
YV0JVir+2mvpHUk7hNQZ/NJN4ypN50kUJOlWAU08S4zcTzXPiyeKNnlO6YWYyUXPuD8QVzAWXSLi
htiBdZ4k1897TQpnlV0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZcBdkZvqDLc1nk62vUG9NEYkshAgEh3XiiLebMcmeXIjtVFS3kuWcBwvHhCYI9O8B7G9sqD4Lmsn
Tee5m6w2vO3OxozCHTM9U+Vi54vpEsuM4j7s4ITj5KvI2Fa5W5Ip6ruUw61UHKM3icqfRx+iXyWd
LSTBOVU0XYykNyrFSTX2OPPQ2QaN0qg7+8ihIdPsD6vW1PPOnHa24pQVkzjgV6+u8P175HV5vcBG
3Ygsw0wm4dNzRqJEYUwb+iDNQGapps3/t6BtuIS31HJY9OuhxQbFFkv1ng0+6cQmEFyWYyQvI24u
1MdO0zPzpTYQmWTX9kcEQfCZRJ90SKzYVM7/TQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6624)
`protect data_block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`protect end_protected
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
library UNISIM;
use UNISIM.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity clk_gen is
port(
sys_clk_p_i : in std_logic;
sys_clk_n_i : in std_logic;
sys_clk_o : out std_logic
);
end clk_gen;
architecture syn of clk_gen is
-- Internal clock signal
signal s_sys_clk : std_logic;
begin
-- IBUFGDS: Differential Global Clock Input Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cpm_ibufgds_clk_gen : IBUFGDS
generic map (
DIFF_TERM => FALSE, -- Differential Termination
IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "DEFAULT"
)
port map (
O => s_sys_clk, -- Clock buffer output
I => sys_clk_p_i, -- Diff_p clock buffer input (connect directly to top-level port)
IB => sys_clk_n_i -- Diff_n clock buffer input (connect directly to top-level port)
);
-- BUFG: Global Clock Buffer
-- Virtex-6
-- Xilinx HDL Language Template, version 13.4
cmp_bufg_clk_gen : BUFG
port map (
O => sys_clk_o, -- 1-bit output: Clock buffer output
I => s_sys_clk -- 1-bit input: Clock buffer input
);
end syn;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: spi2ahb
-- File: spi2ahb.vhd
-- Author: Jan Andersson - Aeroflex Gaisler AB
-- Contact: [email protected]
-- Description: Simple SPI slave providing a bridge to AMBA AHB
-- See spi2ahbx.vhd and GRIP for documentation
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.conv_std_logic_vector;
library gaisler;
use gaisler.spi.all;
entity spi2ahb is
generic (
-- AHB Configuration
hindex : integer := 0;
--
ahbaddrh : integer := 0;
ahbaddrl : integer := 0;
ahbmaskh : integer := 0;
ahbmaskl : integer := 0;
--
oepol : integer range 0 to 1 := 0;
--
filter : integer range 2 to 512 := 2;
--
cpol : integer range 0 to 1 := 0;
cpha : integer range 0 to 1 := 0
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
-- AHB master interface
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
-- SPI signals
spii : in spi_in_type;
spio : out spi_out_type
);
end entity spi2ahb;
architecture rtl of spi2ahb is
signal spi2ahbi : spi2ahb_in_type;
begin
bridge : spi2ahbx
generic map (
hindex => hindex,
oepol => oepol,
filter => filter,
cpol => cpol,
cpha => cpha)
port map (
rstn => rstn,
clk => clk,
ahbi => ahbi,
ahbo => ahbo,
spii => spii,
spio => spio,
spi2ahbi => spi2ahbi,
spi2ahbo => open);
spi2ahbi.en <= '1';
spi2ahbi.haddr <= conv_std_logic_vector(ahbaddrh, 16) &
conv_std_logic_vector(ahbaddrl, 16);
spi2ahbi.hmask <= conv_std_logic_vector(ahbmaskh, 16) &
conv_std_logic_vector(ahbmaskl, 16);
end architecture rtl;
|
-- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.
-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity alt_dspbuilder_counter is
generic (
USE_USR_ACLR : string := "false";
USE_ENA : string := "false";
USE_CIN : string := "false";
USE_SSET : string := "false";
NDIRECTION : natural := 1;
SVALUE : string := "0";
USE_SLOAD : string := "false";
USE_SCLR : string := "false";
USE_COUT : string := "false";
MODULUS : integer := 256;
USE_CNT_ENA : string := "false";
WIDTH : natural := 8;
USE_ASET : string := "false";
USE_ALOAD : string := "false";
AVALUE : string := "0"
);
port (
user_aclr : in std_logic := '0';
clock : in std_logic := '0';
q : out std_logic_vector(width-1 downto 0);
direction : in std_logic := '0';
sclr : in std_logic := '0';
data : in std_logic_vector(width-1 downto 0) := (others=>'0');
aset : in std_logic := '0';
cout : out std_logic;
sset : in std_logic := '0';
aclr : in std_logic := '0';
cnt_ena : in std_logic := '0';
cin : in std_logic := '0';
ena : in std_logic := '0';
aload : in std_logic := '0';
sload : in std_logic := '0'
);
end entity alt_dspbuilder_counter;
architecture rtl of alt_dspbuilder_counter is
component alt_dspbuilder_counter_GNCXSYJEM5 is
generic (
USE_USR_ACLR : string := "false";
USE_ENA : string := "false";
USE_CIN : string := "false";
USE_SSET : string := "false";
NDIRECTION : natural := 1;
SVALUE : string := "1";
USE_SLOAD : string := "false";
USE_SCLR : string := "true";
USE_COUT : string := "false";
MODULUS : integer := -1;
USE_CNT_ENA : string := "true";
WIDTH : natural := 16;
USE_ASET : string := "false";
USE_ALOAD : string := "false";
AVALUE : string := "0"
);
port (
aclr : in std_logic := '0';
clock : in std_logic := '0';
cnt_ena : in std_logic := '0';
cout : out std_logic;
q : out std_logic_vector(16-1 downto 0);
sclr : in std_logic := '0'
);
end component alt_dspbuilder_counter_GNCXSYJEM5;
component alt_dspbuilder_counter_GNW5IG44CT is
generic (
USE_USR_ACLR : string := "false";
USE_ENA : string := "false";
USE_CIN : string := "false";
USE_SSET : string := "false";
NDIRECTION : natural := 1;
SVALUE : string := "1";
USE_SLOAD : string := "false";
USE_SCLR : string := "true";
USE_COUT : string := "false";
MODULUS : integer := -1;
USE_CNT_ENA : string := "true";
WIDTH : natural := 3;
USE_ASET : string := "false";
USE_ALOAD : string := "false";
AVALUE : string := "0"
);
port (
aclr : in std_logic := '0';
clock : in std_logic := '0';
cnt_ena : in std_logic := '0';
cout : out std_logic;
q : out std_logic_vector(3-1 downto 0);
sclr : in std_logic := '0'
);
end component alt_dspbuilder_counter_GNW5IG44CT;
begin
alt_dspbuilder_counter_GNCXSYJEM5_0: if ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 16) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) generate
inst_alt_dspbuilder_counter_GNCXSYJEM5_0: alt_dspbuilder_counter_GNCXSYJEM5
generic map(USE_USR_ACLR => "false", USE_ENA => "false", USE_CIN => "false", USE_SSET => "false", NDIRECTION => 1, SVALUE => "1", USE_SLOAD => "false", USE_SCLR => "true", USE_COUT => "false", MODULUS => -1, USE_CNT_ENA => "true", WIDTH => 16, USE_ASET => "false", USE_ALOAD => "false", AVALUE => "0")
port map(aclr => aclr, clock => clock, cnt_ena => cnt_ena, cout => cout, q => q, sclr => sclr);
end generate;
alt_dspbuilder_counter_GNW5IG44CT_1: if ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 3) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) generate
inst_alt_dspbuilder_counter_GNW5IG44CT_1: alt_dspbuilder_counter_GNW5IG44CT
generic map(USE_USR_ACLR => "false", USE_ENA => "false", USE_CIN => "false", USE_SSET => "false", NDIRECTION => 1, SVALUE => "1", USE_SLOAD => "false", USE_SCLR => "true", USE_COUT => "false", MODULUS => -1, USE_CNT_ENA => "true", WIDTH => 3, USE_ASET => "false", USE_ALOAD => "false", AVALUE => "0")
port map(aclr => aclr, clock => clock, cnt_ena => cnt_ena, cout => cout, q => q, sclr => sclr);
end generate;
assert not (((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 16) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) or ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 3) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")))
report "Please run generate again" severity error;
end architecture rtl;
|
-- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.
-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity alt_dspbuilder_counter is
generic (
USE_USR_ACLR : string := "false";
USE_ENA : string := "false";
USE_CIN : string := "false";
USE_SSET : string := "false";
NDIRECTION : natural := 1;
SVALUE : string := "0";
USE_SLOAD : string := "false";
USE_SCLR : string := "false";
USE_COUT : string := "false";
MODULUS : integer := 256;
USE_CNT_ENA : string := "false";
WIDTH : natural := 8;
USE_ASET : string := "false";
USE_ALOAD : string := "false";
AVALUE : string := "0"
);
port (
user_aclr : in std_logic := '0';
clock : in std_logic := '0';
q : out std_logic_vector(width-1 downto 0);
direction : in std_logic := '0';
sclr : in std_logic := '0';
data : in std_logic_vector(width-1 downto 0) := (others=>'0');
aset : in std_logic := '0';
cout : out std_logic;
sset : in std_logic := '0';
aclr : in std_logic := '0';
cnt_ena : in std_logic := '0';
cin : in std_logic := '0';
ena : in std_logic := '0';
aload : in std_logic := '0';
sload : in std_logic := '0'
);
end entity alt_dspbuilder_counter;
architecture rtl of alt_dspbuilder_counter is
component alt_dspbuilder_counter_GNCXSYJEM5 is
generic (
USE_USR_ACLR : string := "false";
USE_ENA : string := "false";
USE_CIN : string := "false";
USE_SSET : string := "false";
NDIRECTION : natural := 1;
SVALUE : string := "1";
USE_SLOAD : string := "false";
USE_SCLR : string := "true";
USE_COUT : string := "false";
MODULUS : integer := -1;
USE_CNT_ENA : string := "true";
WIDTH : natural := 16;
USE_ASET : string := "false";
USE_ALOAD : string := "false";
AVALUE : string := "0"
);
port (
aclr : in std_logic := '0';
clock : in std_logic := '0';
cnt_ena : in std_logic := '0';
cout : out std_logic;
q : out std_logic_vector(16-1 downto 0);
sclr : in std_logic := '0'
);
end component alt_dspbuilder_counter_GNCXSYJEM5;
component alt_dspbuilder_counter_GNW5IG44CT is
generic (
USE_USR_ACLR : string := "false";
USE_ENA : string := "false";
USE_CIN : string := "false";
USE_SSET : string := "false";
NDIRECTION : natural := 1;
SVALUE : string := "1";
USE_SLOAD : string := "false";
USE_SCLR : string := "true";
USE_COUT : string := "false";
MODULUS : integer := -1;
USE_CNT_ENA : string := "true";
WIDTH : natural := 3;
USE_ASET : string := "false";
USE_ALOAD : string := "false";
AVALUE : string := "0"
);
port (
aclr : in std_logic := '0';
clock : in std_logic := '0';
cnt_ena : in std_logic := '0';
cout : out std_logic;
q : out std_logic_vector(3-1 downto 0);
sclr : in std_logic := '0'
);
end component alt_dspbuilder_counter_GNW5IG44CT;
begin
alt_dspbuilder_counter_GNCXSYJEM5_0: if ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 16) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) generate
inst_alt_dspbuilder_counter_GNCXSYJEM5_0: alt_dspbuilder_counter_GNCXSYJEM5
generic map(USE_USR_ACLR => "false", USE_ENA => "false", USE_CIN => "false", USE_SSET => "false", NDIRECTION => 1, SVALUE => "1", USE_SLOAD => "false", USE_SCLR => "true", USE_COUT => "false", MODULUS => -1, USE_CNT_ENA => "true", WIDTH => 16, USE_ASET => "false", USE_ALOAD => "false", AVALUE => "0")
port map(aclr => aclr, clock => clock, cnt_ena => cnt_ena, cout => cout, q => q, sclr => sclr);
end generate;
alt_dspbuilder_counter_GNW5IG44CT_1: if ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 3) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) generate
inst_alt_dspbuilder_counter_GNW5IG44CT_1: alt_dspbuilder_counter_GNW5IG44CT
generic map(USE_USR_ACLR => "false", USE_ENA => "false", USE_CIN => "false", USE_SSET => "false", NDIRECTION => 1, SVALUE => "1", USE_SLOAD => "false", USE_SCLR => "true", USE_COUT => "false", MODULUS => -1, USE_CNT_ENA => "true", WIDTH => 3, USE_ASET => "false", USE_ALOAD => "false", AVALUE => "0")
port map(aclr => aclr, clock => clock, cnt_ena => cnt_ena, cout => cout, q => q, sclr => sclr);
end generate;
assert not (((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 16) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) or ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = -1) and (USE_CNT_ENA = "true") and (WIDTH = 3) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")))
report "Please run generate again" severity error;
end architecture rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YBqtUvROGXy3Ss9cH6ST+/HJ47aFLm5ZesnsOmvTkCwrp6xOzzTgaQ/jbhIW3ZUlAwTptbTl1gtT
hdsT3QzjBA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
O976uPwUO+ACt0qIas4uorZUE7TKOTD8p0V2tsSiP1yOi9DbpH/SSiGWuWcQUfN+QUNyV5OPV5XP
BC/T+nwkAh3fe+5eUwIiMP7VJlernHpYrStt92zRpRS3sybWCO/NZeoUTWuX2qPYtJ1nRsUU6vMS
5Fqhzzi59W8pLBgF7RU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
atbEvxexb7vgJbFUuxm4yTIN0gsXHI24nu8KkS2tnKCUp8QoA6KjgHBJ4nqAF8FUS5sLfUdcyUHL
cMckuIMqxCuFrazw0VDrCKwyWBLWScGTkyoZ9NQ0YaAr+U2f4539y3AuyNkOfflPJ0mThiqkJ0zG
+dFzXwEK82TaNYqq8yQptw0m8bDLFf6xyn5N6Hf9rV2h6UjN2epSlky9Xi1j4cu06tliirt8/NXR
4yu+cFslzld2L6ck3N/ZGCrygVOGQo4Wzg0pU8hiVoheqjCDpK+TQrTrEymG/W0o5uWwenIC9lHQ
KJzvBsGCzxYuFZiFm1iuPqcfSBSxpRlzpEb38A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
w1i3DrMCeFIE+L4W5gvySBeOl6ZLmcHzNBElzawn7hxNXdWpbdOPdRWosw5sWLk7dOQsbmMed/ih
jVSG/PAA12+wYH6mEWGIGeAbWhf3GjbBvS3MA8igK5txramn8cxBqfJ/O+J9ddethMTQU10VfwVJ
1gV3oNJdniCMtxPC/oo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lCTGBpWKkDffOIzBZju4btfNLRduUz5UyZYe/zXLWdr0qVZzXwevTz0ZNuV3ZNKBbTZ3HC0Q0ohC
P0RTjuHfIlSx4vC5p1EmKvSnDeKwnSCFC373dNVdFDQ8M4VTxL+ux9k7oFq7TctEuZfjawP7i7DV
C/3pMsCYmQ6mKpVwtx7YAq/JyGtSGxCHN0vHtd/wEZNTCZsXA/cbzmQdpW5bUO2jMVAM4fIbVr+C
MGs9pCHEfhjxctJVhRBNsy1DnOky56l+v0kznliiQO6Pr0nVHCwlOE5ROnzjhO3DXEchVpIbhl8v
m019NwIk60uuAj+RPvwiDINAI0lAJxqM4d8ZOA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60672)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YBqtUvROGXy3Ss9cH6ST+/HJ47aFLm5ZesnsOmvTkCwrp6xOzzTgaQ/jbhIW3ZUlAwTptbTl1gtT
hdsT3QzjBA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
O976uPwUO+ACt0qIas4uorZUE7TKOTD8p0V2tsSiP1yOi9DbpH/SSiGWuWcQUfN+QUNyV5OPV5XP
BC/T+nwkAh3fe+5eUwIiMP7VJlernHpYrStt92zRpRS3sybWCO/NZeoUTWuX2qPYtJ1nRsUU6vMS
5Fqhzzi59W8pLBgF7RU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
atbEvxexb7vgJbFUuxm4yTIN0gsXHI24nu8KkS2tnKCUp8QoA6KjgHBJ4nqAF8FUS5sLfUdcyUHL
cMckuIMqxCuFrazw0VDrCKwyWBLWScGTkyoZ9NQ0YaAr+U2f4539y3AuyNkOfflPJ0mThiqkJ0zG
+dFzXwEK82TaNYqq8yQptw0m8bDLFf6xyn5N6Hf9rV2h6UjN2epSlky9Xi1j4cu06tliirt8/NXR
4yu+cFslzld2L6ck3N/ZGCrygVOGQo4Wzg0pU8hiVoheqjCDpK+TQrTrEymG/W0o5uWwenIC9lHQ
KJzvBsGCzxYuFZiFm1iuPqcfSBSxpRlzpEb38A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
w1i3DrMCeFIE+L4W5gvySBeOl6ZLmcHzNBElzawn7hxNXdWpbdOPdRWosw5sWLk7dOQsbmMed/ih
jVSG/PAA12+wYH6mEWGIGeAbWhf3GjbBvS3MA8igK5txramn8cxBqfJ/O+J9ddethMTQU10VfwVJ
1gV3oNJdniCMtxPC/oo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lCTGBpWKkDffOIzBZju4btfNLRduUz5UyZYe/zXLWdr0qVZzXwevTz0ZNuV3ZNKBbTZ3HC0Q0ohC
P0RTjuHfIlSx4vC5p1EmKvSnDeKwnSCFC373dNVdFDQ8M4VTxL+ux9k7oFq7TctEuZfjawP7i7DV
C/3pMsCYmQ6mKpVwtx7YAq/JyGtSGxCHN0vHtd/wEZNTCZsXA/cbzmQdpW5bUO2jMVAM4fIbVr+C
MGs9pCHEfhjxctJVhRBNsy1DnOky56l+v0kznliiQO6Pr0nVHCwlOE5ROnzjhO3DXEchVpIbhl8v
m019NwIk60uuAj+RPvwiDINAI0lAJxqM4d8ZOA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60672)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YBqtUvROGXy3Ss9cH6ST+/HJ47aFLm5ZesnsOmvTkCwrp6xOzzTgaQ/jbhIW3ZUlAwTptbTl1gtT
hdsT3QzjBA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
O976uPwUO+ACt0qIas4uorZUE7TKOTD8p0V2tsSiP1yOi9DbpH/SSiGWuWcQUfN+QUNyV5OPV5XP
BC/T+nwkAh3fe+5eUwIiMP7VJlernHpYrStt92zRpRS3sybWCO/NZeoUTWuX2qPYtJ1nRsUU6vMS
5Fqhzzi59W8pLBgF7RU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
atbEvxexb7vgJbFUuxm4yTIN0gsXHI24nu8KkS2tnKCUp8QoA6KjgHBJ4nqAF8FUS5sLfUdcyUHL
cMckuIMqxCuFrazw0VDrCKwyWBLWScGTkyoZ9NQ0YaAr+U2f4539y3AuyNkOfflPJ0mThiqkJ0zG
+dFzXwEK82TaNYqq8yQptw0m8bDLFf6xyn5N6Hf9rV2h6UjN2epSlky9Xi1j4cu06tliirt8/NXR
4yu+cFslzld2L6ck3N/ZGCrygVOGQo4Wzg0pU8hiVoheqjCDpK+TQrTrEymG/W0o5uWwenIC9lHQ
KJzvBsGCzxYuFZiFm1iuPqcfSBSxpRlzpEb38A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
w1i3DrMCeFIE+L4W5gvySBeOl6ZLmcHzNBElzawn7hxNXdWpbdOPdRWosw5sWLk7dOQsbmMed/ih
jVSG/PAA12+wYH6mEWGIGeAbWhf3GjbBvS3MA8igK5txramn8cxBqfJ/O+J9ddethMTQU10VfwVJ
1gV3oNJdniCMtxPC/oo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lCTGBpWKkDffOIzBZju4btfNLRduUz5UyZYe/zXLWdr0qVZzXwevTz0ZNuV3ZNKBbTZ3HC0Q0ohC
P0RTjuHfIlSx4vC5p1EmKvSnDeKwnSCFC373dNVdFDQ8M4VTxL+ux9k7oFq7TctEuZfjawP7i7DV
C/3pMsCYmQ6mKpVwtx7YAq/JyGtSGxCHN0vHtd/wEZNTCZsXA/cbzmQdpW5bUO2jMVAM4fIbVr+C
MGs9pCHEfhjxctJVhRBNsy1DnOky56l+v0kznliiQO6Pr0nVHCwlOE5ROnzjhO3DXEchVpIbhl8v
m019NwIk60uuAj+RPvwiDINAI0lAJxqM4d8ZOA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60672)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Pg6aok5Vq/p86aDF2gpXOXP48v1CRRpaRij2s+uQ0+X4vx8S7tXBjQPu+8NIW/vGpNBtyzN1sVkC
UoHzSd21/A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gUJrwsqWshgEBipBkgjgEC6nR7K4TS9HaoK5H3qvHXFXpvZGPekrMCPWlaj4aFNjQVavLRFPf6o3
A0l0Ip1QOw+niXzC5gsBL3rJEZy1qhnRryRS54VanuBZ2fW2/TEy0cinRI55ZyJYFjuod0VVx8Qw
heaXTgRUK5PitKieR1M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HuN9gdD7TEQYiNAMt4w7731e3W2InV+vOHTvtbQ0k1HLADOtEUSKDDETJkT5X71uMB5ai9oMQtXD
K0QmF6YgaMgC5ad0CGuYYWJ3+DzJElfhInauYs/8GshrEqIK4gJlKRs67sYKhZGDf6mvz0CkiLIp
jl3sVSSgm+ky7tQUTqwiPqZNWEYWMkzri0hvlOY7hOyXDWdq/xgHB8sUUeurEpYOgkNY+kT+6oba
kFbJgJ9p0/pp9DPCTdri918YB6b4eFECIWdcYAYlWsk17EyI1e0NrIYbyJerlybxLGM0Q32TsPqs
6xPrB/KmGP3pRKEinHMbyQdbskuraXNfa43M5Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A5n90zWSExk6bkT0aZyG1IggPs8rsMdJhNDFxTB/nMsEVSUqmjU9SK6CEBD3o2NzyleaeENPsOuQ
EcWMDyOJnA9TKto+CaJV44DwZiXYH2eaCPjAWvqujVqAZQNxZMMM/Y0jkszOJj2xYpLPdAxSBOtX
61Ox6asw3xoNzq+z7qg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bdu9gf6kORA3iPeMEyemqoseEqKlH7HeNd3FjQ7q2MGQ6kdb4GgXvm4eLD2iTLf/K+2jk4Jneo1y
/XyOwtMJ9Et9jTzZ5Y17HREpD0f2CKSrnXmifap7xUsRGthMLzTo4K9UlEYKZR+KytZN5oQ157CC
fukq8xiP2vqAMmAeZzSiTNNa81WjRIhwMlEiLaL5K5tk+9mMnpb86LmNqEdeA8z7Jd06vn3c+GAg
enxrGWcYXjLo7MGeTunYAiGoOto+GYqAScEY9MSkt35Q7If4FjIP9x1vHf26BLIvA4n/+ucETRRt
z895uUdHWcVGZplQqAmah8UC3rokhxOWFiSrsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Pg6aok5Vq/p86aDF2gpXOXP48v1CRRpaRij2s+uQ0+X4vx8S7tXBjQPu+8NIW/vGpNBtyzN1sVkC
UoHzSd21/A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gUJrwsqWshgEBipBkgjgEC6nR7K4TS9HaoK5H3qvHXFXpvZGPekrMCPWlaj4aFNjQVavLRFPf6o3
A0l0Ip1QOw+niXzC5gsBL3rJEZy1qhnRryRS54VanuBZ2fW2/TEy0cinRI55ZyJYFjuod0VVx8Qw
heaXTgRUK5PitKieR1M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HuN9gdD7TEQYiNAMt4w7731e3W2InV+vOHTvtbQ0k1HLADOtEUSKDDETJkT5X71uMB5ai9oMQtXD
K0QmF6YgaMgC5ad0CGuYYWJ3+DzJElfhInauYs/8GshrEqIK4gJlKRs67sYKhZGDf6mvz0CkiLIp
jl3sVSSgm+ky7tQUTqwiPqZNWEYWMkzri0hvlOY7hOyXDWdq/xgHB8sUUeurEpYOgkNY+kT+6oba
kFbJgJ9p0/pp9DPCTdri918YB6b4eFECIWdcYAYlWsk17EyI1e0NrIYbyJerlybxLGM0Q32TsPqs
6xPrB/KmGP3pRKEinHMbyQdbskuraXNfa43M5Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A5n90zWSExk6bkT0aZyG1IggPs8rsMdJhNDFxTB/nMsEVSUqmjU9SK6CEBD3o2NzyleaeENPsOuQ
EcWMDyOJnA9TKto+CaJV44DwZiXYH2eaCPjAWvqujVqAZQNxZMMM/Y0jkszOJj2xYpLPdAxSBOtX
61Ox6asw3xoNzq+z7qg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bdu9gf6kORA3iPeMEyemqoseEqKlH7HeNd3FjQ7q2MGQ6kdb4GgXvm4eLD2iTLf/K+2jk4Jneo1y
/XyOwtMJ9Et9jTzZ5Y17HREpD0f2CKSrnXmifap7xUsRGthMLzTo4K9UlEYKZR+KytZN5oQ157CC
fukq8xiP2vqAMmAeZzSiTNNa81WjRIhwMlEiLaL5K5tk+9mMnpb86LmNqEdeA8z7Jd06vn3c+GAg
enxrGWcYXjLo7MGeTunYAiGoOto+GYqAScEY9MSkt35Q7If4FjIP9x1vHf26BLIvA4n/+ucETRRt
z895uUdHWcVGZplQqAmah8UC3rokhxOWFiSrsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tl_string_util_pkg.all;
entity noise_generator_tb is
end;
architecture tb of noise_generator_tb is
constant c_type : string := "Fibonacci";
-- constant c_polynom : std_logic_vector := X"E10000";
constant c_polynom : std_logic_vector := "11100100000000000000000";
signal polynom : std_logic_vector(22 downto 0) := c_polynom;
-- constant c_type : string := "Galois";
-- constant c_polynom : std_logic_vector := X"5D6DCB";
-- constant c_seed : std_logic_vector := X"000001";
constant c_seed : std_logic_vector := "11111111111111111111111";
signal clock : std_logic := '0';
signal reset : std_logic;
signal q : std_logic_vector(c_polynom'length-1 downto 0);
signal selected_bits : std_logic_vector(7 downto 0);
begin
clock <= not clock after 10 ns;
--reset <= '1', '0' after 100 ns;
i_lfsr: entity work.noise_generator
generic map (
g_type => c_type,
g_polynom => c_polynom,
g_fixed_polynom => false,
g_seed => c_seed )
port map (
clock => clock,
reset => reset,
enable => '1',
polynom => polynom,
q => q );
selected_bits(7) <= q(22);
selected_bits(6) <= q(20);
selected_bits(5) <= q(16);
selected_bits(4) <= q(13);
selected_bits(3) <= q(11);
selected_bits(2) <= q(7);
selected_bits(1) <= q(4);
selected_bits(0) <= q(2);
p_test: process
variable poly : std_logic_vector(7 downto 0);
variable count : integer;
function count_bits(p : std_logic_vector) return integer is
variable c : integer;
begin
c := 0;
for i in p'range loop
if p(i)='1' then
c := c + 1;
end if;
end loop;
return c;
end function;
procedure perform_test is
begin
reset <= '1';
wait until clock='1';
wait until clock='1';
wait until clock='1';
reset <= '0';
wait until clock='1';
wait until clock='1';
count := 1;
while q /= c_seed loop
wait until clock='1';
count := count + 1;
end loop;
report "Polynom = " & hstr(polynom) & ". Length of LFSR = " & integer'image(count) severity note;
end procedure;
begin
reset <= '0';
for i in 0 to 255 loop -- test 256 different polynoms, at least; ones that result in an even number of bits set
poly := std_logic_vector(to_unsigned(i, 8));
if(count_bits(poly) mod 2) = 1 then
polynom <= "1" & poly & "00000000000000";
perform_test;
end if;
end loop;
wait;
end process;
-- assert count=0 or q/=c_seed
-- report "Length of LFSR = " & integer'image(count)
-- severity failure;
-- count := count + 1;
-- end if;
-- end if;
-- end process;
--
end tb;
-- FF 11111111111111111111111
-- FF 11111111111111111111110
-- FF 11111111111111111111100
-- FE 11111111111111111111000 2
-- FE 11111111111111111110000
-- FC 11111111111111111100000 4
-- FC 11111111111111111000000
-- FC 11111111111111110000000
-- F8 11111111111111100000000 7
-- F8 11111111111111000000000
-- F8 11111111111110000000000
-- F8 11111111111100000000000
-- F0 11111111111000000000000 11
-- F0 11111111110000000000000
-- E0 11111111100000000000000 13
-- E0 11111111000000000000000
-- E0 11111110000000000000000
-- C0 11111100000000000000000 16
-- C0 1111100000000000000000-
-- C0 11110000000000000000001
-- C0 1110000000000000000001-
-- 81 110000000000000000001-- 20
-- 81 10000000000000000001---
-- 03 000000000000000000----- 22
-- 03
-- 06
-- 06
-- 06
--
--
-- |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tl_string_util_pkg.all;
entity noise_generator_tb is
end;
architecture tb of noise_generator_tb is
constant c_type : string := "Fibonacci";
-- constant c_polynom : std_logic_vector := X"E10000";
constant c_polynom : std_logic_vector := "11100100000000000000000";
signal polynom : std_logic_vector(22 downto 0) := c_polynom;
-- constant c_type : string := "Galois";
-- constant c_polynom : std_logic_vector := X"5D6DCB";
-- constant c_seed : std_logic_vector := X"000001";
constant c_seed : std_logic_vector := "11111111111111111111111";
signal clock : std_logic := '0';
signal reset : std_logic;
signal q : std_logic_vector(c_polynom'length-1 downto 0);
signal selected_bits : std_logic_vector(7 downto 0);
begin
clock <= not clock after 10 ns;
--reset <= '1', '0' after 100 ns;
i_lfsr: entity work.noise_generator
generic map (
g_type => c_type,
g_polynom => c_polynom,
g_fixed_polynom => false,
g_seed => c_seed )
port map (
clock => clock,
reset => reset,
enable => '1',
polynom => polynom,
q => q );
selected_bits(7) <= q(22);
selected_bits(6) <= q(20);
selected_bits(5) <= q(16);
selected_bits(4) <= q(13);
selected_bits(3) <= q(11);
selected_bits(2) <= q(7);
selected_bits(1) <= q(4);
selected_bits(0) <= q(2);
p_test: process
variable poly : std_logic_vector(7 downto 0);
variable count : integer;
function count_bits(p : std_logic_vector) return integer is
variable c : integer;
begin
c := 0;
for i in p'range loop
if p(i)='1' then
c := c + 1;
end if;
end loop;
return c;
end function;
procedure perform_test is
begin
reset <= '1';
wait until clock='1';
wait until clock='1';
wait until clock='1';
reset <= '0';
wait until clock='1';
wait until clock='1';
count := 1;
while q /= c_seed loop
wait until clock='1';
count := count + 1;
end loop;
report "Polynom = " & hstr(polynom) & ". Length of LFSR = " & integer'image(count) severity note;
end procedure;
begin
reset <= '0';
for i in 0 to 255 loop -- test 256 different polynoms, at least; ones that result in an even number of bits set
poly := std_logic_vector(to_unsigned(i, 8));
if(count_bits(poly) mod 2) = 1 then
polynom <= "1" & poly & "00000000000000";
perform_test;
end if;
end loop;
wait;
end process;
-- assert count=0 or q/=c_seed
-- report "Length of LFSR = " & integer'image(count)
-- severity failure;
-- count := count + 1;
-- end if;
-- end if;
-- end process;
--
end tb;
-- FF 11111111111111111111111
-- FF 11111111111111111111110
-- FF 11111111111111111111100
-- FE 11111111111111111111000 2
-- FE 11111111111111111110000
-- FC 11111111111111111100000 4
-- FC 11111111111111111000000
-- FC 11111111111111110000000
-- F8 11111111111111100000000 7
-- F8 11111111111111000000000
-- F8 11111111111110000000000
-- F8 11111111111100000000000
-- F0 11111111111000000000000 11
-- F0 11111111110000000000000
-- E0 11111111100000000000000 13
-- E0 11111111000000000000000
-- E0 11111110000000000000000
-- C0 11111100000000000000000 16
-- C0 1111100000000000000000-
-- C0 11110000000000000000001
-- C0 1110000000000000000001-
-- 81 110000000000000000001-- 20
-- 81 10000000000000000001---
-- 03 000000000000000000----- 22
-- 03
-- 06
-- 06
-- 06
--
--
-- |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tl_string_util_pkg.all;
entity noise_generator_tb is
end;
architecture tb of noise_generator_tb is
constant c_type : string := "Fibonacci";
-- constant c_polynom : std_logic_vector := X"E10000";
constant c_polynom : std_logic_vector := "11100100000000000000000";
signal polynom : std_logic_vector(22 downto 0) := c_polynom;
-- constant c_type : string := "Galois";
-- constant c_polynom : std_logic_vector := X"5D6DCB";
-- constant c_seed : std_logic_vector := X"000001";
constant c_seed : std_logic_vector := "11111111111111111111111";
signal clock : std_logic := '0';
signal reset : std_logic;
signal q : std_logic_vector(c_polynom'length-1 downto 0);
signal selected_bits : std_logic_vector(7 downto 0);
begin
clock <= not clock after 10 ns;
--reset <= '1', '0' after 100 ns;
i_lfsr: entity work.noise_generator
generic map (
g_type => c_type,
g_polynom => c_polynom,
g_fixed_polynom => false,
g_seed => c_seed )
port map (
clock => clock,
reset => reset,
enable => '1',
polynom => polynom,
q => q );
selected_bits(7) <= q(22);
selected_bits(6) <= q(20);
selected_bits(5) <= q(16);
selected_bits(4) <= q(13);
selected_bits(3) <= q(11);
selected_bits(2) <= q(7);
selected_bits(1) <= q(4);
selected_bits(0) <= q(2);
p_test: process
variable poly : std_logic_vector(7 downto 0);
variable count : integer;
function count_bits(p : std_logic_vector) return integer is
variable c : integer;
begin
c := 0;
for i in p'range loop
if p(i)='1' then
c := c + 1;
end if;
end loop;
return c;
end function;
procedure perform_test is
begin
reset <= '1';
wait until clock='1';
wait until clock='1';
wait until clock='1';
reset <= '0';
wait until clock='1';
wait until clock='1';
count := 1;
while q /= c_seed loop
wait until clock='1';
count := count + 1;
end loop;
report "Polynom = " & hstr(polynom) & ". Length of LFSR = " & integer'image(count) severity note;
end procedure;
begin
reset <= '0';
for i in 0 to 255 loop -- test 256 different polynoms, at least; ones that result in an even number of bits set
poly := std_logic_vector(to_unsigned(i, 8));
if(count_bits(poly) mod 2) = 1 then
polynom <= "1" & poly & "00000000000000";
perform_test;
end if;
end loop;
wait;
end process;
-- assert count=0 or q/=c_seed
-- report "Length of LFSR = " & integer'image(count)
-- severity failure;
-- count := count + 1;
-- end if;
-- end if;
-- end process;
--
end tb;
-- FF 11111111111111111111111
-- FF 11111111111111111111110
-- FF 11111111111111111111100
-- FE 11111111111111111111000 2
-- FE 11111111111111111110000
-- FC 11111111111111111100000 4
-- FC 11111111111111111000000
-- FC 11111111111111110000000
-- F8 11111111111111100000000 7
-- F8 11111111111111000000000
-- F8 11111111111110000000000
-- F8 11111111111100000000000
-- F0 11111111111000000000000 11
-- F0 11111111110000000000000
-- E0 11111111100000000000000 13
-- E0 11111111000000000000000
-- E0 11111110000000000000000
-- C0 11111100000000000000000 16
-- C0 1111100000000000000000-
-- C0 11110000000000000000001
-- C0 1110000000000000000001-
-- 81 110000000000000000001-- 20
-- 81 10000000000000000001---
-- 03 000000000000000000----- 22
-- 03
-- 06
-- 06
-- 06
--
--
-- |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tl_string_util_pkg.all;
entity noise_generator_tb is
end;
architecture tb of noise_generator_tb is
constant c_type : string := "Fibonacci";
-- constant c_polynom : std_logic_vector := X"E10000";
constant c_polynom : std_logic_vector := "11100100000000000000000";
signal polynom : std_logic_vector(22 downto 0) := c_polynom;
-- constant c_type : string := "Galois";
-- constant c_polynom : std_logic_vector := X"5D6DCB";
-- constant c_seed : std_logic_vector := X"000001";
constant c_seed : std_logic_vector := "11111111111111111111111";
signal clock : std_logic := '0';
signal reset : std_logic;
signal q : std_logic_vector(c_polynom'length-1 downto 0);
signal selected_bits : std_logic_vector(7 downto 0);
begin
clock <= not clock after 10 ns;
--reset <= '1', '0' after 100 ns;
i_lfsr: entity work.noise_generator
generic map (
g_type => c_type,
g_polynom => c_polynom,
g_fixed_polynom => false,
g_seed => c_seed )
port map (
clock => clock,
reset => reset,
enable => '1',
polynom => polynom,
q => q );
selected_bits(7) <= q(22);
selected_bits(6) <= q(20);
selected_bits(5) <= q(16);
selected_bits(4) <= q(13);
selected_bits(3) <= q(11);
selected_bits(2) <= q(7);
selected_bits(1) <= q(4);
selected_bits(0) <= q(2);
p_test: process
variable poly : std_logic_vector(7 downto 0);
variable count : integer;
function count_bits(p : std_logic_vector) return integer is
variable c : integer;
begin
c := 0;
for i in p'range loop
if p(i)='1' then
c := c + 1;
end if;
end loop;
return c;
end function;
procedure perform_test is
begin
reset <= '1';
wait until clock='1';
wait until clock='1';
wait until clock='1';
reset <= '0';
wait until clock='1';
wait until clock='1';
count := 1;
while q /= c_seed loop
wait until clock='1';
count := count + 1;
end loop;
report "Polynom = " & hstr(polynom) & ". Length of LFSR = " & integer'image(count) severity note;
end procedure;
begin
reset <= '0';
for i in 0 to 255 loop -- test 256 different polynoms, at least; ones that result in an even number of bits set
poly := std_logic_vector(to_unsigned(i, 8));
if(count_bits(poly) mod 2) = 1 then
polynom <= "1" & poly & "00000000000000";
perform_test;
end if;
end loop;
wait;
end process;
-- assert count=0 or q/=c_seed
-- report "Length of LFSR = " & integer'image(count)
-- severity failure;
-- count := count + 1;
-- end if;
-- end if;
-- end process;
--
end tb;
-- FF 11111111111111111111111
-- FF 11111111111111111111110
-- FF 11111111111111111111100
-- FE 11111111111111111111000 2
-- FE 11111111111111111110000
-- FC 11111111111111111100000 4
-- FC 11111111111111111000000
-- FC 11111111111111110000000
-- F8 11111111111111100000000 7
-- F8 11111111111111000000000
-- F8 11111111111110000000000
-- F8 11111111111100000000000
-- F0 11111111111000000000000 11
-- F0 11111111110000000000000
-- E0 11111111100000000000000 13
-- E0 11111111000000000000000
-- E0 11111110000000000000000
-- C0 11111100000000000000000 16
-- C0 1111100000000000000000-
-- C0 11110000000000000000001
-- C0 1110000000000000000001-
-- 81 110000000000000000001-- 20
-- 81 10000000000000000001---
-- 03 000000000000000000----- 22
-- 03
-- 06
-- 06
-- 06
--
--
-- |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tl_string_util_pkg.all;
entity noise_generator_tb is
end;
architecture tb of noise_generator_tb is
constant c_type : string := "Fibonacci";
-- constant c_polynom : std_logic_vector := X"E10000";
constant c_polynom : std_logic_vector := "11100100000000000000000";
signal polynom : std_logic_vector(22 downto 0) := c_polynom;
-- constant c_type : string := "Galois";
-- constant c_polynom : std_logic_vector := X"5D6DCB";
-- constant c_seed : std_logic_vector := X"000001";
constant c_seed : std_logic_vector := "11111111111111111111111";
signal clock : std_logic := '0';
signal reset : std_logic;
signal q : std_logic_vector(c_polynom'length-1 downto 0);
signal selected_bits : std_logic_vector(7 downto 0);
begin
clock <= not clock after 10 ns;
--reset <= '1', '0' after 100 ns;
i_lfsr: entity work.noise_generator
generic map (
g_type => c_type,
g_polynom => c_polynom,
g_fixed_polynom => false,
g_seed => c_seed )
port map (
clock => clock,
reset => reset,
enable => '1',
polynom => polynom,
q => q );
selected_bits(7) <= q(22);
selected_bits(6) <= q(20);
selected_bits(5) <= q(16);
selected_bits(4) <= q(13);
selected_bits(3) <= q(11);
selected_bits(2) <= q(7);
selected_bits(1) <= q(4);
selected_bits(0) <= q(2);
p_test: process
variable poly : std_logic_vector(7 downto 0);
variable count : integer;
function count_bits(p : std_logic_vector) return integer is
variable c : integer;
begin
c := 0;
for i in p'range loop
if p(i)='1' then
c := c + 1;
end if;
end loop;
return c;
end function;
procedure perform_test is
begin
reset <= '1';
wait until clock='1';
wait until clock='1';
wait until clock='1';
reset <= '0';
wait until clock='1';
wait until clock='1';
count := 1;
while q /= c_seed loop
wait until clock='1';
count := count + 1;
end loop;
report "Polynom = " & hstr(polynom) & ". Length of LFSR = " & integer'image(count) severity note;
end procedure;
begin
reset <= '0';
for i in 0 to 255 loop -- test 256 different polynoms, at least; ones that result in an even number of bits set
poly := std_logic_vector(to_unsigned(i, 8));
if(count_bits(poly) mod 2) = 1 then
polynom <= "1" & poly & "00000000000000";
perform_test;
end if;
end loop;
wait;
end process;
-- assert count=0 or q/=c_seed
-- report "Length of LFSR = " & integer'image(count)
-- severity failure;
-- count := count + 1;
-- end if;
-- end if;
-- end process;
--
end tb;
-- FF 11111111111111111111111
-- FF 11111111111111111111110
-- FF 11111111111111111111100
-- FE 11111111111111111111000 2
-- FE 11111111111111111110000
-- FC 11111111111111111100000 4
-- FC 11111111111111111000000
-- FC 11111111111111110000000
-- F8 11111111111111100000000 7
-- F8 11111111111111000000000
-- F8 11111111111110000000000
-- F8 11111111111100000000000
-- F0 11111111111000000000000 11
-- F0 11111111110000000000000
-- E0 11111111100000000000000 13
-- E0 11111111000000000000000
-- E0 11111110000000000000000
-- C0 11111100000000000000000 16
-- C0 1111100000000000000000-
-- C0 11110000000000000000001
-- C0 1110000000000000000001-
-- 81 110000000000000000001-- 20
-- 81 10000000000000000001---
-- 03 000000000000000000----- 22
-- 03
-- 06
-- 06
-- 06
--
--
-- |
library verilog;
use verilog.vl_types.all;
entity NbitCounter_vlg_check_tst is
port(
Q : in vl_logic_vector(15 downto 0);
sampler_rx : in vl_logic
);
end NbitCounter_vlg_check_tst;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.