content
stringlengths
1
1.04M
---------------------------------------------------------------------------- ---- Create Date: 14:30:08 07/28/2010 ---- ---- Design Name: lfsr_pkg ---- ---- Project Name: lfsr_randgen ---- ---- Description: ---- ---- This is the package file used in the lfsr_randgen project.The ---- ---- package contain the function for XORing bits from various tap ---- ---- locations depending on the generic parameter(width of lfsr ) ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the lfsr_randgen project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lfsr_pkg is function xor_gates( random : std_logic_vector) return std_logic; end lfsr_pkg; --Package body starts from here. package body lfsr_pkg is --function for XORing from tap values. function xor_gates( random : std_logic_vector ) return std_logic is variable xor_out : std_logic:='0'; variable rand : std_logic_vector(random'length-1 downto 0):=random; begin if(rand'length = 3) then --3 xor_out := rand(2) xor rand(1); elsif(rand'length = 2) then --2 xor_out := rand(1) xor rand(0); elsif(rand'length = 4) then --4 xor_out := rand(3) xor rand(2); elsif(rand'length = 5) then --5 xor_out := rand(4) xor rand(2); elsif(rand'length = 6) then --6 xor_out := rand(5) xor rand(4); elsif(rand'length = 7) then --7 xor_out := rand(6) xor rand(5); elsif(rand'length = 8) then --8 xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3); elsif(rand'length = 9) then --9 xor_out := rand(8) xor rand(4); elsif(rand'length = 10)then --10 xor_out := rand(9) xor rand(6); elsif(rand'length =11) then --11 xor_out := rand(10) xor rand(8); elsif(rand'length = 12) then --12 xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 13) then --13 xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0); elsif(rand'length = 14) then --14 xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0); elsif(rand'length = 15) then --15 xor_out := rand(14) xor rand(13); elsif(rand'length = 16) then --16 xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3); elsif(rand'length = 17) then --17 xor_out := rand(16) xor rand(13); elsif(rand'length = 18) then --18 xor_out := rand(17) xor rand(10); elsif(rand'length = 19) then --19 xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 20) then --20 xor_out := rand(19) xor rand(16); elsif(rand'length = 21) then --21 xor_out := rand(20) xor rand(18); elsif(rand'length = 22) then --22 xor_out := rand(21) xor rand(20); elsif(rand'length = 23) then --23 xor_out := rand(22) xor rand(17); elsif(rand'length = 24) then --24 xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16); elsif(rand'length = 25) then --25 xor_out := rand(24) xor rand(21); elsif(rand'length = 26) then --26 xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 27) then --27 xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0); elsif(rand'length = 28) then --28 xor_out := rand(27) xor rand(24); elsif(rand'length = 29) then --29 xor_out := rand(28) xor rand(26); elsif(rand'length = 30) then --30 xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 31) then --31 xor_out := rand(30) xor rand(27); elsif(rand'length = 32) then --32 xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0); elsif(rand'length = 33) then --33 xor_out := rand(32) xor rand(19); elsif(rand'length = 34) then --34 xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0); elsif(rand'length = 35) then --35 xor_out := rand(34) xor rand(32); elsif(rand'length = 36) then --36 xor_out := rand(35) xor rand(24); elsif(rand'length = 37) then --37 xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0); elsif(rand'length = 38) then --38 xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0); elsif(rand'length = 39) then --39 xor_out := rand(38) xor rand(34); elsif(rand'length = 40) then --40 xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18); elsif(rand'length = 41) then --41 xor_out := rand(40) xor rand(37); elsif(rand'length = 42) then --42 xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18); elsif(rand'length = 43) then --43 xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36); elsif(rand'length = 44) then --44 xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16); elsif(rand'length = 45) then --45 xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40); elsif(rand'length = 46) then --46 xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24); elsif(rand'length = 47) then --47 xor_out := rand(46) xor rand(41); elsif(rand'length = 48) then --48 xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19); elsif(rand'length = 49) then --49 xor_out := rand(48) xor rand(39); elsif(rand'length = 50) then --50 xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22); elsif(rand'length = 51) then --51 xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34); elsif(rand'length = 52) then --52 xor_out := rand(51) xor rand(48); elsif(rand'length = 53) then --53 xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36); elsif(rand'length = 54) then --54 xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16); elsif(rand'length = 55) then --55 xor_out := rand(54) xor rand(30); elsif(rand'length = 56) then --56 xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33); elsif(rand'length = 57) then --57 xor_out := rand(56) xor rand(49); elsif(rand'length = 58) then --58 xor_out := rand(57) xor rand(38); elsif(rand'length = 59) then --59 xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36); elsif(rand'length = 60) then --60 xor_out := rand(59) xor rand(58); elsif(rand'length = 61) then --61 xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44); elsif(rand'length = 62) then --62 xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4); elsif(rand'length = 63) then --63 xor_out := rand(62) xor rand(61); elsif(rand'length = 64) then --64 xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59); elsif(rand'length = 65) then --65 xor_out := rand(64) xor rand(46); elsif(rand'length = 66) then --66 xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55); elsif(rand'length = 67) then --67 xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56); elsif(rand'length = 68) then --68 xor_out := rand(67) xor rand(58); elsif(rand'length = 69) then --69 xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39); elsif(rand'length = 70) then --70 xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53); elsif(rand'length = 71) then --71 xor_out := rand(70) xor rand(64); elsif(rand'length = 72) then --72 xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18); elsif(rand'length = 73) then --73 xor_out := rand(72) xor rand(47); elsif(rand'length = 74) then --74 xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57); elsif(rand'length = 75) then --75 xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63); elsif(rand'length = 76) then --76 xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39); elsif(rand'length = 77) then --77 xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45); elsif(rand'length = 78) then --78 xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57); elsif(rand'length = 79) then --79 xor_out := rand(78) xor rand(69); elsif(rand'length = 80) then --80 xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41); elsif(rand'length = 81) then --81 xor_out := rand(80) xor rand(76); elsif(rand'length = 82) then --82 xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43); elsif(rand'length = 83) then --83 xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36); elsif(rand'length = 84) then --84 xor_out := rand(83) xor rand(70); elsif(rand'length = 85) then --85 xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56); elsif(rand'length = 86) then --86 xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72); elsif(rand'length = 87) then --87 xor_out := rand(86) xor rand(73); elsif(rand'length = 88) then --88 xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15); elsif(rand'length = 89) then --89 xor_out := rand(88) xor rand(50); elsif(rand'length = 90) then --90 xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70); elsif(rand'length = 91) then --91 xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6); elsif(rand'length = 92) then --92 xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78); elsif(rand'length = 93) then --93 xor_out := rand(92) xor rand(90); elsif(rand'length = 94) then --94 xor_out := rand(93) xor rand(72); elsif(rand'length = 95) then --95 xor_out := rand(94) xor rand(83); elsif(rand'length = 96) then --96 xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46); elsif(rand'length = 97) then --97 xor_out := rand(96) xor rand(90); elsif(rand'length = 98) then --98 xor_out := rand(97) xor rand(86); elsif(rand'length = 99) then --99 xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51); elsif(rand'length = 100) then --100 xor_out := rand(99) xor rand(62); elsif(rand'length = 101) then --101 xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93); elsif(rand'length = 102) then --102 xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34); elsif(rand'length = 103) then --103 xor_out := rand(102) xor rand(93); elsif(rand'length = 104) then --104 xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92); elsif(rand'length = 105) then --105 xor_out := rand(104) xor rand(88); elsif(rand'length = 106) then --106 xor_out := rand(105) xor rand(90); elsif(rand'length = 107) then --107 xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41); elsif(rand'length = 108) then --108 xor_out := rand(107) xor rand(76); elsif(rand'length = 109) then --109 xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101); elsif(rand'length = 110)then --110 xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96); elsif(rand'length = 111) then --111 xor_out := rand(110) xor rand(100); elsif(rand'length = 112) then --112 xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66); elsif(rand'length = 113) then --113 xor_out := rand(112) xor rand(103); elsif(rand'length = 114) then --114 xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31); elsif(rand'length = 115) then --115 xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99); elsif(rand'length = 116) then --116 xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44); elsif(rand'length = 117) then --117 xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96); elsif(rand'length = 118) then --118 xor_out := rand(117) xor rand(84); elsif(rand'length = 119) then --119 xor_out := rand(118) xor rand(110); elsif(rand'length = 120) then --120 xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1); elsif(rand'length = 121) then --121 xor_out := rand(120) xor rand(102); elsif(rand'length = 122) then --122 xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61); elsif(rand'length = 123) then --123 xor_out := rand(122) xor rand(120); elsif(rand'length = 124) then --124 xor_out := rand(123) xor rand(86); elsif(rand'length = 125) then --125 xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16); elsif(rand'length = 126) then --126 xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88); elsif(rand'length = 127) then --127 xor_out := rand(126) xor rand(125); elsif(rand'length = 128) then --128 xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98); elsif(rand'length = 129) then --129 xor_out := rand(128) xor rand(123); elsif(rand'length = 130) then --130 xor_out := rand(129) xor rand(126); elsif(rand'length = 131) then --131 xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82); elsif(rand'length = 132) then --132 xor_out := rand(131) xor rand(102); elsif(rand'length = 133) then --133 xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80); elsif(rand'length = 134) then --134 xor_out := rand(133) xor rand(76); elsif(rand'length = 135) then --135 xor_out := rand(134) xor rand(123); elsif(rand'length = 136) then --136 xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9); elsif(rand'length = 137) then --137 xor_out := rand(136) xor rand(115); elsif(rand'length = 138) then --138 xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129); elsif(rand'length = 139) then --139 xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130); elsif(rand'length = 140) then --140 xor_out := rand(139) xor rand(110); elsif(rand'length = 141) then --141 xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108); elsif(rand'length = 142) then --142 xor_out := rand(141) xor rand(120); elsif(rand'length = 143) then --143 xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121); elsif(rand'length = 144) then --144 xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73); elsif(rand'length = 145) then --145 xor_out := rand(144) xor rand(92); elsif(rand'length = 146) then --146 xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85); elsif(rand'length = 147) then --147 xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108); elsif(rand'length = 148) then --148 xor_out := rand(147) xor rand(120); elsif(rand'length = 149) then --149 xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38); elsif(rand'length = 150) then --150 xor_out := rand(149) xor rand(96); elsif(rand'length = 151) then --151 xor_out := rand(150) xor rand(147); elsif(rand'length = 152) then --152 xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85); elsif(rand'length = 153) then --153 xor_out := rand(152) xor rand(151); elsif(rand'length = 154) then --154 xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24); elsif(rand'length = 155) then --155 xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122); elsif(rand'length = 156) then --156 xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39); elsif(rand'length = 157) then --157 xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129); elsif(rand'length = 158) then --158 xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130); elsif(rand'length = 159) then --159 xor_out := rand(158) xor rand(127); elsif(rand'length = 160) then --160 xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140); elsif(rand'length = 161) then --161 xor_out := rand(160) xor rand(142); elsif(rand'length = 162) then --162 xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73); elsif(rand'length = 163) then --163 xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102); elsif(rand'length = 164) then --164 xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149); elsif(rand'length = 165) then --165 xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133); elsif(rand'length = 166) then --166 xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126); elsif(rand'length = 167) then --167 xor_out := rand(166) xor rand(160); elsif(rand'length = 168) then --168 xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150); end if; return xor_out; end xor_gates; --END function for XORing using tap values. end lfsr_pkg; --End of the package.
---------------------------------------------------------------------------- ---- Create Date: 14:30:08 07/28/2010 ---- ---- Design Name: lfsr_pkg ---- ---- Project Name: lfsr_randgen ---- ---- Description: ---- ---- This is the package file used in the lfsr_randgen project.The ---- ---- package contain the function for XORing bits from various tap ---- ---- locations depending on the generic parameter(width of lfsr ) ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the lfsr_randgen project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lfsr_pkg is function xor_gates( random : std_logic_vector) return std_logic; end lfsr_pkg; --Package body starts from here. package body lfsr_pkg is --function for XORing from tap values. function xor_gates( random : std_logic_vector ) return std_logic is variable xor_out : std_logic:='0'; variable rand : std_logic_vector(random'length-1 downto 0):=random; begin if(rand'length = 3) then --3 xor_out := rand(2) xor rand(1); elsif(rand'length = 2) then --2 xor_out := rand(1) xor rand(0); elsif(rand'length = 4) then --4 xor_out := rand(3) xor rand(2); elsif(rand'length = 5) then --5 xor_out := rand(4) xor rand(2); elsif(rand'length = 6) then --6 xor_out := rand(5) xor rand(4); elsif(rand'length = 7) then --7 xor_out := rand(6) xor rand(5); elsif(rand'length = 8) then --8 xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3); elsif(rand'length = 9) then --9 xor_out := rand(8) xor rand(4); elsif(rand'length = 10)then --10 xor_out := rand(9) xor rand(6); elsif(rand'length =11) then --11 xor_out := rand(10) xor rand(8); elsif(rand'length = 12) then --12 xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 13) then --13 xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0); elsif(rand'length = 14) then --14 xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0); elsif(rand'length = 15) then --15 xor_out := rand(14) xor rand(13); elsif(rand'length = 16) then --16 xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3); elsif(rand'length = 17) then --17 xor_out := rand(16) xor rand(13); elsif(rand'length = 18) then --18 xor_out := rand(17) xor rand(10); elsif(rand'length = 19) then --19 xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 20) then --20 xor_out := rand(19) xor rand(16); elsif(rand'length = 21) then --21 xor_out := rand(20) xor rand(18); elsif(rand'length = 22) then --22 xor_out := rand(21) xor rand(20); elsif(rand'length = 23) then --23 xor_out := rand(22) xor rand(17); elsif(rand'length = 24) then --24 xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16); elsif(rand'length = 25) then --25 xor_out := rand(24) xor rand(21); elsif(rand'length = 26) then --26 xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 27) then --27 xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0); elsif(rand'length = 28) then --28 xor_out := rand(27) xor rand(24); elsif(rand'length = 29) then --29 xor_out := rand(28) xor rand(26); elsif(rand'length = 30) then --30 xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 31) then --31 xor_out := rand(30) xor rand(27); elsif(rand'length = 32) then --32 xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0); elsif(rand'length = 33) then --33 xor_out := rand(32) xor rand(19); elsif(rand'length = 34) then --34 xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0); elsif(rand'length = 35) then --35 xor_out := rand(34) xor rand(32); elsif(rand'length = 36) then --36 xor_out := rand(35) xor rand(24); elsif(rand'length = 37) then --37 xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0); elsif(rand'length = 38) then --38 xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0); elsif(rand'length = 39) then --39 xor_out := rand(38) xor rand(34); elsif(rand'length = 40) then --40 xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18); elsif(rand'length = 41) then --41 xor_out := rand(40) xor rand(37); elsif(rand'length = 42) then --42 xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18); elsif(rand'length = 43) then --43 xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36); elsif(rand'length = 44) then --44 xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16); elsif(rand'length = 45) then --45 xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40); elsif(rand'length = 46) then --46 xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24); elsif(rand'length = 47) then --47 xor_out := rand(46) xor rand(41); elsif(rand'length = 48) then --48 xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19); elsif(rand'length = 49) then --49 xor_out := rand(48) xor rand(39); elsif(rand'length = 50) then --50 xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22); elsif(rand'length = 51) then --51 xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34); elsif(rand'length = 52) then --52 xor_out := rand(51) xor rand(48); elsif(rand'length = 53) then --53 xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36); elsif(rand'length = 54) then --54 xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16); elsif(rand'length = 55) then --55 xor_out := rand(54) xor rand(30); elsif(rand'length = 56) then --56 xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33); elsif(rand'length = 57) then --57 xor_out := rand(56) xor rand(49); elsif(rand'length = 58) then --58 xor_out := rand(57) xor rand(38); elsif(rand'length = 59) then --59 xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36); elsif(rand'length = 60) then --60 xor_out := rand(59) xor rand(58); elsif(rand'length = 61) then --61 xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44); elsif(rand'length = 62) then --62 xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4); elsif(rand'length = 63) then --63 xor_out := rand(62) xor rand(61); elsif(rand'length = 64) then --64 xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59); elsif(rand'length = 65) then --65 xor_out := rand(64) xor rand(46); elsif(rand'length = 66) then --66 xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55); elsif(rand'length = 67) then --67 xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56); elsif(rand'length = 68) then --68 xor_out := rand(67) xor rand(58); elsif(rand'length = 69) then --69 xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39); elsif(rand'length = 70) then --70 xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53); elsif(rand'length = 71) then --71 xor_out := rand(70) xor rand(64); elsif(rand'length = 72) then --72 xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18); elsif(rand'length = 73) then --73 xor_out := rand(72) xor rand(47); elsif(rand'length = 74) then --74 xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57); elsif(rand'length = 75) then --75 xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63); elsif(rand'length = 76) then --76 xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39); elsif(rand'length = 77) then --77 xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45); elsif(rand'length = 78) then --78 xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57); elsif(rand'length = 79) then --79 xor_out := rand(78) xor rand(69); elsif(rand'length = 80) then --80 xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41); elsif(rand'length = 81) then --81 xor_out := rand(80) xor rand(76); elsif(rand'length = 82) then --82 xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43); elsif(rand'length = 83) then --83 xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36); elsif(rand'length = 84) then --84 xor_out := rand(83) xor rand(70); elsif(rand'length = 85) then --85 xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56); elsif(rand'length = 86) then --86 xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72); elsif(rand'length = 87) then --87 xor_out := rand(86) xor rand(73); elsif(rand'length = 88) then --88 xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15); elsif(rand'length = 89) then --89 xor_out := rand(88) xor rand(50); elsif(rand'length = 90) then --90 xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70); elsif(rand'length = 91) then --91 xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6); elsif(rand'length = 92) then --92 xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78); elsif(rand'length = 93) then --93 xor_out := rand(92) xor rand(90); elsif(rand'length = 94) then --94 xor_out := rand(93) xor rand(72); elsif(rand'length = 95) then --95 xor_out := rand(94) xor rand(83); elsif(rand'length = 96) then --96 xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46); elsif(rand'length = 97) then --97 xor_out := rand(96) xor rand(90); elsif(rand'length = 98) then --98 xor_out := rand(97) xor rand(86); elsif(rand'length = 99) then --99 xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51); elsif(rand'length = 100) then --100 xor_out := rand(99) xor rand(62); elsif(rand'length = 101) then --101 xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93); elsif(rand'length = 102) then --102 xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34); elsif(rand'length = 103) then --103 xor_out := rand(102) xor rand(93); elsif(rand'length = 104) then --104 xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92); elsif(rand'length = 105) then --105 xor_out := rand(104) xor rand(88); elsif(rand'length = 106) then --106 xor_out := rand(105) xor rand(90); elsif(rand'length = 107) then --107 xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41); elsif(rand'length = 108) then --108 xor_out := rand(107) xor rand(76); elsif(rand'length = 109) then --109 xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101); elsif(rand'length = 110)then --110 xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96); elsif(rand'length = 111) then --111 xor_out := rand(110) xor rand(100); elsif(rand'length = 112) then --112 xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66); elsif(rand'length = 113) then --113 xor_out := rand(112) xor rand(103); elsif(rand'length = 114) then --114 xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31); elsif(rand'length = 115) then --115 xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99); elsif(rand'length = 116) then --116 xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44); elsif(rand'length = 117) then --117 xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96); elsif(rand'length = 118) then --118 xor_out := rand(117) xor rand(84); elsif(rand'length = 119) then --119 xor_out := rand(118) xor rand(110); elsif(rand'length = 120) then --120 xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1); elsif(rand'length = 121) then --121 xor_out := rand(120) xor rand(102); elsif(rand'length = 122) then --122 xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61); elsif(rand'length = 123) then --123 xor_out := rand(122) xor rand(120); elsif(rand'length = 124) then --124 xor_out := rand(123) xor rand(86); elsif(rand'length = 125) then --125 xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16); elsif(rand'length = 126) then --126 xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88); elsif(rand'length = 127) then --127 xor_out := rand(126) xor rand(125); elsif(rand'length = 128) then --128 xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98); elsif(rand'length = 129) then --129 xor_out := rand(128) xor rand(123); elsif(rand'length = 130) then --130 xor_out := rand(129) xor rand(126); elsif(rand'length = 131) then --131 xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82); elsif(rand'length = 132) then --132 xor_out := rand(131) xor rand(102); elsif(rand'length = 133) then --133 xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80); elsif(rand'length = 134) then --134 xor_out := rand(133) xor rand(76); elsif(rand'length = 135) then --135 xor_out := rand(134) xor rand(123); elsif(rand'length = 136) then --136 xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9); elsif(rand'length = 137) then --137 xor_out := rand(136) xor rand(115); elsif(rand'length = 138) then --138 xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129); elsif(rand'length = 139) then --139 xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130); elsif(rand'length = 140) then --140 xor_out := rand(139) xor rand(110); elsif(rand'length = 141) then --141 xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108); elsif(rand'length = 142) then --142 xor_out := rand(141) xor rand(120); elsif(rand'length = 143) then --143 xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121); elsif(rand'length = 144) then --144 xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73); elsif(rand'length = 145) then --145 xor_out := rand(144) xor rand(92); elsif(rand'length = 146) then --146 xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85); elsif(rand'length = 147) then --147 xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108); elsif(rand'length = 148) then --148 xor_out := rand(147) xor rand(120); elsif(rand'length = 149) then --149 xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38); elsif(rand'length = 150) then --150 xor_out := rand(149) xor rand(96); elsif(rand'length = 151) then --151 xor_out := rand(150) xor rand(147); elsif(rand'length = 152) then --152 xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85); elsif(rand'length = 153) then --153 xor_out := rand(152) xor rand(151); elsif(rand'length = 154) then --154 xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24); elsif(rand'length = 155) then --155 xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122); elsif(rand'length = 156) then --156 xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39); elsif(rand'length = 157) then --157 xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129); elsif(rand'length = 158) then --158 xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130); elsif(rand'length = 159) then --159 xor_out := rand(158) xor rand(127); elsif(rand'length = 160) then --160 xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140); elsif(rand'length = 161) then --161 xor_out := rand(160) xor rand(142); elsif(rand'length = 162) then --162 xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73); elsif(rand'length = 163) then --163 xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102); elsif(rand'length = 164) then --164 xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149); elsif(rand'length = 165) then --165 xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133); elsif(rand'length = 166) then --166 xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126); elsif(rand'length = 167) then --167 xor_out := rand(166) xor rand(160); elsif(rand'length = 168) then --168 xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150); end if; return xor_out; end xor_gates; --END function for XORing using tap values. end lfsr_pkg; --End of the package.
---------------------------------------------------------------------------- ---- Create Date: 14:30:08 07/28/2010 ---- ---- Design Name: lfsr_pkg ---- ---- Project Name: lfsr_randgen ---- ---- Description: ---- ---- This is the package file used in the lfsr_randgen project.The ---- ---- package contain the function for XORing bits from various tap ---- ---- locations depending on the generic parameter(width of lfsr ) ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the lfsr_randgen project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lfsr_pkg is function xor_gates( random : std_logic_vector) return std_logic; end lfsr_pkg; --Package body starts from here. package body lfsr_pkg is --function for XORing from tap values. function xor_gates( random : std_logic_vector ) return std_logic is variable xor_out : std_logic:='0'; variable rand : std_logic_vector(random'length-1 downto 0):=random; begin if(rand'length = 3) then --3 xor_out := rand(2) xor rand(1); elsif(rand'length = 2) then --2 xor_out := rand(1) xor rand(0); elsif(rand'length = 4) then --4 xor_out := rand(3) xor rand(2); elsif(rand'length = 5) then --5 xor_out := rand(4) xor rand(2); elsif(rand'length = 6) then --6 xor_out := rand(5) xor rand(4); elsif(rand'length = 7) then --7 xor_out := rand(6) xor rand(5); elsif(rand'length = 8) then --8 xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3); elsif(rand'length = 9) then --9 xor_out := rand(8) xor rand(4); elsif(rand'length = 10)then --10 xor_out := rand(9) xor rand(6); elsif(rand'length =11) then --11 xor_out := rand(10) xor rand(8); elsif(rand'length = 12) then --12 xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 13) then --13 xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0); elsif(rand'length = 14) then --14 xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0); elsif(rand'length = 15) then --15 xor_out := rand(14) xor rand(13); elsif(rand'length = 16) then --16 xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3); elsif(rand'length = 17) then --17 xor_out := rand(16) xor rand(13); elsif(rand'length = 18) then --18 xor_out := rand(17) xor rand(10); elsif(rand'length = 19) then --19 xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 20) then --20 xor_out := rand(19) xor rand(16); elsif(rand'length = 21) then --21 xor_out := rand(20) xor rand(18); elsif(rand'length = 22) then --22 xor_out := rand(21) xor rand(20); elsif(rand'length = 23) then --23 xor_out := rand(22) xor rand(17); elsif(rand'length = 24) then --24 xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16); elsif(rand'length = 25) then --25 xor_out := rand(24) xor rand(21); elsif(rand'length = 26) then --26 xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 27) then --27 xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0); elsif(rand'length = 28) then --28 xor_out := rand(27) xor rand(24); elsif(rand'length = 29) then --29 xor_out := rand(28) xor rand(26); elsif(rand'length = 30) then --30 xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 31) then --31 xor_out := rand(30) xor rand(27); elsif(rand'length = 32) then --32 xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0); elsif(rand'length = 33) then --33 xor_out := rand(32) xor rand(19); elsif(rand'length = 34) then --34 xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0); elsif(rand'length = 35) then --35 xor_out := rand(34) xor rand(32); elsif(rand'length = 36) then --36 xor_out := rand(35) xor rand(24); elsif(rand'length = 37) then --37 xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0); elsif(rand'length = 38) then --38 xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0); elsif(rand'length = 39) then --39 xor_out := rand(38) xor rand(34); elsif(rand'length = 40) then --40 xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18); elsif(rand'length = 41) then --41 xor_out := rand(40) xor rand(37); elsif(rand'length = 42) then --42 xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18); elsif(rand'length = 43) then --43 xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36); elsif(rand'length = 44) then --44 xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16); elsif(rand'length = 45) then --45 xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40); elsif(rand'length = 46) then --46 xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24); elsif(rand'length = 47) then --47 xor_out := rand(46) xor rand(41); elsif(rand'length = 48) then --48 xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19); elsif(rand'length = 49) then --49 xor_out := rand(48) xor rand(39); elsif(rand'length = 50) then --50 xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22); elsif(rand'length = 51) then --51 xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34); elsif(rand'length = 52) then --52 xor_out := rand(51) xor rand(48); elsif(rand'length = 53) then --53 xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36); elsif(rand'length = 54) then --54 xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16); elsif(rand'length = 55) then --55 xor_out := rand(54) xor rand(30); elsif(rand'length = 56) then --56 xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33); elsif(rand'length = 57) then --57 xor_out := rand(56) xor rand(49); elsif(rand'length = 58) then --58 xor_out := rand(57) xor rand(38); elsif(rand'length = 59) then --59 xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36); elsif(rand'length = 60) then --60 xor_out := rand(59) xor rand(58); elsif(rand'length = 61) then --61 xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44); elsif(rand'length = 62) then --62 xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4); elsif(rand'length = 63) then --63 xor_out := rand(62) xor rand(61); elsif(rand'length = 64) then --64 xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59); elsif(rand'length = 65) then --65 xor_out := rand(64) xor rand(46); elsif(rand'length = 66) then --66 xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55); elsif(rand'length = 67) then --67 xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56); elsif(rand'length = 68) then --68 xor_out := rand(67) xor rand(58); elsif(rand'length = 69) then --69 xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39); elsif(rand'length = 70) then --70 xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53); elsif(rand'length = 71) then --71 xor_out := rand(70) xor rand(64); elsif(rand'length = 72) then --72 xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18); elsif(rand'length = 73) then --73 xor_out := rand(72) xor rand(47); elsif(rand'length = 74) then --74 xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57); elsif(rand'length = 75) then --75 xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63); elsif(rand'length = 76) then --76 xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39); elsif(rand'length = 77) then --77 xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45); elsif(rand'length = 78) then --78 xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57); elsif(rand'length = 79) then --79 xor_out := rand(78) xor rand(69); elsif(rand'length = 80) then --80 xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41); elsif(rand'length = 81) then --81 xor_out := rand(80) xor rand(76); elsif(rand'length = 82) then --82 xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43); elsif(rand'length = 83) then --83 xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36); elsif(rand'length = 84) then --84 xor_out := rand(83) xor rand(70); elsif(rand'length = 85) then --85 xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56); elsif(rand'length = 86) then --86 xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72); elsif(rand'length = 87) then --87 xor_out := rand(86) xor rand(73); elsif(rand'length = 88) then --88 xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15); elsif(rand'length = 89) then --89 xor_out := rand(88) xor rand(50); elsif(rand'length = 90) then --90 xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70); elsif(rand'length = 91) then --91 xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6); elsif(rand'length = 92) then --92 xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78); elsif(rand'length = 93) then --93 xor_out := rand(92) xor rand(90); elsif(rand'length = 94) then --94 xor_out := rand(93) xor rand(72); elsif(rand'length = 95) then --95 xor_out := rand(94) xor rand(83); elsif(rand'length = 96) then --96 xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46); elsif(rand'length = 97) then --97 xor_out := rand(96) xor rand(90); elsif(rand'length = 98) then --98 xor_out := rand(97) xor rand(86); elsif(rand'length = 99) then --99 xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51); elsif(rand'length = 100) then --100 xor_out := rand(99) xor rand(62); elsif(rand'length = 101) then --101 xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93); elsif(rand'length = 102) then --102 xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34); elsif(rand'length = 103) then --103 xor_out := rand(102) xor rand(93); elsif(rand'length = 104) then --104 xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92); elsif(rand'length = 105) then --105 xor_out := rand(104) xor rand(88); elsif(rand'length = 106) then --106 xor_out := rand(105) xor rand(90); elsif(rand'length = 107) then --107 xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41); elsif(rand'length = 108) then --108 xor_out := rand(107) xor rand(76); elsif(rand'length = 109) then --109 xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101); elsif(rand'length = 110)then --110 xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96); elsif(rand'length = 111) then --111 xor_out := rand(110) xor rand(100); elsif(rand'length = 112) then --112 xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66); elsif(rand'length = 113) then --113 xor_out := rand(112) xor rand(103); elsif(rand'length = 114) then --114 xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31); elsif(rand'length = 115) then --115 xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99); elsif(rand'length = 116) then --116 xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44); elsif(rand'length = 117) then --117 xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96); elsif(rand'length = 118) then --118 xor_out := rand(117) xor rand(84); elsif(rand'length = 119) then --119 xor_out := rand(118) xor rand(110); elsif(rand'length = 120) then --120 xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1); elsif(rand'length = 121) then --121 xor_out := rand(120) xor rand(102); elsif(rand'length = 122) then --122 xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61); elsif(rand'length = 123) then --123 xor_out := rand(122) xor rand(120); elsif(rand'length = 124) then --124 xor_out := rand(123) xor rand(86); elsif(rand'length = 125) then --125 xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16); elsif(rand'length = 126) then --126 xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88); elsif(rand'length = 127) then --127 xor_out := rand(126) xor rand(125); elsif(rand'length = 128) then --128 xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98); elsif(rand'length = 129) then --129 xor_out := rand(128) xor rand(123); elsif(rand'length = 130) then --130 xor_out := rand(129) xor rand(126); elsif(rand'length = 131) then --131 xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82); elsif(rand'length = 132) then --132 xor_out := rand(131) xor rand(102); elsif(rand'length = 133) then --133 xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80); elsif(rand'length = 134) then --134 xor_out := rand(133) xor rand(76); elsif(rand'length = 135) then --135 xor_out := rand(134) xor rand(123); elsif(rand'length = 136) then --136 xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9); elsif(rand'length = 137) then --137 xor_out := rand(136) xor rand(115); elsif(rand'length = 138) then --138 xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129); elsif(rand'length = 139) then --139 xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130); elsif(rand'length = 140) then --140 xor_out := rand(139) xor rand(110); elsif(rand'length = 141) then --141 xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108); elsif(rand'length = 142) then --142 xor_out := rand(141) xor rand(120); elsif(rand'length = 143) then --143 xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121); elsif(rand'length = 144) then --144 xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73); elsif(rand'length = 145) then --145 xor_out := rand(144) xor rand(92); elsif(rand'length = 146) then --146 xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85); elsif(rand'length = 147) then --147 xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108); elsif(rand'length = 148) then --148 xor_out := rand(147) xor rand(120); elsif(rand'length = 149) then --149 xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38); elsif(rand'length = 150) then --150 xor_out := rand(149) xor rand(96); elsif(rand'length = 151) then --151 xor_out := rand(150) xor rand(147); elsif(rand'length = 152) then --152 xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85); elsif(rand'length = 153) then --153 xor_out := rand(152) xor rand(151); elsif(rand'length = 154) then --154 xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24); elsif(rand'length = 155) then --155 xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122); elsif(rand'length = 156) then --156 xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39); elsif(rand'length = 157) then --157 xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129); elsif(rand'length = 158) then --158 xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130); elsif(rand'length = 159) then --159 xor_out := rand(158) xor rand(127); elsif(rand'length = 160) then --160 xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140); elsif(rand'length = 161) then --161 xor_out := rand(160) xor rand(142); elsif(rand'length = 162) then --162 xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73); elsif(rand'length = 163) then --163 xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102); elsif(rand'length = 164) then --164 xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149); elsif(rand'length = 165) then --165 xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133); elsif(rand'length = 166) then --166 xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126); elsif(rand'length = 167) then --167 xor_out := rand(166) xor rand(160); elsif(rand'length = 168) then --168 xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150); end if; return xor_out; end xor_gates; --END function for XORing using tap values. end lfsr_pkg; --End of the package.
---------------------------------------------------------------------------- ---- Create Date: 14:30:08 07/28/2010 ---- ---- Design Name: lfsr_pkg ---- ---- Project Name: lfsr_randgen ---- ---- Description: ---- ---- This is the package file used in the lfsr_randgen project.The ---- ---- package contain the function for XORing bits from various tap ---- ---- locations depending on the generic parameter(width of lfsr ) ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the lfsr_randgen project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lfsr_pkg is function xor_gates( random : std_logic_vector) return std_logic; end lfsr_pkg; --Package body starts from here. package body lfsr_pkg is --function for XORing from tap values. function xor_gates( random : std_logic_vector ) return std_logic is variable xor_out : std_logic:='0'; variable rand : std_logic_vector(random'length-1 downto 0):=random; begin if(rand'length = 3) then --3 xor_out := rand(2) xor rand(1); elsif(rand'length = 2) then --2 xor_out := rand(1) xor rand(0); elsif(rand'length = 4) then --4 xor_out := rand(3) xor rand(2); elsif(rand'length = 5) then --5 xor_out := rand(4) xor rand(2); elsif(rand'length = 6) then --6 xor_out := rand(5) xor rand(4); elsif(rand'length = 7) then --7 xor_out := rand(6) xor rand(5); elsif(rand'length = 8) then --8 xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3); elsif(rand'length = 9) then --9 xor_out := rand(8) xor rand(4); elsif(rand'length = 10)then --10 xor_out := rand(9) xor rand(6); elsif(rand'length =11) then --11 xor_out := rand(10) xor rand(8); elsif(rand'length = 12) then --12 xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 13) then --13 xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0); elsif(rand'length = 14) then --14 xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0); elsif(rand'length = 15) then --15 xor_out := rand(14) xor rand(13); elsif(rand'length = 16) then --16 xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3); elsif(rand'length = 17) then --17 xor_out := rand(16) xor rand(13); elsif(rand'length = 18) then --18 xor_out := rand(17) xor rand(10); elsif(rand'length = 19) then --19 xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 20) then --20 xor_out := rand(19) xor rand(16); elsif(rand'length = 21) then --21 xor_out := rand(20) xor rand(18); elsif(rand'length = 22) then --22 xor_out := rand(21) xor rand(20); elsif(rand'length = 23) then --23 xor_out := rand(22) xor rand(17); elsif(rand'length = 24) then --24 xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16); elsif(rand'length = 25) then --25 xor_out := rand(24) xor rand(21); elsif(rand'length = 26) then --26 xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 27) then --27 xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0); elsif(rand'length = 28) then --28 xor_out := rand(27) xor rand(24); elsif(rand'length = 29) then --29 xor_out := rand(28) xor rand(26); elsif(rand'length = 30) then --30 xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 31) then --31 xor_out := rand(30) xor rand(27); elsif(rand'length = 32) then --32 xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0); elsif(rand'length = 33) then --33 xor_out := rand(32) xor rand(19); elsif(rand'length = 34) then --34 xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0); elsif(rand'length = 35) then --35 xor_out := rand(34) xor rand(32); elsif(rand'length = 36) then --36 xor_out := rand(35) xor rand(24); elsif(rand'length = 37) then --37 xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0); elsif(rand'length = 38) then --38 xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0); elsif(rand'length = 39) then --39 xor_out := rand(38) xor rand(34); elsif(rand'length = 40) then --40 xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18); elsif(rand'length = 41) then --41 xor_out := rand(40) xor rand(37); elsif(rand'length = 42) then --42 xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18); elsif(rand'length = 43) then --43 xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36); elsif(rand'length = 44) then --44 xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16); elsif(rand'length = 45) then --45 xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40); elsif(rand'length = 46) then --46 xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24); elsif(rand'length = 47) then --47 xor_out := rand(46) xor rand(41); elsif(rand'length = 48) then --48 xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19); elsif(rand'length = 49) then --49 xor_out := rand(48) xor rand(39); elsif(rand'length = 50) then --50 xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22); elsif(rand'length = 51) then --51 xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34); elsif(rand'length = 52) then --52 xor_out := rand(51) xor rand(48); elsif(rand'length = 53) then --53 xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36); elsif(rand'length = 54) then --54 xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16); elsif(rand'length = 55) then --55 xor_out := rand(54) xor rand(30); elsif(rand'length = 56) then --56 xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33); elsif(rand'length = 57) then --57 xor_out := rand(56) xor rand(49); elsif(rand'length = 58) then --58 xor_out := rand(57) xor rand(38); elsif(rand'length = 59) then --59 xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36); elsif(rand'length = 60) then --60 xor_out := rand(59) xor rand(58); elsif(rand'length = 61) then --61 xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44); elsif(rand'length = 62) then --62 xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4); elsif(rand'length = 63) then --63 xor_out := rand(62) xor rand(61); elsif(rand'length = 64) then --64 xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59); elsif(rand'length = 65) then --65 xor_out := rand(64) xor rand(46); elsif(rand'length = 66) then --66 xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55); elsif(rand'length = 67) then --67 xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56); elsif(rand'length = 68) then --68 xor_out := rand(67) xor rand(58); elsif(rand'length = 69) then --69 xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39); elsif(rand'length = 70) then --70 xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53); elsif(rand'length = 71) then --71 xor_out := rand(70) xor rand(64); elsif(rand'length = 72) then --72 xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18); elsif(rand'length = 73) then --73 xor_out := rand(72) xor rand(47); elsif(rand'length = 74) then --74 xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57); elsif(rand'length = 75) then --75 xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63); elsif(rand'length = 76) then --76 xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39); elsif(rand'length = 77) then --77 xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45); elsif(rand'length = 78) then --78 xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57); elsif(rand'length = 79) then --79 xor_out := rand(78) xor rand(69); elsif(rand'length = 80) then --80 xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41); elsif(rand'length = 81) then --81 xor_out := rand(80) xor rand(76); elsif(rand'length = 82) then --82 xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43); elsif(rand'length = 83) then --83 xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36); elsif(rand'length = 84) then --84 xor_out := rand(83) xor rand(70); elsif(rand'length = 85) then --85 xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56); elsif(rand'length = 86) then --86 xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72); elsif(rand'length = 87) then --87 xor_out := rand(86) xor rand(73); elsif(rand'length = 88) then --88 xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15); elsif(rand'length = 89) then --89 xor_out := rand(88) xor rand(50); elsif(rand'length = 90) then --90 xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70); elsif(rand'length = 91) then --91 xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6); elsif(rand'length = 92) then --92 xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78); elsif(rand'length = 93) then --93 xor_out := rand(92) xor rand(90); elsif(rand'length = 94) then --94 xor_out := rand(93) xor rand(72); elsif(rand'length = 95) then --95 xor_out := rand(94) xor rand(83); elsif(rand'length = 96) then --96 xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46); elsif(rand'length = 97) then --97 xor_out := rand(96) xor rand(90); elsif(rand'length = 98) then --98 xor_out := rand(97) xor rand(86); elsif(rand'length = 99) then --99 xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51); elsif(rand'length = 100) then --100 xor_out := rand(99) xor rand(62); elsif(rand'length = 101) then --101 xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93); elsif(rand'length = 102) then --102 xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34); elsif(rand'length = 103) then --103 xor_out := rand(102) xor rand(93); elsif(rand'length = 104) then --104 xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92); elsif(rand'length = 105) then --105 xor_out := rand(104) xor rand(88); elsif(rand'length = 106) then --106 xor_out := rand(105) xor rand(90); elsif(rand'length = 107) then --107 xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41); elsif(rand'length = 108) then --108 xor_out := rand(107) xor rand(76); elsif(rand'length = 109) then --109 xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101); elsif(rand'length = 110)then --110 xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96); elsif(rand'length = 111) then --111 xor_out := rand(110) xor rand(100); elsif(rand'length = 112) then --112 xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66); elsif(rand'length = 113) then --113 xor_out := rand(112) xor rand(103); elsif(rand'length = 114) then --114 xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31); elsif(rand'length = 115) then --115 xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99); elsif(rand'length = 116) then --116 xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44); elsif(rand'length = 117) then --117 xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96); elsif(rand'length = 118) then --118 xor_out := rand(117) xor rand(84); elsif(rand'length = 119) then --119 xor_out := rand(118) xor rand(110); elsif(rand'length = 120) then --120 xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1); elsif(rand'length = 121) then --121 xor_out := rand(120) xor rand(102); elsif(rand'length = 122) then --122 xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61); elsif(rand'length = 123) then --123 xor_out := rand(122) xor rand(120); elsif(rand'length = 124) then --124 xor_out := rand(123) xor rand(86); elsif(rand'length = 125) then --125 xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16); elsif(rand'length = 126) then --126 xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88); elsif(rand'length = 127) then --127 xor_out := rand(126) xor rand(125); elsif(rand'length = 128) then --128 xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98); elsif(rand'length = 129) then --129 xor_out := rand(128) xor rand(123); elsif(rand'length = 130) then --130 xor_out := rand(129) xor rand(126); elsif(rand'length = 131) then --131 xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82); elsif(rand'length = 132) then --132 xor_out := rand(131) xor rand(102); elsif(rand'length = 133) then --133 xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80); elsif(rand'length = 134) then --134 xor_out := rand(133) xor rand(76); elsif(rand'length = 135) then --135 xor_out := rand(134) xor rand(123); elsif(rand'length = 136) then --136 xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9); elsif(rand'length = 137) then --137 xor_out := rand(136) xor rand(115); elsif(rand'length = 138) then --138 xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129); elsif(rand'length = 139) then --139 xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130); elsif(rand'length = 140) then --140 xor_out := rand(139) xor rand(110); elsif(rand'length = 141) then --141 xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108); elsif(rand'length = 142) then --142 xor_out := rand(141) xor rand(120); elsif(rand'length = 143) then --143 xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121); elsif(rand'length = 144) then --144 xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73); elsif(rand'length = 145) then --145 xor_out := rand(144) xor rand(92); elsif(rand'length = 146) then --146 xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85); elsif(rand'length = 147) then --147 xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108); elsif(rand'length = 148) then --148 xor_out := rand(147) xor rand(120); elsif(rand'length = 149) then --149 xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38); elsif(rand'length = 150) then --150 xor_out := rand(149) xor rand(96); elsif(rand'length = 151) then --151 xor_out := rand(150) xor rand(147); elsif(rand'length = 152) then --152 xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85); elsif(rand'length = 153) then --153 xor_out := rand(152) xor rand(151); elsif(rand'length = 154) then --154 xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24); elsif(rand'length = 155) then --155 xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122); elsif(rand'length = 156) then --156 xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39); elsif(rand'length = 157) then --157 xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129); elsif(rand'length = 158) then --158 xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130); elsif(rand'length = 159) then --159 xor_out := rand(158) xor rand(127); elsif(rand'length = 160) then --160 xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140); elsif(rand'length = 161) then --161 xor_out := rand(160) xor rand(142); elsif(rand'length = 162) then --162 xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73); elsif(rand'length = 163) then --163 xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102); elsif(rand'length = 164) then --164 xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149); elsif(rand'length = 165) then --165 xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133); elsif(rand'length = 166) then --166 xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126); elsif(rand'length = 167) then --167 xor_out := rand(166) xor rand(160); elsif(rand'length = 168) then --168 xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150); end if; return xor_out; end xor_gates; --END function for XORing using tap values. end lfsr_pkg; --End of the package.
---------------------------------------------------------------------------- ---- Create Date: 14:30:08 07/28/2010 ---- ---- Design Name: lfsr_pkg ---- ---- Project Name: lfsr_randgen ---- ---- Description: ---- ---- This is the package file used in the lfsr_randgen project.The ---- ---- package contain the function for XORing bits from various tap ---- ---- locations depending on the generic parameter(width of lfsr ) ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the lfsr_randgen project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lfsr_pkg is function xor_gates( random : std_logic_vector) return std_logic; end lfsr_pkg; --Package body starts from here. package body lfsr_pkg is --function for XORing from tap values. function xor_gates( random : std_logic_vector ) return std_logic is variable xor_out : std_logic:='0'; variable rand : std_logic_vector(random'length-1 downto 0):=random; begin if(rand'length = 3) then --3 xor_out := rand(2) xor rand(1); elsif(rand'length = 2) then --2 xor_out := rand(1) xor rand(0); elsif(rand'length = 4) then --4 xor_out := rand(3) xor rand(2); elsif(rand'length = 5) then --5 xor_out := rand(4) xor rand(2); elsif(rand'length = 6) then --6 xor_out := rand(5) xor rand(4); elsif(rand'length = 7) then --7 xor_out := rand(6) xor rand(5); elsif(rand'length = 8) then --8 xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3); elsif(rand'length = 9) then --9 xor_out := rand(8) xor rand(4); elsif(rand'length = 10)then --10 xor_out := rand(9) xor rand(6); elsif(rand'length =11) then --11 xor_out := rand(10) xor rand(8); elsif(rand'length = 12) then --12 xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 13) then --13 xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0); elsif(rand'length = 14) then --14 xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0); elsif(rand'length = 15) then --15 xor_out := rand(14) xor rand(13); elsif(rand'length = 16) then --16 xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3); elsif(rand'length = 17) then --17 xor_out := rand(16) xor rand(13); elsif(rand'length = 18) then --18 xor_out := rand(17) xor rand(10); elsif(rand'length = 19) then --19 xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 20) then --20 xor_out := rand(19) xor rand(16); elsif(rand'length = 21) then --21 xor_out := rand(20) xor rand(18); elsif(rand'length = 22) then --22 xor_out := rand(21) xor rand(20); elsif(rand'length = 23) then --23 xor_out := rand(22) xor rand(17); elsif(rand'length = 24) then --24 xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16); elsif(rand'length = 25) then --25 xor_out := rand(24) xor rand(21); elsif(rand'length = 26) then --26 xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0); elsif(rand'length = 27) then --27 xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0); elsif(rand'length = 28) then --28 xor_out := rand(27) xor rand(24); elsif(rand'length = 29) then --29 xor_out := rand(28) xor rand(26); elsif(rand'length = 30) then --30 xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0); elsif(rand'length = 31) then --31 xor_out := rand(30) xor rand(27); elsif(rand'length = 32) then --32 xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0); elsif(rand'length = 33) then --33 xor_out := rand(32) xor rand(19); elsif(rand'length = 34) then --34 xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0); elsif(rand'length = 35) then --35 xor_out := rand(34) xor rand(32); elsif(rand'length = 36) then --36 xor_out := rand(35) xor rand(24); elsif(rand'length = 37) then --37 xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0); elsif(rand'length = 38) then --38 xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0); elsif(rand'length = 39) then --39 xor_out := rand(38) xor rand(34); elsif(rand'length = 40) then --40 xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18); elsif(rand'length = 41) then --41 xor_out := rand(40) xor rand(37); elsif(rand'length = 42) then --42 xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18); elsif(rand'length = 43) then --43 xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36); elsif(rand'length = 44) then --44 xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16); elsif(rand'length = 45) then --45 xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40); elsif(rand'length = 46) then --46 xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24); elsif(rand'length = 47) then --47 xor_out := rand(46) xor rand(41); elsif(rand'length = 48) then --48 xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19); elsif(rand'length = 49) then --49 xor_out := rand(48) xor rand(39); elsif(rand'length = 50) then --50 xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22); elsif(rand'length = 51) then --51 xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34); elsif(rand'length = 52) then --52 xor_out := rand(51) xor rand(48); elsif(rand'length = 53) then --53 xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36); elsif(rand'length = 54) then --54 xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16); elsif(rand'length = 55) then --55 xor_out := rand(54) xor rand(30); elsif(rand'length = 56) then --56 xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33); elsif(rand'length = 57) then --57 xor_out := rand(56) xor rand(49); elsif(rand'length = 58) then --58 xor_out := rand(57) xor rand(38); elsif(rand'length = 59) then --59 xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36); elsif(rand'length = 60) then --60 xor_out := rand(59) xor rand(58); elsif(rand'length = 61) then --61 xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44); elsif(rand'length = 62) then --62 xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4); elsif(rand'length = 63) then --63 xor_out := rand(62) xor rand(61); elsif(rand'length = 64) then --64 xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59); elsif(rand'length = 65) then --65 xor_out := rand(64) xor rand(46); elsif(rand'length = 66) then --66 xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55); elsif(rand'length = 67) then --67 xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56); elsif(rand'length = 68) then --68 xor_out := rand(67) xor rand(58); elsif(rand'length = 69) then --69 xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39); elsif(rand'length = 70) then --70 xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53); elsif(rand'length = 71) then --71 xor_out := rand(70) xor rand(64); elsif(rand'length = 72) then --72 xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18); elsif(rand'length = 73) then --73 xor_out := rand(72) xor rand(47); elsif(rand'length = 74) then --74 xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57); elsif(rand'length = 75) then --75 xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63); elsif(rand'length = 76) then --76 xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39); elsif(rand'length = 77) then --77 xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45); elsif(rand'length = 78) then --78 xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57); elsif(rand'length = 79) then --79 xor_out := rand(78) xor rand(69); elsif(rand'length = 80) then --80 xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41); elsif(rand'length = 81) then --81 xor_out := rand(80) xor rand(76); elsif(rand'length = 82) then --82 xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43); elsif(rand'length = 83) then --83 xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36); elsif(rand'length = 84) then --84 xor_out := rand(83) xor rand(70); elsif(rand'length = 85) then --85 xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56); elsif(rand'length = 86) then --86 xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72); elsif(rand'length = 87) then --87 xor_out := rand(86) xor rand(73); elsif(rand'length = 88) then --88 xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15); elsif(rand'length = 89) then --89 xor_out := rand(88) xor rand(50); elsif(rand'length = 90) then --90 xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70); elsif(rand'length = 91) then --91 xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6); elsif(rand'length = 92) then --92 xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78); elsif(rand'length = 93) then --93 xor_out := rand(92) xor rand(90); elsif(rand'length = 94) then --94 xor_out := rand(93) xor rand(72); elsif(rand'length = 95) then --95 xor_out := rand(94) xor rand(83); elsif(rand'length = 96) then --96 xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46); elsif(rand'length = 97) then --97 xor_out := rand(96) xor rand(90); elsif(rand'length = 98) then --98 xor_out := rand(97) xor rand(86); elsif(rand'length = 99) then --99 xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51); elsif(rand'length = 100) then --100 xor_out := rand(99) xor rand(62); elsif(rand'length = 101) then --101 xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93); elsif(rand'length = 102) then --102 xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34); elsif(rand'length = 103) then --103 xor_out := rand(102) xor rand(93); elsif(rand'length = 104) then --104 xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92); elsif(rand'length = 105) then --105 xor_out := rand(104) xor rand(88); elsif(rand'length = 106) then --106 xor_out := rand(105) xor rand(90); elsif(rand'length = 107) then --107 xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41); elsif(rand'length = 108) then --108 xor_out := rand(107) xor rand(76); elsif(rand'length = 109) then --109 xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101); elsif(rand'length = 110)then --110 xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96); elsif(rand'length = 111) then --111 xor_out := rand(110) xor rand(100); elsif(rand'length = 112) then --112 xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66); elsif(rand'length = 113) then --113 xor_out := rand(112) xor rand(103); elsif(rand'length = 114) then --114 xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31); elsif(rand'length = 115) then --115 xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99); elsif(rand'length = 116) then --116 xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44); elsif(rand'length = 117) then --117 xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96); elsif(rand'length = 118) then --118 xor_out := rand(117) xor rand(84); elsif(rand'length = 119) then --119 xor_out := rand(118) xor rand(110); elsif(rand'length = 120) then --120 xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1); elsif(rand'length = 121) then --121 xor_out := rand(120) xor rand(102); elsif(rand'length = 122) then --122 xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61); elsif(rand'length = 123) then --123 xor_out := rand(122) xor rand(120); elsif(rand'length = 124) then --124 xor_out := rand(123) xor rand(86); elsif(rand'length = 125) then --125 xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16); elsif(rand'length = 126) then --126 xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88); elsif(rand'length = 127) then --127 xor_out := rand(126) xor rand(125); elsif(rand'length = 128) then --128 xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98); elsif(rand'length = 129) then --129 xor_out := rand(128) xor rand(123); elsif(rand'length = 130) then --130 xor_out := rand(129) xor rand(126); elsif(rand'length = 131) then --131 xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82); elsif(rand'length = 132) then --132 xor_out := rand(131) xor rand(102); elsif(rand'length = 133) then --133 xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80); elsif(rand'length = 134) then --134 xor_out := rand(133) xor rand(76); elsif(rand'length = 135) then --135 xor_out := rand(134) xor rand(123); elsif(rand'length = 136) then --136 xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9); elsif(rand'length = 137) then --137 xor_out := rand(136) xor rand(115); elsif(rand'length = 138) then --138 xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129); elsif(rand'length = 139) then --139 xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130); elsif(rand'length = 140) then --140 xor_out := rand(139) xor rand(110); elsif(rand'length = 141) then --141 xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108); elsif(rand'length = 142) then --142 xor_out := rand(141) xor rand(120); elsif(rand'length = 143) then --143 xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121); elsif(rand'length = 144) then --144 xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73); elsif(rand'length = 145) then --145 xor_out := rand(144) xor rand(92); elsif(rand'length = 146) then --146 xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85); elsif(rand'length = 147) then --147 xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108); elsif(rand'length = 148) then --148 xor_out := rand(147) xor rand(120); elsif(rand'length = 149) then --149 xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38); elsif(rand'length = 150) then --150 xor_out := rand(149) xor rand(96); elsif(rand'length = 151) then --151 xor_out := rand(150) xor rand(147); elsif(rand'length = 152) then --152 xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85); elsif(rand'length = 153) then --153 xor_out := rand(152) xor rand(151); elsif(rand'length = 154) then --154 xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24); elsif(rand'length = 155) then --155 xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122); elsif(rand'length = 156) then --156 xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39); elsif(rand'length = 157) then --157 xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129); elsif(rand'length = 158) then --158 xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130); elsif(rand'length = 159) then --159 xor_out := rand(158) xor rand(127); elsif(rand'length = 160) then --160 xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140); elsif(rand'length = 161) then --161 xor_out := rand(160) xor rand(142); elsif(rand'length = 162) then --162 xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73); elsif(rand'length = 163) then --163 xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102); elsif(rand'length = 164) then --164 xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149); elsif(rand'length = 165) then --165 xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133); elsif(rand'length = 166) then --166 xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126); elsif(rand'length = 167) then --167 xor_out := rand(166) xor rand(160); elsif(rand'length = 168) then --168 xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150); end if; return xor_out; end xor_gates; --END function for XORing using tap values. end lfsr_pkg; --End of the package.
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SignalShorter_16x1 is Port ( input : in STD_LOGIC_VECTOR (15 downto 0); output : out STD_LOGIC); end SignalShorter_16x1; architecture skeleton of SignalShorter_16x1 is begin process(input) is variable verifier : STD_LOGIC; begin verifier := '0'; for i in 15 downto 0 loop if(input(i) = '1') then verifier := '1'; end if; end loop; output <= verifier; end process; end skeleton;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_NORMFP1X.VHD *** --*** *** --*** Function: Normalize single precision *** --*** number *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 28/12/07 - divider target uses all of *** --*** mantissa width *** --*** 06/02/08 - fix divider norm *** --*** 21/03/08 - fix add tree output norm *** --*** *** --*************************************************** -- normalize signed numbers (x input format) - for 1x multipliers -- format signed32/36 bit mantissa, 10 bit exponent -- unsigned numbers for divider (S,1,23 bit mantissa for divider) -- divider packed into 32/36bit mantissa + exponent ENTITY hcc_normfp1x IS GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_normfp1x; ARCHITECTURE rtl OF hcc_normfp1x IS type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); -- scale signal aasatff, aazipff : STD_LOGIC; signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1); -- normalize signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1); signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1); signal exptopff, expbotff : expfftype; signal aasatdelff, aazipdelff : STD_LOGIC_VECTOR (5 DOWNTO 1); signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1); signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1); signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1); signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1); component hcc_normsgn3236 GENERIC ( mantissa : positive := 32; normspeed : positive := 1 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1); fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1) ); end component; component hcc_scmul3236 GENERIC (mantissa : positive := 32); PORT ( frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1); scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1); count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1) ); end component; BEGIN --******************************************************** --*** scale multiplier *** --*** multiplier format [S][1][mantissa....] *** --*** one clock latency *** --******************************************************** -- make sure right format & adjust exponent gsa: IF (inputnormalize = 0) GENERATE psa: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; aasatff <= '0'; aazipff <= '0'; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; aasatff <= aasat; aazipff <= aazip; END IF; END IF; END PROCESS; -- no rounding when scaling sma: hcc_scmul3236 GENERIC MAP (mantissa=>mantissa) PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11), scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa); ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa); cc <= ccnode; ccsat <= aasatff; cczip <= aazipff; END GENERATE; --******************************************************** --*** full normalization of input - 4 stages *** --*** unlike double, no round required on output, as *** --*** no information lost *** --******************************************************** gna: IF (inputnormalize = 1) GENERATE -- normalize gza: FOR k IN 1 TO mantissa-1 GENERATE zerovec(k) <= '0'; END GENERATE; -- if multiplier, "1" which is nominally in position 27, is shifted to position 31 -- add 4 to exponent when multiplier, 0 for adder gxa: IF (target < 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; gxb: IF (target = 2) GENERATE countadjust <= conv_std_logic_vector (4,10); END GENERATE; pna: PROCESS (sysclk, reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO mantissa+10 LOOP aaff(k) <= '0'; END LOOP; FOR k IN 1 TO mantissa LOOP normfracff(k) <= '0'; normff(k) <= '0'; END LOOP; FOR k IN 1 TO 10 LOOP exptopff(1)(k) <= '0'; exptopff(2)(k) <= '0'; expbotff(1)(k) <= '0'; expbotff(2)(k) <= '0'; END LOOP; FOR k IN 1 TO 5 LOOP aasatdelff(k) <= '0'; aazipdelff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN aaff <= aa; normfracff <= normfracnode; --might not get used normff <= normnode; exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust; exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign); --might not get used expbotff(1)(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); aasatdelff(1) <= aasat; aazipdelff(1) <= aazip; FOR k IN 2 TO 5 LOOP -- 4&5 might not get used aasatdelff(k) <= aasatdelff(k-1); aazipdelff(k) <= aazipdelff(k-1); END LOOP; END IF; END IF; END PROCESS; nrmc: hcc_normsgn3236 GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed) PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable, fracin=>aaff(mantissa+10 DOWNTO 11), countout=>countsign, -- stage 1 or 2 fracout=>normfracnode); -- stage 2 or 3 gnb: IF (target = 1) GENERATE gnc: FOR k IN 1 TO mantissa GENERATE normsignnode(k) <= normfracff(k) XOR normfracff(mantissa); END GENERATE; normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) + (zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa)); -- 06/02/08 make sure signbit is packed with the mantissa normnode(mantissa) <= normfracff(mantissa); --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normff; ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1); ccsat <= aasatdelff(3+normspeed); cczip <= aazipdelff(3+normspeed); END GENERATE; gnc: IF (target = 0) GENERATE --*** OUTPUTS *** ccnode(mantissa+10 DOWNTO 11) <= normfracff; gma: IF (normspeed = 1) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gmb: IF (normspeed > 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed); cczip <= aazipdelff(2+normspeed); END GENERATE; gnd: IF (target = 2) GENERATE gaa: IF (roundnormalize = 1) GENERATE normnode <= (normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5)) + (zerovec(mantissa-1 DOWNTO 1) & normfracff(4)); END GENERATE; --*** OUTPUTS *** gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa) & normfracff(mantissa DOWNTO 5); END GENERATE; gac: IF (roundnormalize = 1) GENERATE ccnode(mantissa+10 DOWNTO 11) <= normff; END GENERATE; gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE ccnode(10 DOWNTO 1) <= exptopff(2)(10 DOWNTO 1); END GENERATE; gae: IF ((normspeed = 2 AND roundnormalize = 0) OR (normspeed = 1 AND roundnormalize = 1)) GENERATE ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1); END GENERATE; gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1); END GENERATE; ccsat <= aasatdelff(2+normspeed+roundnormalize); cczip <= aazipdelff(2+normspeed+roundnormalize); END GENERATE; cc <= ccnode; END GENERATE; --*** DEBUG *** aaexp <= aa(10 DOWNTO 1); aaman <= aa(mantissa+10 DOWNTO 11); ccexp <= ccnode(10 DOWNTO 1); ccman <= ccnode(mantissa+10 DOWNTO 11); END rtl;
-- --ROMsUsingBlockRAMResources. --VHDLcodeforaROMwithregisteredoutput(template2) -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity os16 is port( clock:in std_logic; address:in std_logic_vector(13 downto 0); q:out std_logic_vector(7 downto 0) ); end os16; architecture syn of os16 is type rom_type is array(0 to 16383) of std_logic_vector(7 downto 0); signal ROM:rom_type:= ( X"11", X"92", X"10", X"05", X"83", X"00", X"42", X"42", X"00", X"00", X"01", X"02", X"a9", X"40", X"8d", X"0e", X"d4", X"ad", X"13", X"d0", X"8d", X"fa", X"03", X"60", X"2c", X"0f", X"d4", X"10", X"03", X"6c", X"00", X"02", X"d8", X"48", X"8a", X"48", X"98", X"48", X"8d", X"0f", X"d4", X"6c", X"22", X"02", X"d8", X"6c", X"16", X"02", X"48", X"ad", X"0e", X"d2", X"29", X"20", X"d0", X"0d", X"a9", X"df", X"8d", X"0e", X"d2", X"a5", X"10", X"8d", X"0e", X"d2", X"6c", X"0a", X"02", X"8a", X"48", X"ad", X"ff", X"d1", X"2d", X"49", X"02", X"f0", X"03", X"6c", X"38", X"02", X"a2", X"06", X"bd", X"cf", X"c0", X"e0", X"05", X"d0", X"04", X"25", X"10", X"f0", X"05", X"2c", X"0e", X"d2", X"f0", X"06", X"ca", X"10", X"ed", X"4c", X"a0", X"c0", X"49", X"ff", X"8d", X"0e", X"d2", X"a5", X"10", X"8d", X"0e", X"d2", X"e0", X"00", X"d0", X"05", X"ad", X"6d", X"02", X"d0", X"23", X"bd", X"d7", X"c0", X"aa", X"bd", X"00", X"02", X"8d", X"8c", X"02", X"bd", X"01", X"02", X"8d", X"8d", X"02", X"68", X"aa", X"6c", X"8c", X"02", X"a9", X"00", X"85", X"11", X"8d", X"ff", X"02", X"8d", X"f0", X"02", X"85", X"4d", X"68", X"40", X"68", X"aa", X"2c", X"02", X"d3", X"10", X"06", X"ad", X"00", X"d3", X"6c", X"02", X"02", X"2c", X"03", X"d3", X"10", X"06", X"ad", X"01", X"d3", X"6c", X"04", X"02", X"68", X"8d", X"8c", X"02", X"68", X"48", X"29", X"10", X"f0", X"07", X"ad", X"8c", X"02", X"48", X"6c", X"06", X"02", X"ad", X"8c", X"02", X"48", X"68", X"40", X"80", X"40", X"04", X"02", X"01", X"08", X"10", X"20", X"36", X"08", X"14", X"12", X"10", X"0e", X"0c", X"0a", X"4c", X"df", X"c0", X"e6", X"14", X"d0", X"08", X"e6", X"4d", X"e6", X"13", X"d0", X"02", X"e6", X"12", X"a9", X"fe", X"a2", X"00", X"a4", X"4d", X"10", X"06", X"85", X"4d", X"a6", X"13", X"a9", X"f6", X"85", X"4e", X"86", X"4f", X"ad", X"c5", X"02", X"45", X"4f", X"25", X"4e", X"8d", X"17", X"d0", X"a2", X"00", X"20", X"55", X"c2", X"d0", X"03", X"20", X"4f", X"c2", X"a5", X"42", X"d0", X"08", X"ba", X"bd", X"04", X"01", X"29", X"04", X"f0", X"03", X"4c", X"8a", X"c2", X"ad", X"13", X"d0", X"cd", X"fa", X"03", X"d0", X"b4", X"ad", X"0d", X"d4", X"8d", X"35", X"02", X"ad", X"0c", X"d4", X"8d", X"34", X"02", X"ad", X"31", X"02", X"8d", X"03", X"d4", X"ad", X"30", X"02", X"8d", X"02", X"d4", X"ad", X"2f", X"02", X"8d", X"00", X"d4", X"ad", X"6f", X"02", X"8d", X"1b", X"d0", X"ad", X"6c", X"02", X"f0", X"0e", X"ce", X"6c", X"02", X"a9", X"08", X"38", X"ed", X"6c", X"02", X"29", X"07", X"8d", X"05", X"d4", X"a2", X"08", X"8e", X"1f", X"d0", X"58", X"bd", X"c0", X"02", X"45", X"4f", X"25", X"4e", X"9d", X"12", X"d0", X"ca", X"10", X"f2", X"ad", X"f4", X"02", X"8d", X"09", X"d4", X"ad", X"f3", X"02", X"8d", X"01", X"d4", X"a2", X"02", X"20", X"55", X"c2", X"d0", X"03", X"20", X"52", X"c2", X"a2", X"02", X"e8", X"e8", X"bd", X"18", X"02", X"1d", X"19", X"02", X"f0", X"06", X"20", X"55", X"c2", X"9d", X"26", X"02", X"e0", X"08", X"d0", X"ec", X"ad", X"0f", X"d2", X"29", X"04", X"f0", X"08", X"ad", X"f1", X"02", X"f0", X"03", X"ce", X"f1", X"02", X"ad", X"2b", X"02", X"f0", X"3e", X"ad", X"0f", X"d2", X"29", X"04", X"d0", X"32", X"ce", X"2b", X"02", X"d0", X"32", X"ad", X"6d", X"02", X"d0", X"2d", X"ad", X"da", X"02", X"8d", X"2b", X"02", X"ad", X"09", X"d2", X"c9", X"9f", X"f0", X"20", X"c9", X"83", X"f0", X"1c", X"c9", X"84", X"f0", X"18", X"c9", X"94", X"f0", X"14", X"29", X"3f", X"c9", X"11", X"f0", X"0e", X"ad", X"09", X"d2", X"8d", X"fc", X"02", X"4c", X"f3", X"c1", X"a9", X"00", X"8d", X"2b", X"02", X"ad", X"00", X"d3", X"4a", X"4a", X"4a", X"4a", X"8d", X"79", X"02", X"8d", X"7b", X"02", X"ad", X"00", X"d3", X"29", X"0f", X"8d", X"78", X"02", X"8d", X"7a", X"02", X"ad", X"10", X"d0", X"8d", X"84", X"02", X"8d", X"86", X"02", X"ad", X"11", X"d0", X"8d", X"85", X"02", X"8d", X"87", X"02", X"a2", X"03", X"bd", X"00", X"d2", X"9d", X"70", X"02", X"9d", X"74", X"02", X"ca", X"10", X"f4", X"8d", X"0b", X"d2", X"a2", X"02", X"a0", X"01", X"b9", X"78", X"02", X"4a", X"4a", X"4a", X"9d", X"7d", X"02", X"9d", X"81", X"02", X"a9", X"00", X"2a", X"9d", X"7c", X"02", X"9d", X"80", X"02", X"ca", X"ca", X"88", X"10", X"e6", X"6c", X"24", X"02", X"6c", X"26", X"02", X"6c", X"28", X"02", X"bc", X"18", X"02", X"d0", X"08", X"bc", X"19", X"02", X"f0", X"10", X"de", X"19", X"02", X"de", X"18", X"02", X"d0", X"08", X"bc", X"19", X"02", X"d0", X"03", X"a9", X"00", X"60", X"a9", X"ff", X"60", X"0a", X"8d", X"2d", X"02", X"8a", X"a2", X"05", X"8d", X"0a", X"d4", X"ca", X"d0", X"fd", X"ae", X"2d", X"02", X"9d", X"17", X"02", X"98", X"9d", X"16", X"02", X"60", X"68", X"a8", X"68", X"aa", X"68", X"40", X"78", X"ad", X"13", X"d0", X"cd", X"fa", X"03", X"d0", X"2f", X"6a", X"90", X"05", X"20", X"c9", X"c4", X"d0", X"27", X"ad", X"44", X"02", X"d0", X"22", X"a9", X"ff", X"d0", X"20", X"78", X"a2", X"8c", X"88", X"d0", X"fd", X"ca", X"d0", X"fa", X"ad", X"3d", X"03", X"c9", X"5c", X"d0", X"0e", X"ad", X"3e", X"03", X"c9", X"93", X"d0", X"07", X"ad", X"3f", X"03", X"c9", X"25", X"f0", X"c8", X"a9", X"00", X"85", X"08", X"78", X"d8", X"a2", X"ff", X"9a", X"20", X"71", X"c4", X"a9", X"01", X"85", X"01", X"a5", X"08", X"d0", X"52", X"a9", X"00", X"a0", X"08", X"85", X"04", X"85", X"05", X"a9", X"ff", X"91", X"04", X"d1", X"04", X"f0", X"02", X"46", X"01", X"a9", X"00", X"91", X"04", X"d1", X"04", X"f0", X"02", X"46", X"01", X"c8", X"d0", X"e9", X"e6", X"05", X"a6", X"05", X"e4", X"06", X"d0", X"e1", X"a9", X"23", X"85", X"0a", X"a9", X"f2", X"85", X"0b", X"ad", X"01", X"d3", X"29", X"7f", X"8d", X"01", X"d3", X"20", X"73", X"ff", X"b0", X"05", X"20", X"92", X"ff", X"90", X"02", X"46", X"01", X"ad", X"01", X"d3", X"09", X"80", X"8d", X"01", X"d3", X"a9", X"ff", X"8d", X"44", X"02", X"d0", X"22", X"a2", X"00", X"ad", X"ec", X"03", X"f0", X"07", X"8e", X"0e", X"00", X"8e", X"0f", X"00", X"8a", X"9d", X"00", X"02", X"e0", X"ed", X"b0", X"03", X"9d", X"00", X"03", X"ca", X"d0", X"f3", X"a2", X"10", X"95", X"00", X"e8", X"10", X"fb", X"a2", X"00", X"ad", X"01", X"d3", X"29", X"02", X"f0", X"01", X"e8", X"8e", X"f8", X"03", X"a9", X"5c", X"8d", X"3d", X"03", X"a9", X"93", X"8d", X"3e", X"03", X"a9", X"25", X"8d", X"3f", X"03", X"a9", X"02", X"85", X"52", X"a9", X"27", X"85", X"53", X"ad", X"14", X"d0", X"29", X"0e", X"d0", X"08", X"a9", X"05", X"a2", X"01", X"a0", X"28", X"d0", X"06", X"a9", X"06", X"a2", X"00", X"a0", X"30", X"8d", X"da", X"02", X"86", X"62", X"8c", X"d9", X"02", X"a2", X"25", X"bd", X"4b", X"c4", X"9d", X"00", X"02", X"ca", X"10", X"f7", X"a2", X"0e", X"bd", X"2e", X"c4", X"9d", X"1a", X"03", X"ca", X"10", X"f7", X"20", X"35", X"c5", X"58", X"a5", X"01", X"d0", X"15", X"ad", X"01", X"d3", X"29", X"7f", X"8d", X"01", X"d3", X"a9", X"02", X"8d", X"f3", X"02", X"a9", X"e0", X"8d", X"f4", X"02", X"4c", X"03", X"50", X"a2", X"00", X"86", X"06", X"ae", X"e4", X"02", X"e0", X"b0", X"b0", X"0d", X"ae", X"fc", X"bf", X"d0", X"08", X"e6", X"06", X"20", X"c9", X"c4", X"20", X"29", X"c4", X"a9", X"03", X"a2", X"00", X"9d", X"42", X"03", X"a9", X"48", X"9d", X"44", X"03", X"a9", X"c4", X"9d", X"45", X"03", X"a9", X"0c", X"9d", X"4a", X"03", X"20", X"56", X"e4", X"10", X"03", X"4c", X"aa", X"c2", X"e8", X"d0", X"fd", X"c8", X"10", X"fa", X"20", X"6e", X"c6", X"a5", X"06", X"f0", X"06", X"ad", X"fd", X"bf", X"6a", X"90", X"06", X"20", X"8b", X"c5", X"20", X"39", X"e7", X"a9", X"00", X"8d", X"44", X"02", X"a5", X"06", X"f0", X"0a", X"ad", X"fd", X"bf", X"29", X"04", X"f0", X"03", X"6c", X"fa", X"bf", X"6c", X"0a", X"00", X"6c", X"fe", X"bf", X"18", X"60", X"50", X"30", X"e4", X"43", X"40", X"e4", X"45", X"00", X"e4", X"53", X"10", X"e4", X"4b", X"20", X"e4", X"42", X"4f", X"4f", X"54", X"20", X"45", X"52", X"52", X"4f", X"52", X"9b", X"45", X"3a", X"9b", X"ce", X"c0", X"cd", X"c0", X"cd", X"c0", X"cd", X"c0", X"19", X"fc", X"2c", X"eb", X"ad", X"ea", X"ec", X"ea", X"cd", X"c0", X"cd", X"c0", X"cd", X"c0", X"30", X"c0", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"e2", X"c0", X"8a", X"c2", X"ad", X"13", X"d0", X"6a", X"90", X"0d", X"ad", X"fc", X"bf", X"d0", X"08", X"ad", X"fd", X"bf", X"10", X"03", X"6c", X"fe", X"bf", X"20", X"da", X"c4", X"ad", X"01", X"d3", X"09", X"02", X"8d", X"01", X"d3", X"a5", X"08", X"f0", X"07", X"ad", X"f8", X"03", X"d0", X"11", X"f0", X"07", X"ad", X"1f", X"d0", X"29", X"04", X"f0", X"08", X"ad", X"01", X"d3", X"29", X"fd", X"8d", X"01", X"d3", X"a9", X"00", X"a8", X"85", X"05", X"a9", X"28", X"85", X"06", X"b1", X"05", X"49", X"ff", X"91", X"05", X"d1", X"05", X"d0", X"0c", X"49", X"ff", X"91", X"05", X"d1", X"05", X"d0", X"04", X"e6", X"06", X"d0", X"ea", X"60", X"a9", X"00", X"aa", X"18", X"7d", X"f0", X"bf", X"e8", X"d0", X"fa", X"cd", X"eb", X"03", X"8d", X"eb", X"03", X"60", X"a9", X"00", X"aa", X"8d", X"03", X"d3", X"9d", X"00", X"d0", X"9d", X"00", X"d4", X"9d", X"00", X"d2", X"e0", X"01", X"f0", X"03", X"9d", X"00", X"d3", X"e8", X"d0", X"ed", X"a9", X"3c", X"8d", X"03", X"d3", X"a9", X"ff", X"8d", X"01", X"d3", X"a9", X"38", X"8d", X"02", X"d3", X"8d", X"03", X"d3", X"a9", X"00", X"8d", X"00", X"d3", X"a9", X"ff", X"8d", X"01", X"d3", X"a9", X"3c", X"8d", X"02", X"d3", X"8d", X"03", X"d3", X"ad", X"01", X"d3", X"ad", X"00", X"d3", X"a9", X"22", X"8d", X"0f", X"d2", X"a9", X"a0", X"8d", X"05", X"d2", X"8d", X"07", X"d2", X"a9", X"28", X"8d", X"08", X"d2", X"a9", X"ff", X"8d", X"0d", X"d2", X"60", X"c6", X"11", X"a9", X"92", X"8d", X"36", X"02", X"a9", X"c0", X"8d", X"37", X"02", X"a5", X"06", X"8d", X"e4", X"02", X"8d", X"e6", X"02", X"a9", X"00", X"8d", X"e5", X"02", X"a9", X"00", X"8d", X"e7", X"02", X"a9", X"07", X"8d", X"e8", X"02", X"20", X"0c", X"e4", X"20", X"1c", X"e4", X"20", X"2c", X"e4", X"20", X"3c", X"e4", X"20", X"4c", X"e4", X"20", X"6e", X"e4", X"20", X"65", X"e4", X"20", X"6b", X"e4", X"20", X"50", X"e4", X"a9", X"6e", X"8d", X"38", X"02", X"a9", X"c9", X"8d", X"39", X"02", X"20", X"9b", X"e4", X"ad", X"1f", X"d0", X"29", X"01", X"49", X"01", X"8d", X"e9", X"03", X"60", X"a5", X"08", X"f0", X"09", X"a5", X"09", X"29", X"01", X"f0", X"33", X"4c", X"3b", X"c6", X"a9", X"01", X"8d", X"01", X"03", X"a9", X"53", X"8d", X"02", X"03", X"20", X"53", X"e4", X"30", X"21", X"a9", X"00", X"8d", X"0b", X"03", X"a9", X"01", X"8d", X"0a", X"03", X"a9", X"00", X"8d", X"04", X"03", X"a9", X"04", X"8d", X"05", X"03", X"20", X"59", X"c6", X"10", X"09", X"20", X"3e", X"c6", X"ad", X"ea", X"03", X"f0", X"df", X"60", X"a2", X"03", X"bd", X"00", X"04", X"9d", X"40", X"02", X"ca", X"10", X"f7", X"ad", X"42", X"02", X"85", X"04", X"ad", X"43", X"02", X"85", X"05", X"ad", X"04", X"04", X"85", X"0c", X"ad", X"05", X"04", X"85", X"0d", X"a0", X"7f", X"b9", X"00", X"04", X"91", X"04", X"88", X"10", X"f8", X"18", X"a5", X"04", X"69", X"80", X"85", X"04", X"a5", X"05", X"69", X"00", X"85", X"05", X"ce", X"41", X"02", X"f0", X"12", X"ee", X"0a", X"03", X"20", X"59", X"c6", X"10", X"dc", X"20", X"3e", X"c6", X"ad", X"ea", X"03", X"d0", X"ac", X"f0", X"f1", X"ad", X"ea", X"03", X"f0", X"03", X"20", X"59", X"c6", X"20", X"29", X"c6", X"b0", X"9d", X"20", X"3b", X"c6", X"e6", X"09", X"60", X"18", X"ad", X"42", X"02", X"69", X"06", X"85", X"04", X"ad", X"43", X"02", X"69", X"00", X"85", X"05", X"6c", X"04", X"00", X"6c", X"0c", X"00", X"a2", X"3d", X"a0", X"c4", X"8a", X"a2", X"00", X"9d", X"44", X"03", X"98", X"9d", X"45", X"03", X"a9", X"09", X"9d", X"42", X"03", X"a9", X"ff", X"9d", X"48", X"03", X"4c", X"56", X"e4", X"ad", X"ea", X"03", X"f0", X"03", X"4c", X"7a", X"e4", X"a9", X"52", X"8d", X"02", X"03", X"a9", X"01", X"8d", X"01", X"03", X"4c", X"53", X"e4", X"a5", X"08", X"f0", X"09", X"a5", X"09", X"29", X"02", X"f0", X"27", X"4c", X"a0", X"c6", X"ad", X"e9", X"03", X"f0", X"1f", X"a9", X"80", X"85", X"3e", X"ee", X"ea", X"03", X"20", X"7d", X"e4", X"20", X"bb", X"c5", X"a9", X"00", X"8d", X"ea", X"03", X"8d", X"e9", X"03", X"06", X"09", X"a5", X"0c", X"85", X"02", X"a5", X"0d", X"85", X"03", X"60", X"6c", X"02", X"00", X"a9", X"a0", X"8d", X"46", X"02", X"a9", X"80", X"8d", X"d5", X"02", X"a9", X"00", X"8d", X"d6", X"02", X"60", X"a9", X"31", X"8d", X"00", X"03", X"ad", X"46", X"02", X"ae", X"02", X"03", X"e0", X"21", X"f0", X"02", X"a9", X"07", X"8d", X"06", X"03", X"a2", X"40", X"ad", X"02", X"03", X"c9", X"50", X"f0", X"04", X"c9", X"57", X"d0", X"02", X"a2", X"80", X"c9", X"53", X"d0", X"10", X"a9", X"ea", X"8d", X"04", X"03", X"a9", X"02", X"8d", X"05", X"03", X"a0", X"04", X"a9", X"00", X"f0", X"06", X"ac", X"d5", X"02", X"ad", X"d6", X"02", X"8e", X"03", X"03", X"8c", X"08", X"03", X"8d", X"09", X"03", X"20", X"59", X"e4", X"10", X"01", X"60", X"ad", X"02", X"03", X"c9", X"53", X"d0", X"0a", X"20", X"3a", X"c7", X"a0", X"02", X"b1", X"15", X"8d", X"46", X"02", X"ad", X"02", X"03", X"c9", X"21", X"d0", X"1f", X"20", X"3a", X"c7", X"a0", X"fe", X"c8", X"c8", X"b1", X"15", X"c9", X"ff", X"d0", X"f8", X"c8", X"b1", X"15", X"c8", X"c9", X"ff", X"d0", X"f2", X"88", X"88", X"8c", X"08", X"03", X"a9", X"00", X"8d", X"09", X"03", X"ac", X"03", X"03", X"60", X"ad", X"04", X"03", X"85", X"15", X"ad", X"05", X"03", X"85", X"16", X"60", X"a2", X"05", X"a9", X"00", X"9d", X"c9", X"02", X"ca", X"10", X"f8", X"a9", X"00", X"8d", X"33", X"02", X"20", X"cf", X"c7", X"a0", X"9c", X"b0", X"39", X"8d", X"88", X"02", X"20", X"cf", X"c7", X"a0", X"9c", X"b0", X"2f", X"8d", X"45", X"02", X"ad", X"88", X"02", X"c9", X"0b", X"f0", X"26", X"2a", X"aa", X"bd", X"e4", X"c8", X"8d", X"c9", X"02", X"bd", X"e5", X"c8", X"8d", X"ca", X"02", X"ad", X"45", X"02", X"cd", X"33", X"02", X"f0", X"ca", X"20", X"cf", X"c7", X"a0", X"9c", X"b0", X"08", X"20", X"d2", X"c7", X"ee", X"33", X"02", X"d0", X"e9", X"60", X"20", X"cf", X"c7", X"a0", X"9c", X"b0", X"2c", X"8d", X"c9", X"02", X"20", X"cf", X"c7", X"a0", X"9c", X"b0", X"22", X"8d", X"ca", X"02", X"ad", X"45", X"02", X"c9", X"01", X"f0", X"16", X"90", X"17", X"18", X"ad", X"c9", X"02", X"6d", X"d1", X"02", X"a8", X"ad", X"ca", X"02", X"6d", X"d2", X"02", X"8c", X"c9", X"02", X"8d", X"ca", X"02", X"a0", X"01", X"60", X"a0", X"00", X"a9", X"00", X"f0", X"f1", X"6c", X"cf", X"02", X"6c", X"c9", X"02", X"ac", X"33", X"02", X"c0", X"01", X"f0", X"0a", X"b0", X"73", X"8d", X"4a", X"02", X"8d", X"8e", X"02", X"90", X"6a", X"8d", X"4b", X"02", X"8d", X"8f", X"02", X"a2", X"00", X"ad", X"88", X"02", X"f0", X"06", X"c9", X"0a", X"f0", X"15", X"a2", X"02", X"18", X"ad", X"4a", X"02", X"7d", X"d1", X"02", X"8d", X"8e", X"02", X"ad", X"4b", X"02", X"7d", X"d2", X"02", X"8d", X"8f", X"02", X"18", X"ad", X"8e", X"02", X"6d", X"45", X"02", X"48", X"a9", X"00", X"6d", X"8f", X"02", X"a8", X"68", X"38", X"e9", X"02", X"b0", X"01", X"88", X"48", X"98", X"dd", X"cc", X"02", X"68", X"90", X"10", X"d0", X"05", X"dd", X"cb", X"02", X"90", X"09", X"9d", X"cb", X"02", X"48", X"98", X"9d", X"cc", X"02", X"68", X"ae", X"88", X"02", X"e0", X"01", X"f0", X"10", X"cc", X"e6", X"02", X"90", X"0b", X"d0", X"05", X"cd", X"e5", X"02", X"90", X"04", X"68", X"68", X"a0", X"9d", X"60", X"38", X"48", X"ad", X"33", X"02", X"e9", X"02", X"18", X"6d", X"8e", X"02", X"85", X"36", X"a9", X"00", X"6d", X"8f", X"02", X"85", X"37", X"68", X"a0", X"00", X"91", X"36", X"4c", X"50", X"c8", X"18", X"6d", X"8e", X"02", X"85", X"36", X"a9", X"00", X"6d", X"8f", X"02", X"85", X"37", X"a0", X"00", X"b1", X"36", X"18", X"6d", X"d1", X"02", X"91", X"36", X"e6", X"36", X"d0", X"02", X"e6", X"37", X"b1", X"36", X"6d", X"d2", X"02", X"91", X"36", X"60", X"a2", X"00", X"ac", X"88", X"02", X"c0", X"04", X"90", X"02", X"a2", X"02", X"18", X"6d", X"8e", X"02", X"85", X"36", X"a9", X"00", X"6d", X"8f", X"02", X"85", X"37", X"a0", X"00", X"b1", X"36", X"18", X"7d", X"d1", X"02", X"91", X"36", X"60", X"48", X"ad", X"33", X"02", X"6a", X"68", X"b0", X"15", X"18", X"6d", X"8e", X"02", X"85", X"36", X"a9", X"00", X"6d", X"8f", X"02", X"85", X"37", X"a0", X"00", X"b1", X"36", X"8d", X"88", X"02", X"60", X"18", X"6d", X"d1", X"02", X"a9", X"00", X"6d", X"d2", X"02", X"6d", X"88", X"02", X"a0", X"00", X"91", X"36", X"f0", X"ed", X"d5", X"c7", X"d5", X"c7", X"92", X"c8", X"92", X"c8", X"92", X"c8", X"92", X"c8", X"6d", X"c8", X"6d", X"c8", X"b5", X"c8", X"b5", X"c8", X"d5", X"c7", X"95", X"c7", X"a9", X"ff", X"8d", X"44", X"02", X"ad", X"01", X"d3", X"29", X"7f", X"8d", X"01", X"d3", X"4c", X"83", X"e4", X"a9", X"01", X"8d", X"48", X"02", X"ad", X"48", X"02", X"8d", X"ff", X"d1", X"ad", X"03", X"d8", X"c9", X"80", X"d0", X"0a", X"ad", X"0b", X"d8", X"c9", X"91", X"d0", X"03", X"20", X"19", X"d8", X"0e", X"48", X"02", X"d0", X"e4", X"a9", X"00", X"8d", X"ff", X"d1", X"60", X"a9", X"01", X"8d", X"42", X"00", X"ad", X"01", X"03", X"48", X"ad", X"47", X"02", X"f0", X"1a", X"a2", X"08", X"20", X"af", X"c9", X"f0", X"13", X"8a", X"48", X"20", X"05", X"d8", X"68", X"aa", X"90", X"f2", X"a9", X"00", X"8d", X"48", X"02", X"8d", X"ff", X"d1", X"f0", X"03", X"20", X"71", X"e9", X"68", X"8d", X"01", X"03", X"a9", X"00", X"8d", X"42", X"00", X"8c", X"03", X"03", X"ac", X"03", X"03", X"60", X"a2", X"08", X"6a", X"b0", X"03", X"ca", X"d0", X"fa", X"ad", X"48", X"02", X"48", X"bd", X"20", X"ca", X"8d", X"48", X"02", X"8d", X"ff", X"d1", X"20", X"08", X"d8", X"68", X"8d", X"48", X"02", X"8d", X"ff", X"d1", X"68", X"aa", X"68", X"40", X"a0", X"01", X"4c", X"dc", X"c9", X"a0", X"03", X"4c", X"dc", X"c9", X"a0", X"05", X"4c", X"dc", X"c9", X"a0", X"07", X"4c", X"dc", X"c9", X"a0", X"09", X"4c", X"dc", X"c9", X"a0", X"0b", X"4c", X"dc", X"c9", X"ca", X"10", X"09", X"a9", X"00", X"8d", X"48", X"02", X"8d", X"ff", X"d1", X"60", X"ad", X"47", X"02", X"3d", X"21", X"ca", X"f0", X"ec", X"8d", X"48", X"02", X"8d", X"ff", X"d1", X"60", X"b9", X"0d", X"d8", X"48", X"88", X"b9", X"0d", X"d8", X"48", X"ad", X"4c", X"02", X"ae", X"4d", X"02", X"a0", X"92", X"60", X"8d", X"4c", X"02", X"8e", X"4d", X"02", X"ad", X"42", X"00", X"48", X"a9", X"01", X"8d", X"42", X"00", X"a2", X"08", X"20", X"af", X"c9", X"f0", X"11", X"8a", X"48", X"98", X"48", X"20", X"ca", X"c9", X"90", X"20", X"8d", X"4c", X"02", X"68", X"68", X"4c", X"05", X"ca", X"a0", X"82", X"a9", X"00", X"8d", X"48", X"02", X"8d", X"ff", X"d1", X"68", X"8d", X"42", X"00", X"ad", X"4c", X"02", X"8c", X"4d", X"02", X"ac", X"4d", X"02", X"60", X"68", X"a8", X"68", X"aa", X"90", X"cc", X"80", X"40", X"20", X"10", X"08", X"04", X"02", X"01", X"ae", X"2e", X"00", X"bd", X"4d", X"03", X"20", X"de", X"e7", X"b0", X"20", X"18", X"20", X"9e", X"e8", X"b0", X"1a", X"ae", X"2e", X"00", X"bd", X"4c", X"03", X"20", X"16", X"e7", X"b0", X"0f", X"ae", X"2e", X"00", X"9d", X"40", X"03", X"85", X"20", X"a9", X"03", X"85", X"17", X"4c", X"5c", X"e5", X"4c", X"10", X"e5", X"00", X"13", X"16", X"d1", X"e4", X"e4", X"e8", X"29", X"eb", X"ee", X"00", X"00", X"2d", X"25", X"2d", X"2f", X"32", X"39", X"00", X"34", X"25", X"33", X"34", X"00", X"00", X"00", X"32", X"2f", X"2d", X"32", X"21", X"2d", X"00", X"00", X"2b", X"25", X"39", X"22", X"2f", X"21", X"32", X"24", X"00", X"34", X"25", X"33", X"34", X"00", X"00", X"00", X"b2", X"91", X"00", X"92", X"00", X"93", X"00", X"94", X"00", X"a8", X"00", X"a1", X"00", X"a2", X"00", X"00", X"00", X"5b", X"00", X"11", X"00", X"12", X"00", X"13", X"00", X"14", X"00", X"15", X"00", X"16", X"00", X"17", X"00", X"18", X"00", X"19", X"00", X"10", X"00", X"1c", X"00", X"1e", X"00", X"a2", X"80", X"b3", X"00", X"00", X"00", X"ff", X"ff", X"00", X"31", X"00", X"37", X"00", X"25", X"00", X"32", X"00", X"34", X"00", X"39", X"00", X"35", X"00", X"29", X"00", X"2f", X"00", X"30", X"00", X"0d", X"00", X"1d", X"00", X"b2", X"b4", X"00", X"00", X"00", X"80", X"dc", X"80", X"00", X"21", X"00", X"33", X"00", X"24", X"00", X"26", X"00", X"27", X"00", X"28", X"00", X"2a", X"00", X"2b", X"00", X"2c", X"00", X"1b", X"00", X"0b", X"00", X"0a", X"00", X"a3", X"00", X"00", X"00", X"80", X"b3", X"a8", X"80", X"00", X"3a", X"00", X"38", X"00", X"23", X"00", X"36", X"00", X"22", X"00", X"2e", X"00", X"2d", X"00", X"0c", X"00", X"0e", X"00", X"0f", X"00", X"80", X"b3", X"a8", X"80", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"80", X"b3", X"80", X"b0", X"80", X"a1", X"80", X"a3", X"80", X"a5", X"80", X"80", X"80", X"a2", X"80", X"a1", X"80", X"b2", X"80", X"00", X"33", X"00", X"30", X"00", X"21", X"00", X"23", X"00", X"25", X"00", X"00", X"00", X"22", X"00", X"21", X"00", X"32", X"00", X"00", X"33", X"28", X"00", X"22", X"00", X"33", X"00", X"5c", X"00", X"36", X"2f", X"29", X"23", X"25", X"00", X"03", X"a0", X"11", X"a9", X"00", X"18", X"71", X"4a", X"88", X"10", X"fb", X"69", X"00", X"49", X"ff", X"60", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"18", X"18", X"18", X"00", X"18", X"00", X"00", X"66", X"66", X"66", X"00", X"00", X"00", X"00", X"00", X"66", X"ff", X"66", X"66", X"ff", X"66", X"00", X"18", X"3e", X"60", X"3c", X"06", X"7c", X"18", X"00", X"00", X"66", X"6c", X"18", X"30", X"66", X"46", X"00", X"1c", X"36", X"1c", X"38", X"6f", X"66", X"3b", X"00", X"00", X"18", X"18", X"18", X"00", X"00", X"00", X"00", X"00", X"0e", X"1c", X"18", X"18", X"1c", X"0e", X"00", X"00", X"70", X"38", X"18", X"18", X"38", X"70", X"00", X"00", X"66", X"3c", X"ff", X"3c", X"66", X"00", X"00", X"00", X"18", X"18", X"7e", X"18", X"18", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"18", X"30", X"00", X"00", X"00", X"7e", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"18", X"00", X"00", X"06", X"0c", X"18", X"30", X"60", X"40", X"00", X"00", X"3c", X"66", X"6e", X"76", X"66", X"3c", X"00", X"00", X"18", X"38", X"18", X"18", X"18", X"7e", X"00", X"00", X"3c", X"66", X"0c", X"18", X"30", X"7e", X"00", X"00", X"7e", X"0c", X"18", X"0c", X"66", X"3c", X"00", X"00", X"0c", X"1c", X"3c", X"6c", X"7e", X"0c", X"00", X"00", X"7e", X"60", X"7c", X"06", X"66", X"3c", X"00", X"00", X"3c", X"60", X"7c", X"66", X"66", X"3c", X"00", X"00", X"7e", X"06", X"0c", X"18", X"30", X"30", X"00", X"00", X"3c", X"66", X"3c", X"66", X"66", X"3c", X"00", X"00", X"3c", X"66", X"3e", X"06", X"0c", X"38", X"00", X"00", X"00", X"18", X"18", X"00", X"18", X"18", X"00", X"00", X"00", X"18", X"18", X"00", X"18", X"18", X"30", X"06", X"0c", X"18", X"30", X"18", X"0c", X"06", X"00", X"00", X"00", X"7e", X"00", X"00", X"7e", X"00", X"00", X"60", X"30", X"18", X"0c", X"18", X"30", X"60", X"00", X"00", X"3c", X"66", X"0c", X"18", X"00", X"18", X"00", X"00", X"3c", X"66", X"6e", X"6e", X"60", X"3e", X"00", X"00", X"18", X"3c", X"66", X"66", X"7e", X"66", X"00", X"00", X"7c", X"66", X"7c", X"66", X"66", X"7c", X"00", X"00", X"3c", X"66", X"60", X"60", X"66", X"3c", X"00", X"00", X"78", X"6c", X"66", X"66", X"6c", X"78", X"00", X"00", X"7e", X"60", X"7c", X"60", X"60", X"7e", X"00", X"00", X"7e", X"60", X"7c", X"60", X"60", X"60", X"00", X"00", X"3e", X"60", X"60", X"6e", X"66", X"3e", X"00", X"00", X"66", X"66", X"7e", X"66", X"66", X"66", X"00", X"00", X"7e", X"18", X"18", X"18", X"18", X"7e", X"00", X"00", X"06", X"06", X"06", X"06", X"66", X"3c", X"00", X"00", X"66", X"6c", X"78", X"78", X"6c", X"66", X"00", X"00", X"60", X"60", X"60", X"60", X"60", X"7e", X"00", X"00", X"63", X"77", X"7f", X"6b", X"63", X"63", X"00", X"00", X"66", X"76", X"7e", X"7e", X"6e", X"66", X"00", X"00", X"3c", X"66", X"66", X"66", X"66", X"3c", X"00", X"00", X"7c", X"66", X"66", X"7c", X"60", X"60", X"00", X"00", X"3c", X"66", X"66", X"66", X"6c", X"36", X"00", X"00", X"7c", X"66", X"66", X"7c", X"6c", X"66", X"00", X"00", X"3c", X"60", X"3c", X"06", X"06", X"3c", X"00", X"00", X"7e", X"18", X"18", X"18", X"18", X"18", X"00", X"00", X"66", X"66", X"66", X"66", X"66", X"7e", X"00", X"00", X"66", X"66", X"66", X"66", X"3c", X"18", X"00", X"00", X"63", X"63", X"6b", X"7f", X"77", X"63", X"00", X"00", X"66", X"66", X"3c", X"3c", X"66", X"66", X"00", X"00", X"66", X"66", X"3c", X"18", X"18", X"18", X"00", X"00", X"7e", X"0c", X"18", X"30", X"60", X"7e", X"00", X"00", X"1e", X"18", X"18", X"18", X"18", X"1e", X"00", X"00", X"40", X"60", X"30", X"18", X"0c", X"06", X"00", X"00", X"78", X"18", X"18", X"18", X"18", X"78", X"00", X"00", X"08", X"1c", X"36", X"63", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"ff", X"00", X"0c", X"18", X"3c", X"06", X"3e", X"66", X"3e", X"00", X"30", X"18", X"00", X"66", X"66", X"66", X"3e", X"00", X"36", X"6c", X"00", X"76", X"76", X"7e", X"6e", X"00", X"0c", X"18", X"7e", X"60", X"7c", X"60", X"7e", X"00", X"00", X"00", X"3c", X"60", X"60", X"3c", X"18", X"30", X"3c", X"66", X"00", X"3c", X"66", X"66", X"3c", X"00", X"30", X"18", X"00", X"3c", X"66", X"66", X"3c", X"00", X"30", X"18", X"00", X"38", X"18", X"18", X"3c", X"00", X"1c", X"30", X"30", X"78", X"30", X"30", X"7e", X"00", X"00", X"66", X"00", X"38", X"18", X"18", X"3c", X"00", X"00", X"66", X"00", X"66", X"66", X"66", X"3e", X"00", X"36", X"00", X"3c", X"06", X"3e", X"66", X"3e", X"00", X"66", X"00", X"3c", X"66", X"66", X"66", X"3c", X"00", X"0c", X"18", X"00", X"66", X"66", X"66", X"3e", X"00", X"0c", X"18", X"00", X"3c", X"66", X"66", X"3c", X"00", X"00", X"66", X"00", X"3c", X"66", X"66", X"3c", X"00", X"66", X"00", X"66", X"66", X"66", X"66", X"7e", X"00", X"3c", X"66", X"1c", X"06", X"3e", X"66", X"3e", X"00", X"3c", X"66", X"00", X"66", X"66", X"66", X"3e", X"00", X"3c", X"66", X"00", X"38", X"18", X"18", X"3c", X"00", X"0c", X"18", X"3c", X"66", X"7e", X"60", X"3c", X"00", X"30", X"18", X"3c", X"66", X"7e", X"60", X"3c", X"00", X"36", X"6c", X"00", X"7c", X"66", X"66", X"66", X"00", X"3c", X"c3", X"3c", X"66", X"7e", X"60", X"3c", X"00", X"18", X"00", X"3c", X"06", X"3e", X"66", X"3e", X"00", X"30", X"18", X"3c", X"06", X"3e", X"66", X"3e", X"00", X"18", X"00", X"18", X"3c", X"66", X"7e", X"66", X"00", X"78", X"60", X"78", X"60", X"7e", X"18", X"1e", X"00", X"00", X"18", X"3c", X"7e", X"18", X"18", X"18", X"00", X"00", X"18", X"18", X"18", X"7e", X"3c", X"18", X"00", X"00", X"18", X"30", X"7e", X"30", X"18", X"00", X"00", X"00", X"18", X"0c", X"7e", X"0c", X"18", X"00", X"00", X"18", X"00", X"18", X"18", X"18", X"18", X"18", X"00", X"00", X"00", X"3c", X"06", X"3e", X"66", X"3e", X"00", X"00", X"60", X"60", X"7c", X"66", X"66", X"7c", X"00", X"00", X"00", X"3c", X"60", X"60", X"60", X"3c", X"00", X"00", X"06", X"06", X"3e", X"66", X"66", X"3e", X"00", X"00", X"00", X"3c", X"66", X"7e", X"60", X"3c", X"00", X"00", X"0e", X"18", X"3e", X"18", X"18", X"18", X"00", X"00", X"00", X"3e", X"66", X"66", X"3e", X"06", X"7c", X"00", X"60", X"60", X"7c", X"66", X"66", X"66", X"00", X"00", X"18", X"00", X"38", X"18", X"18", X"3c", X"00", X"00", X"06", X"00", X"06", X"06", X"06", X"06", X"3c", X"00", X"60", X"60", X"6c", X"78", X"6c", X"66", X"00", X"00", X"38", X"18", X"18", X"18", X"18", X"3c", X"00", X"00", X"00", X"66", X"7f", X"7f", X"6b", X"63", X"00", X"00", X"00", X"7c", X"66", X"66", X"66", X"66", X"00", X"00", X"00", X"3c", X"66", X"66", X"66", X"3c", X"00", X"00", X"00", X"7c", X"66", X"66", X"7c", X"60", X"60", X"00", X"00", X"3e", X"66", X"66", X"3e", X"06", X"06", X"00", X"00", X"7c", X"66", X"60", X"60", X"60", X"00", X"00", X"00", X"3e", X"60", X"3c", X"06", X"7c", X"00", X"00", X"18", X"7e", X"18", X"18", X"18", X"0e", X"00", X"00", X"00", X"66", X"66", X"66", X"66", X"3e", X"00", X"00", X"00", X"66", X"66", X"66", X"3c", X"18", X"00", X"00", X"00", X"63", X"6b", X"7f", X"3e", X"36", X"00", X"00", X"00", X"66", X"3c", X"18", X"3c", X"66", X"00", X"00", X"00", X"66", X"66", X"66", X"3e", X"0c", X"78", X"00", X"00", X"7e", X"0c", X"18", X"30", X"7e", X"00", X"66", X"66", X"18", X"3c", X"66", X"7e", X"66", X"00", X"18", X"18", X"18", X"18", X"18", X"18", X"18", X"18", X"00", X"7e", X"78", X"7c", X"6e", X"66", X"06", X"00", X"08", X"18", X"38", X"78", X"38", X"18", X"08", X"00", X"10", X"18", X"1c", X"1e", X"1c", X"18", X"10", X"00", X"4c", X"09", X"50", X"20", X"86", X"50", X"4c", X"91", X"52", X"20", X"86", X"50", X"a9", X"00", X"85", X"80", X"85", X"81", X"85", X"82", X"8d", X"08", X"d2", X"a9", X"03", X"8d", X"0f", X"d2", X"20", X"10", X"55", X"a9", X"40", X"8d", X"0e", X"d4", X"a2", X"00", X"20", X"73", X"57", X"a2", X"3a", X"a0", X"51", X"20", X"9e", X"50", X"a9", X"d0", X"8d", X"00", X"02", X"a9", X"50", X"8d", X"01", X"02", X"a2", X"0c", X"a9", X"aa", X"20", X"2a", X"57", X"a2", X"00", X"8e", X"0a", X"d4", X"e8", X"d0", X"fa", X"ad", X"0b", X"d4", X"c9", X"18", X"b0", X"f9", X"a9", X"10", X"85", X"87", X"a9", X"c0", X"8d", X"0e", X"d4", X"ad", X"1f", X"d0", X"29", X"01", X"d0", X"f9", X"a9", X"ff", X"8d", X"fc", X"02", X"a5", X"86", X"29", X"0f", X"c9", X"01", X"f0", X"10", X"c9", X"02", X"f0", X"0f", X"c9", X"04", X"f0", X"0e", X"a9", X"88", X"85", X"86", X"a9", X"ff", X"85", X"82", X"4c", X"91", X"52", X"4c", X"57", X"55", X"4c", X"50", X"54", X"a9", X"11", X"85", X"86", X"a9", X"21", X"8d", X"2f", X"02", X"a9", X"c0", X"8d", X"0e", X"d4", X"a9", X"41", X"85", X"83", X"a9", X"ff", X"8d", X"fc", X"02", X"60", X"85", X"8a", X"98", X"48", X"8a", X"48", X"a9", X"00", X"8d", X"2f", X"02", X"8d", X"dc", X"02", X"a9", X"da", X"8d", X"00", X"02", X"a9", X"53", X"8d", X"01", X"02", X"a2", X"00", X"8a", X"20", X"2a", X"57", X"68", X"aa", X"68", X"a8", X"8e", X"30", X"02", X"86", X"84", X"8c", X"31", X"02", X"84", X"85", X"a9", X"21", X"8d", X"2f", X"02", X"60", X"48", X"8a", X"48", X"a2", X"7a", X"a5", X"87", X"c9", X"01", X"f0", X"1f", X"29", X"01", X"f0", X"0a", X"e6", X"a2", X"a5", X"a2", X"29", X"20", X"f0", X"02", X"a2", X"2c", X"8e", X"0a", X"d4", X"8e", X"16", X"d0", X"18", X"66", X"87", X"a9", X"00", X"85", X"4d", X"68", X"aa", X"68", X"40", X"a5", X"88", X"d0", X"16", X"ad", X"1f", X"d0", X"29", X"02", X"d0", X"1a", X"a5", X"86", X"2a", X"26", X"86", X"a9", X"20", X"85", X"a2", X"a9", X"ff", X"85", X"88", X"d0", X"0b", X"ad", X"1f", X"d0", X"29", X"02", X"f0", X"04", X"a9", X"00", X"85", X"88", X"a5", X"86", X"29", X"0f", X"09", X"10", X"85", X"87", X"e6", X"80", X"d0", X"02", X"e6", X"81", X"a5", X"81", X"c9", X"fa", X"d0", X"04", X"58", X"4c", X"75", X"50", X"4c", X"d3", X"50", X"70", X"70", X"70", X"70", X"70", X"47", X"61", X"51", X"70", X"70", X"70", X"4e", X"00", X"30", X"70", X"f0", X"c6", X"71", X"51", X"70", X"86", X"70", X"86", X"70", X"06", X"70", X"70", X"4e", X"00", X"30", X"70", X"70", X"70", X"42", X"b1", X"51", X"41", X"3a", X"51", X"00", X"00", X"00", X"00", X"33", X"25", X"2c", X"26", X"00", X"34", X"25", X"33", X"34", X"00", X"00", X"00", X"00", X"00", X"2d", X"25", X"2d", X"2f", X"32", X"39", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"21", X"35", X"24", X"29", X"2f", X"0d", X"36", X"29", X"33", X"35", X"21", X"2c", X"00", X"00", X"00", X"00", X"2b", X"25", X"39", X"22", X"2f", X"21", X"32", X"24", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"21", X"2c", X"2c", X"00", X"34", X"25", X"33", X"34", X"33", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"42", X"b3", X"a5", X"ac", X"a5", X"a3", X"b4", X"56", X"0c", X"42", X"b3", X"b4", X"a1", X"b2", X"b4", X"56", X"2f", X"32", X"42", X"b2", X"a5", X"b3", X"a5", X"b4", X"56", X"00", X"00", X"00", X"70", X"70", X"70", X"46", X"00", X"30", X"70", X"70", X"06", X"70", X"08", X"70", X"70", X"06", X"70", X"08", X"70", X"08", X"70", X"08", X"70", X"08", X"70", X"70", X"70", X"01", X"ed", X"51", X"a0", X"40", X"42", X"f5", X"51", X"01", X"83", X"00", X"00", X"00", X"00", X"00", X"00", X"42", X"b2", X"a5", X"b3", X"a5", X"b4", X"56", X"2f", X"32", X"42", X"a8", X"a5", X"ac", X"b0", X"56", X"34", X"2f", X"00", X"25", X"38", X"29", X"34", X"00", X"00", X"00", X"00", X"00", X"70", X"70", X"70", X"70", X"46", X"00", X"30", X"70", X"70", X"70", X"70", X"02", X"70", X"70", X"02", X"70", X"02", X"70", X"02", X"70", X"02", X"70", X"02", X"70", X"70", X"01", X"ed", X"51", X"70", X"70", X"70", X"70", X"46", X"71", X"52", X"70", X"06", X"70", X"70", X"4b", X"00", X"31", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"0b", X"70", X"46", X"00", X"30", X"70", X"01", X"ed", X"51", X"00", X"00", X"21", X"35", X"24", X"29", X"2f", X"0d", X"36", X"29", X"33", X"35", X"21", X"2c", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"34", X"25", X"33", X"34", X"00", X"00", X"00", X"00", X"00", X"00", X"a2", X"d1", X"a0", X"51", X"a9", X"00", X"20", X"9e", X"50", X"a2", X"01", X"20", X"73", X"57", X"a2", X"00", X"20", X"59", X"57", X"a2", X"01", X"20", X"59", X"57", X"ad", X"20", X"30", X"c9", X"aa", X"f0", X"17", X"a9", X"55", X"20", X"8e", X"53", X"20", X"b1", X"53", X"20", X"73", X"ff", X"b0", X"05", X"a9", X"ff", X"4c", X"c4", X"52", X"a9", X"aa", X"20", X"8e", X"53", X"ad", X"24", X"30", X"c9", X"aa", X"f0", X"17", X"a9", X"55", X"20", X"99", X"53", X"20", X"b1", X"53", X"20", X"92", X"ff", X"b0", X"05", X"a9", X"ff", X"4c", X"e2", X"52", X"a9", X"aa", X"20", X"99", X"53", X"a9", X"c0", X"85", X"8d", X"a9", X"04", X"85", X"a4", X"a9", X"00", X"85", X"8e", X"85", X"90", X"85", X"91", X"85", X"8f", X"a6", X"8e", X"bd", X"38", X"30", X"25", X"8d", X"c9", X"80", X"f0", X"5c", X"c9", X"08", X"f0", X"58", X"a9", X"44", X"20", X"c3", X"53", X"a5", X"a4", X"20", X"a4", X"53", X"a5", X"a4", X"49", X"0c", X"85", X"a4", X"a2", X"07", X"bd", X"4a", X"54", X"c5", X"91", X"f0", X"37", X"ca", X"10", X"f6", X"a9", X"04", X"85", X"92", X"a2", X"00", X"a0", X"00", X"8a", X"91", X"90", X"e8", X"c8", X"d0", X"f9", X"86", X"93", X"a0", X"00", X"b1", X"90", X"c5", X"93", X"d0", X"10", X"e6", X"93", X"c8", X"d0", X"f5", X"e8", X"d0", X"e5", X"e6", X"91", X"c6", X"92", X"d0", X"dd", X"f0", X"0e", X"20", X"b1", X"53", X"a9", X"88", X"20", X"c3", X"53", X"4c", X"5e", X"53", X"20", X"b5", X"53", X"a9", X"cc", X"20", X"c3", X"53", X"a5", X"8d", X"30", X"26", X"a9", X"c0", X"85", X"8d", X"e6", X"8e", X"18", X"a5", X"8f", X"69", X"04", X"85", X"91", X"85", X"8f", X"cd", X"e4", X"02", X"d0", X"81", X"a5", X"82", X"d0", X"03", X"4c", X"a9", X"52", X"a9", X"0c", X"20", X"a4", X"53", X"20", X"b5", X"53", X"4c", X"57", X"55", X"a9", X"0c", X"85", X"8d", X"d0", X"da", X"a2", X"04", X"20", X"2a", X"57", X"29", X"fc", X"8d", X"23", X"30", X"60", X"a2", X"08", X"20", X"2a", X"57", X"29", X"fc", X"8d", X"27", X"30", X"60", X"85", X"a5", X"ad", X"01", X"d3", X"29", X"f3", X"05", X"a5", X"8d", X"01", X"d3", X"60", X"a2", X"3c", X"d0", X"02", X"a2", X"96", X"a0", X"ff", X"8c", X"0a", X"d4", X"88", X"d0", X"fa", X"ca", X"d0", X"f5", X"60", X"48", X"a6", X"8e", X"a5", X"8d", X"49", X"ff", X"3d", X"38", X"30", X"9d", X"38", X"30", X"68", X"25", X"8d", X"1d", X"38", X"30", X"9d", X"38", X"30", X"60", X"48", X"a9", X"0c", X"8d", X"17", X"d0", X"ad", X"c8", X"02", X"8d", X"18", X"d0", X"a9", X"00", X"85", X"4d", X"ad", X"dc", X"02", X"f0", X"0e", X"a9", X"00", X"8d", X"dc", X"02", X"a9", X"0c", X"20", X"a4", X"53", X"58", X"4c", X"0c", X"50", X"a5", X"8a", X"f0", X"47", X"ad", X"1f", X"d0", X"29", X"01", X"f0", X"04", X"a9", X"b3", X"d0", X"02", X"a9", X"33", X"8d", X"1c", X"30", X"ad", X"1f", X"d0", X"29", X"02", X"f0", X"04", X"a9", X"f3", X"d0", X"02", X"a9", X"73", X"8d", X"1e", X"30", X"ad", X"1f", X"d0", X"29", X"04", X"f0", X"04", X"a9", X"af", X"d0", X"02", X"a9", X"2f", X"8d", X"20", X"30", X"ad", X"1f", X"d0", X"29", X"07", X"c9", X"07", X"f0", X"09", X"a9", X"64", X"8d", X"02", X"d2", X"a9", X"a8", X"d0", X"02", X"a9", X"00", X"8d", X"03", X"d2", X"68", X"40", X"00", X"50", X"54", X"30", X"30", X"30", X"a2", X"00", X"86", X"94", X"a2", X"03", X"20", X"73", X"57", X"a2", X"15", X"a0", X"52", X"a9", X"ff", X"20", X"9e", X"50", X"a2", X"02", X"20", X"59", X"57", X"a2", X"07", X"20", X"59", X"57", X"a5", X"82", X"f0", X"13", X"a6", X"94", X"bd", X"45", X"55", X"e6", X"94", X"a6", X"94", X"e0", X"13", X"d0", X"14", X"20", X"b5", X"53", X"4c", X"91", X"52", X"ad", X"fc", X"02", X"c9", X"ff", X"f0", X"f9", X"c9", X"c0", X"b0", X"f5", X"ad", X"fc", X"02", X"a2", X"ff", X"8e", X"fc", X"02", X"48", X"29", X"80", X"f0", X"05", X"a2", X"08", X"20", X"59", X"57", X"68", X"48", X"29", X"40", X"f0", X"0a", X"a2", X"05", X"20", X"59", X"57", X"a2", X"04", X"20", X"59", X"57", X"68", X"29", X"3f", X"c9", X"21", X"f0", X"68", X"c9", X"2c", X"f0", X"74", X"c9", X"34", X"f0", X"68", X"c9", X"0c", X"f0", X"76", X"aa", X"bd", X"9c", X"57", X"48", X"a9", X"21", X"85", X"95", X"a9", X"30", X"85", X"96", X"68", X"a0", X"ff", X"c8", X"d1", X"95", X"d0", X"fb", X"b1", X"95", X"49", X"80", X"91", X"95", X"a5", X"82", X"f0", X"13", X"20", X"05", X"55", X"a2", X"14", X"20", X"b7", X"53", X"20", X"10", X"55", X"a2", X"0a", X"20", X"b7", X"53", X"4c", X"62", X"54", X"20", X"05", X"55", X"ad", X"0f", X"d2", X"29", X"04", X"f0", X"f9", X"20", X"10", X"55", X"4c", X"62", X"54", X"a9", X"64", X"8d", X"00", X"d2", X"a9", X"a8", X"8d", X"01", X"d2", X"60", X"a9", X"00", X"8d", X"01", X"d2", X"8d", X"03", X"d2", X"8d", X"05", X"d2", X"8d", X"07", X"d2", X"60", X"a2", X"03", X"20", X"59", X"57", X"4c", X"de", X"54", X"a2", X"06", X"20", X"59", X"57", X"4c", X"de", X"54", X"a9", X"7f", X"8d", X"52", X"30", X"8d", X"53", X"30", X"d0", X"a5", X"a9", X"32", X"8d", X"6d", X"30", X"a9", X"34", X"8d", X"6e", X"30", X"d0", X"99", X"52", X"08", X"0a", X"2b", X"28", X"0d", X"3d", X"39", X"2d", X"1f", X"30", X"35", X"1a", X"7f", X"2d", X"3f", X"28", X"0d", X"a2", X"02", X"20", X"73", X"57", X"a9", X"00", X"85", X"97", X"a9", X"00", X"85", X"98", X"a2", X"31", X"a0", X"52", X"a9", X"00", X"20", X"9e", X"50", X"a2", X"09", X"20", X"59", X"57", X"a5", X"97", X"4a", X"18", X"69", X"11", X"8d", X"0b", X"30", X"a2", X"0f", X"a9", X"ff", X"9d", X"50", X"31", X"9d", X"b0", X"31", X"9d", X"10", X"32", X"9d", X"70", X"32", X"9d", X"d0", X"32", X"ca", X"10", X"ec", X"a9", X"00", X"85", X"99", X"a9", X"0c", X"85", X"9a", X"a6", X"99", X"bd", X"17", X"57", X"a8", X"bd", X"16", X"57", X"aa", X"a5", X"9a", X"20", X"85", X"56", X"18", X"a5", X"9a", X"69", X"06", X"85", X"9a", X"e6", X"99", X"e6", X"99", X"a5", X"99", X"c9", X"14", X"d0", X"e0", X"20", X"b1", X"53", X"a2", X"54", X"a0", X"31", X"a9", X"00", X"20", X"85", X"56", X"a9", X"51", X"20", X"6c", X"56", X"a2", X"86", X"a0", X"31", X"a9", X"00", X"20", X"85", X"56", X"a9", X"5b", X"20", X"6c", X"56", X"a2", X"f8", X"a0", X"30", X"a9", X"48", X"20", X"85", X"56", X"a2", X"c7", X"a0", X"30", X"a9", X"54", X"20", X"85", X"56", X"a2", X"48", X"a0", X"32", X"a9", X"4e", X"20", X"85", X"56", X"a9", X"44", X"20", X"6c", X"56", X"a2", X"ca", X"a0", X"30", X"a9", X"48", X"20", X"85", X"56", X"a2", X"1a", X"a0", X"32", X"a9", X"4e", X"20", X"85", X"56", X"a2", X"ca", X"a0", X"31", X"a9", X"06", X"20", X"85", X"56", X"a9", X"3c", X"20", X"6c", X"56", X"a2", X"3c", X"a0", X"30", X"a9", X"48", X"20", X"85", X"56", X"a2", X"8c", X"a0", X"31", X"a9", X"4e", X"20", X"85", X"56", X"a2", X"3c", X"a0", X"31", X"a9", X"06", X"20", X"85", X"56", X"a9", X"2d", X"20", X"6c", X"56", X"a2", X"9e", X"a0", X"30", X"a9", X"48", X"20", X"85", X"56", X"a2", X"ee", X"a0", X"31", X"a9", X"4e", X"20", X"85", X"56", X"a9", X"35", X"20", X"6c", X"56", X"20", X"b5", X"53", X"e6", X"97", X"e6", X"97", X"a5", X"97", X"c9", X"08", X"d0", X"07", X"a5", X"82", X"d0", X"06", X"4c", X"5c", X"55", X"4c", X"60", X"55", X"20", X"b5", X"53", X"4c", X"50", X"54", X"a4", X"97", X"99", X"00", X"d2", X"a9", X"a8", X"99", X"01", X"d2", X"a6", X"98", X"bd", X"b6", X"56", X"aa", X"20", X"b7", X"53", X"e6", X"98", X"20", X"10", X"55", X"60", X"86", X"9b", X"84", X"9c", X"aa", X"a0", X"00", X"a9", X"10", X"85", X"9d", X"a9", X"06", X"85", X"a3", X"bd", X"bc", X"56", X"11", X"9b", X"91", X"9b", X"20", X"aa", X"56", X"c6", X"9d", X"d0", X"f2", X"e6", X"9d", X"e8", X"c6", X"a3", X"d0", X"eb", X"60", X"18", X"a5", X"9b", X"69", X"10", X"85", X"9b", X"90", X"02", X"e6", X"9c", X"60", X"20", X"20", X"20", X"10", X"10", X"20", X"01", X"1f", X"3f", X"7f", X"3e", X"1c", X"00", X"41", X"42", X"4c", X"70", X"40", X"00", X"01", X"02", X"04", X"08", X"10", X"00", X"43", X"44", X"48", X"48", X"48", X"00", X"44", X"22", X"10", X"08", X"07", X"00", X"04", X"08", X"05", X"02", X"00", X"00", X"30", X"48", X"88", X"84", X"84", X"00", X"88", X"88", X"90", X"a0", X"c0", X"00", X"f0", X"88", X"84", X"82", X"82", X"00", X"82", X"82", X"84", X"88", X"f0", X"00", X"00", X"00", X"00", X"00", X"80", X"80", X"80", X"80", X"80", X"80", X"80", X"00", X"1c", X"3e", X"7f", X"7e", X"7c", X"40", X"00", X"00", X"00", X"00", X"00", X"00", X"04", X"04", X"06", X"05", X"06", X"c1", X"30", X"21", X"31", X"81", X"31", X"f1", X"31", X"02", X"30", X"62", X"30", X"22", X"31", X"82", X"31", X"c2", X"30", X"c2", X"31", X"48", X"bd", X"dc", X"57", X"85", X"9e", X"bd", X"dd", X"57", X"85", X"9f", X"bd", X"de", X"57", X"85", X"a0", X"bd", X"df", X"57", X"85", X"a1", X"a0", X"00", X"68", X"91", X"9e", X"e6", X"9e", X"d0", X"02", X"e6", X"9f", X"48", X"a5", X"9e", X"c5", X"a0", X"d0", X"f0", X"a5", X"9f", X"c5", X"a1", X"d0", X"ea", X"68", X"60", X"bd", X"57", X"ca", X"a8", X"bd", X"ec", X"57", X"85", X"9e", X"bd", X"f6", X"57", X"aa", X"b9", X"61", X"ca", X"9d", X"00", X"30", X"c8", X"e8", X"c6", X"9e", X"d0", X"f4", X"60", X"bd", X"8c", X"57", X"8d", X"c4", X"02", X"bd", X"90", X"57", X"8d", X"c5", X"02", X"bd", X"94", X"57", X"8d", X"c6", X"02", X"bd", X"98", X"57", X"8d", X"c8", X"02", X"60", X"2c", X"0c", X"2a", X"18", X"0f", X"32", X"0c", X"0e", X"d2", X"d6", X"00", X"b4", X"d2", X"a0", X"30", X"b4", X"2c", X"2a", X"1b", X"91", X"92", X"2b", X"0b", X"0a", X"2f", X"00", X"30", X"35", X"b2", X"29", X"0d", X"1d", X"36", X"a8", X"23", X"93", X"94", X"22", X"38", X"3a", X"14", X"00", X"13", X"16", X"5b", X"15", X"12", X"11", X"0c", X"00", X"0e", X"2e", X"00", X"2d", X"0f", X"a1", X"32", X"00", X"25", X"39", X"ff", X"34", X"37", X"31", X"19", X"00", X"10", X"17", X"a2", X"18", X"1c", X"1e", X"26", X"28", X"24", X"00", X"a3", X"27", X"33", X"21", X"00", X"30", X"ff", X"3e", X"20", X"30", X"24", X"30", X"24", X"30", X"28", X"30", X"00", X"30", X"20", X"30", X"13", X"03", X"13", X"13", X"04", X"04", X"03", X"a8", X"03", X"07", X"00", X"28", X"00", X"b7", X"92", X"ab", X"4c", X"22", X"72", X"04", X"20", X"a1", X"db", X"20", X"bb", X"db", X"b0", X"39", X"a2", X"ed", X"a0", X"04", X"20", X"48", X"da", X"a2", X"ff", X"86", X"f1", X"20", X"44", X"da", X"f0", X"04", X"a9", X"ff", X"85", X"f0", X"20", X"94", X"db", X"b0", X"21", X"48", X"a6", X"d5", X"d0", X"11", X"20", X"eb", X"db", X"68", X"05", X"d9", X"85", X"d9", X"a6", X"f1", X"30", X"e6", X"e8", X"86", X"f1", X"d0", X"e1", X"68", X"a6", X"f1", X"10", X"02", X"e6", X"ed", X"4c", X"18", X"d8", X"60", X"c9", X"2e", X"f0", X"14", X"c9", X"45", X"f0", X"19", X"a6", X"f0", X"d0", X"68", X"c9", X"2b", X"f0", X"c6", X"c9", X"2d", X"f0", X"00", X"85", X"ee", X"f0", X"be", X"a6", X"f1", X"10", X"58", X"e8", X"86", X"f1", X"f0", X"b5", X"a5", X"f2", X"85", X"ec", X"20", X"94", X"db", X"b0", X"37", X"aa", X"a5", X"ed", X"48", X"86", X"ed", X"20", X"94", X"db", X"b0", X"17", X"48", X"a5", X"ed", X"0a", X"85", X"ed", X"0a", X"0a", X"65", X"ed", X"85", X"ed", X"68", X"18", X"65", X"ed", X"85", X"ed", X"a4", X"f2", X"20", X"9d", X"db", X"a5", X"ef", X"f0", X"09", X"a5", X"ed", X"49", X"ff", X"18", X"69", X"01", X"85", X"ed", X"68", X"18", X"65", X"ed", X"85", X"ed", X"d0", X"13", X"c9", X"2b", X"f0", X"06", X"c9", X"2d", X"d0", X"07", X"85", X"ef", X"20", X"94", X"db", X"90", X"ba", X"a5", X"ec", X"85", X"f2", X"c6", X"f2", X"a5", X"ed", X"a6", X"f1", X"30", X"05", X"f0", X"03", X"38", X"e5", X"f1", X"48", X"2a", X"68", X"6a", X"85", X"ed", X"90", X"03", X"20", X"eb", X"db", X"a5", X"ed", X"18", X"69", X"44", X"85", X"d4", X"20", X"00", X"dc", X"b0", X"0b", X"a6", X"ee", X"f0", X"06", X"a5", X"d4", X"09", X"80", X"85", X"d4", X"18", X"60", X"20", X"51", X"da", X"a9", X"30", X"8d", X"7f", X"05", X"a5", X"d4", X"f0", X"28", X"29", X"7f", X"c9", X"3f", X"90", X"28", X"c9", X"45", X"b0", X"24", X"38", X"e9", X"3f", X"20", X"70", X"dc", X"20", X"a4", X"dc", X"09", X"80", X"9d", X"80", X"05", X"ad", X"80", X"05", X"c9", X"2e", X"f0", X"03", X"4c", X"88", X"d9", X"20", X"c1", X"dc", X"4c", X"9c", X"d9", X"a9", X"b0", X"8d", X"80", X"05", X"60", X"a9", X"01", X"20", X"70", X"dc", X"20", X"a4", X"dc", X"e8", X"86", X"f2", X"a5", X"d4", X"0a", X"38", X"e9", X"80", X"ae", X"80", X"05", X"e0", X"30", X"f0", X"17", X"ae", X"81", X"05", X"ac", X"82", X"05", X"8e", X"82", X"05", X"8c", X"81", X"05", X"a6", X"f2", X"e0", X"02", X"d0", X"02", X"e6", X"f2", X"18", X"69", X"01", X"85", X"ed", X"a9", X"45", X"a4", X"f2", X"20", X"9f", X"dc", X"84", X"f2", X"a5", X"ed", X"10", X"0b", X"a9", X"00", X"38", X"e5", X"ed", X"85", X"ed", X"a9", X"2d", X"d0", X"02", X"a9", X"2b", X"20", X"9f", X"dc", X"a2", X"00", X"a5", X"ed", X"38", X"e9", X"0a", X"90", X"03", X"e8", X"d0", X"f8", X"18", X"69", X"0a", X"48", X"8a", X"20", X"9d", X"dc", X"68", X"09", X"80", X"20", X"9d", X"dc", X"ad", X"80", X"05", X"c9", X"30", X"d0", X"0d", X"18", X"a5", X"f3", X"69", X"01", X"85", X"f3", X"a5", X"f4", X"69", X"00", X"85", X"f4", X"a5", X"d4", X"10", X"09", X"20", X"c1", X"dc", X"a0", X"00", X"a9", X"2d", X"91", X"f3", X"60", X"a5", X"d4", X"85", X"f8", X"a5", X"d5", X"85", X"f7", X"20", X"44", X"da", X"f8", X"a0", X"10", X"06", X"f8", X"26", X"f7", X"a2", X"03", X"b5", X"d4", X"75", X"d4", X"95", X"d4", X"ca", X"d0", X"f7", X"88", X"d0", X"ee", X"d8", X"a9", X"42", X"85", X"d4", X"4c", X"00", X"dc", X"a9", X"00", X"85", X"f7", X"85", X"f8", X"a5", X"d4", X"30", X"66", X"c9", X"43", X"b0", X"62", X"38", X"e9", X"40", X"90", X"3f", X"69", X"00", X"0a", X"85", X"f5", X"20", X"5a", X"da", X"b0", X"53", X"a5", X"f7", X"85", X"f9", X"a5", X"f8", X"85", X"fa", X"20", X"5a", X"da", X"b0", X"46", X"20", X"5a", X"da", X"b0", X"41", X"18", X"a5", X"f8", X"65", X"fa", X"85", X"f8", X"a5", X"f7", X"65", X"f9", X"85", X"f7", X"b0", X"32", X"20", X"b9", X"dc", X"18", X"65", X"f8", X"85", X"f8", X"a5", X"f7", X"69", X"00", X"b0", X"24", X"85", X"f7", X"c6", X"f5", X"d0", X"c6", X"20", X"b9", X"dc", X"c9", X"05", X"90", X"0d", X"18", X"a5", X"f8", X"69", X"01", X"85", X"f8", X"a5", X"f7", X"69", X"00", X"85", X"f7", X"a5", X"f8", X"85", X"d4", X"a5", X"f7", X"85", X"d5", X"18", X"60", X"38", X"60", X"a2", X"d4", X"a0", X"06", X"a9", X"00", X"95", X"00", X"e8", X"88", X"d0", X"fa", X"60", X"a9", X"05", X"85", X"f4", X"a9", X"80", X"85", X"f3", X"60", X"18", X"26", X"f8", X"26", X"f7", X"60", X"a5", X"e0", X"49", X"80", X"85", X"e0", X"a5", X"e0", X"29", X"7f", X"85", X"f7", X"a5", X"d4", X"29", X"7f", X"38", X"e5", X"f7", X"10", X"10", X"a2", X"05", X"b5", X"d4", X"b4", X"e0", X"95", X"e0", X"98", X"95", X"d4", X"ca", X"10", X"f4", X"30", X"e1", X"f0", X"07", X"c9", X"05", X"b0", X"19", X"20", X"3e", X"dc", X"f8", X"a5", X"d4", X"45", X"e0", X"30", X"1e", X"a2", X"04", X"18", X"b5", X"d5", X"75", X"e1", X"95", X"d5", X"ca", X"10", X"f7", X"d8", X"b0", X"03", X"4c", X"00", X"dc", X"a9", X"01", X"20", X"3a", X"dc", X"a9", X"01", X"85", X"d5", X"4c", X"00", X"dc", X"a2", X"04", X"38", X"b5", X"d5", X"f5", X"e1", X"95", X"d5", X"ca", X"10", X"f7", X"90", X"04", X"d8", X"4c", X"00", X"dc", X"a5", X"d4", X"49", X"80", X"85", X"d4", X"38", X"a2", X"04", X"a9", X"00", X"f5", X"d5", X"95", X"d5", X"ca", X"10", X"f7", X"d8", X"4c", X"00", X"dc", X"a5", X"d4", X"f0", X"45", X"a5", X"e0", X"f0", X"3e", X"20", X"cf", X"dc", X"38", X"e9", X"40", X"38", X"65", X"e0", X"30", X"38", X"20", X"e0", X"dc", X"a5", X"df", X"29", X"0f", X"85", X"f6", X"c6", X"f6", X"30", X"06", X"20", X"01", X"dd", X"4c", X"f7", X"da", X"a5", X"df", X"4a", X"4a", X"4a", X"4a", X"85", X"f6", X"c6", X"f6", X"30", X"06", X"20", X"05", X"dd", X"4c", X"09", X"db", X"20", X"62", X"dc", X"c6", X"f5", X"d0", X"d7", X"a5", X"ed", X"85", X"d4", X"4c", X"04", X"dc", X"20", X"44", X"da", X"18", X"60", X"38", X"60", X"a5", X"e0", X"f0", X"fa", X"a5", X"d4", X"f0", X"f4", X"20", X"cf", X"dc", X"38", X"e5", X"e0", X"18", X"69", X"40", X"30", X"eb", X"20", X"e0", X"dc", X"e6", X"f5", X"4c", X"4e", X"db", X"a2", X"00", X"b5", X"d5", X"95", X"d4", X"e8", X"e0", X"0c", X"d0", X"f7", X"a0", X"05", X"38", X"f8", X"b9", X"da", X"00", X"f9", X"e6", X"00", X"99", X"da", X"00", X"88", X"10", X"f4", X"d8", X"90", X"04", X"e6", X"d9", X"d0", X"e9", X"20", X"0f", X"dd", X"06", X"d9", X"06", X"d9", X"06", X"d9", X"06", X"d9", X"a0", X"05", X"38", X"f8", X"b9", X"da", X"00", X"f9", X"e0", X"00", X"99", X"da", X"00", X"88", X"10", X"f4", X"d8", X"90", X"04", X"e6", X"d9", X"d0", X"e9", X"20", X"09", X"dd", X"c6", X"f5", X"d0", X"b5", X"20", X"62", X"dc", X"4c", X"1a", X"db", X"20", X"af", X"db", X"a4", X"f2", X"90", X"02", X"b1", X"f3", X"c8", X"84", X"f2", X"60", X"a4", X"f2", X"a9", X"20", X"d1", X"f3", X"d0", X"03", X"c8", X"d0", X"f9", X"84", X"f2", X"60", X"a4", X"f2", X"b1", X"f3", X"38", X"e9", X"30", X"90", X"18", X"c9", X"0a", X"60", X"a5", X"f2", X"48", X"20", X"94", X"db", X"90", X"1f", X"c9", X"2e", X"f0", X"14", X"c9", X"2b", X"f0", X"07", X"c9", X"2d", X"f0", X"03", X"68", X"38", X"60", X"20", X"94", X"db", X"90", X"0b", X"c9", X"2e", X"d0", X"f4", X"20", X"94", X"db", X"90", X"02", X"b0", X"ed", X"68", X"85", X"f2", X"18", X"60", X"a2", X"e7", X"d0", X"02", X"a2", X"d5", X"a0", X"04", X"18", X"36", X"04", X"36", X"03", X"36", X"02", X"36", X"01", X"36", X"00", X"26", X"ec", X"88", X"d0", X"f0", X"60", X"a2", X"00", X"86", X"da", X"a2", X"04", X"a5", X"d4", X"f0", X"2e", X"a5", X"d5", X"d0", X"1a", X"a0", X"00", X"b9", X"d6", X"00", X"99", X"d5", X"00", X"c8", X"c0", X"05", X"90", X"f5", X"c6", X"d4", X"ca", X"d0", X"ea", X"a5", X"d5", X"d0", X"04", X"85", X"d4", X"18", X"60", X"a5", X"d4", X"29", X"7f", X"c9", X"71", X"90", X"01", X"60", X"c9", X"0f", X"b0", X"03", X"20", X"44", X"da", X"18", X"60", X"a2", X"d4", X"d0", X"02", X"a2", X"e0", X"86", X"f9", X"85", X"f7", X"85", X"f8", X"a0", X"04", X"b5", X"04", X"95", X"05", X"ca", X"88", X"d0", X"f8", X"a9", X"00", X"95", X"05", X"a6", X"f9", X"c6", X"f7", X"d0", X"ec", X"b5", X"00", X"18", X"65", X"f8", X"95", X"00", X"60", X"a2", X"0a", X"b5", X"d4", X"95", X"d5", X"ca", X"10", X"f9", X"a9", X"00", X"85", X"d4", X"60", X"85", X"f7", X"a2", X"00", X"a0", X"00", X"20", X"93", X"dc", X"38", X"e9", X"01", X"85", X"f7", X"b5", X"d5", X"4a", X"4a", X"4a", X"4a", X"20", X"9d", X"dc", X"b5", X"d5", X"29", X"0f", X"20", X"9d", X"dc", X"e8", X"e0", X"05", X"90", X"e3", X"a5", X"f7", X"d0", X"05", X"a9", X"2e", X"20", X"9f", X"dc", X"60", X"09", X"30", X"99", X"80", X"05", X"c8", X"60", X"a2", X"0a", X"bd", X"80", X"05", X"c9", X"2e", X"f0", X"07", X"c9", X"30", X"d0", X"07", X"ca", X"d0", X"f2", X"ca", X"bd", X"80", X"05", X"60", X"20", X"eb", X"db", X"a5", X"ec", X"29", X"0f", X"60", X"38", X"a5", X"f3", X"e9", X"01", X"85", X"f3", X"a5", X"f4", X"e9", X"00", X"85", X"f4", X"60", X"a5", X"d4", X"45", X"e0", X"29", X"80", X"85", X"ee", X"06", X"e0", X"46", X"e0", X"a5", X"d4", X"29", X"7f", X"60", X"05", X"ee", X"85", X"ed", X"a9", X"00", X"85", X"d4", X"85", X"e0", X"20", X"28", X"dd", X"20", X"e7", X"db", X"a5", X"ec", X"29", X"0f", X"85", X"e6", X"a9", X"05", X"85", X"f5", X"20", X"34", X"dd", X"20", X"44", X"da", X"60", X"a2", X"d9", X"d0", X"06", X"a2", X"d9", X"d0", X"08", X"a2", X"df", X"a0", X"e5", X"d0", X"04", X"a2", X"df", X"a0", X"eb", X"a9", X"05", X"85", X"f7", X"18", X"f8", X"b5", X"00", X"79", X"00", X"00", X"95", X"00", X"ca", X"88", X"c6", X"f7", X"10", X"f3", X"d8", X"60", X"a0", X"05", X"b9", X"e0", X"00", X"99", X"e6", X"00", X"88", X"10", X"f7", X"60", X"a0", X"05", X"b9", X"d4", X"00", X"99", X"da", X"00", X"88", X"10", X"f7", X"60", X"86", X"fe", X"84", X"ff", X"85", X"ef", X"a2", X"e0", X"a0", X"05", X"20", X"a7", X"dd", X"20", X"b6", X"dd", X"a6", X"fe", X"a4", X"ff", X"20", X"89", X"dd", X"c6", X"ef", X"f0", X"2d", X"20", X"db", X"da", X"b0", X"28", X"18", X"a5", X"fe", X"69", X"06", X"85", X"fe", X"90", X"06", X"a5", X"ff", X"69", X"00", X"85", X"ff", X"a6", X"fe", X"a4", X"ff", X"20", X"98", X"dd", X"20", X"66", X"da", X"b0", X"0d", X"c6", X"ef", X"f0", X"09", X"a2", X"e0", X"a0", X"05", X"20", X"98", X"dd", X"30", X"d3", X"60", X"86", X"fc", X"84", X"fd", X"a0", X"05", X"b1", X"fc", X"99", X"d4", X"00", X"88", X"10", X"f8", X"60", X"86", X"fc", X"84", X"fd", X"a0", X"05", X"b1", X"fc", X"99", X"e0", X"00", X"88", X"10", X"f8", X"60", X"86", X"fc", X"84", X"fd", X"a0", X"05", X"b9", X"d4", X"00", X"91", X"fc", X"88", X"10", X"f8", X"60", X"a2", X"05", X"b5", X"d4", X"95", X"e0", X"ca", X"10", X"f9", X"60", X"a2", X"89", X"a0", X"de", X"20", X"98", X"dd", X"20", X"db", X"da", X"b0", X"7f", X"a9", X"00", X"85", X"f1", X"a5", X"d4", X"85", X"f0", X"29", X"7f", X"85", X"d4", X"38", X"e9", X"40", X"30", X"26", X"c9", X"04", X"10", X"6a", X"a2", X"e6", X"a0", X"05", X"20", X"a7", X"dd", X"20", X"d2", X"d9", X"a5", X"d4", X"85", X"f1", X"a5", X"d5", X"d0", X"58", X"20", X"aa", X"d9", X"20", X"b6", X"dd", X"a2", X"e6", X"a0", X"05", X"20", X"89", X"dd", X"20", X"60", X"da", X"a9", X"0a", X"a2", X"4d", X"a0", X"de", X"20", X"40", X"dd", X"20", X"b6", X"dd", X"20", X"db", X"da", X"a5", X"f1", X"f0", X"23", X"18", X"6a", X"85", X"e0", X"a9", X"01", X"90", X"02", X"a9", X"10", X"85", X"e1", X"a2", X"04", X"a9", X"00", X"95", X"e2", X"ca", X"10", X"fb", X"a5", X"e0", X"18", X"69", X"40", X"b0", X"19", X"30", X"17", X"85", X"e0", X"20", X"db", X"da", X"a5", X"f0", X"10", X"0d", X"20", X"b6", X"dd", X"a2", X"8f", X"a0", X"de", X"20", X"89", X"dd", X"20", X"28", X"db", X"60", X"38", X"60", X"3d", X"17", X"94", X"19", X"00", X"00", X"3d", X"57", X"33", X"05", X"00", X"00", X"3e", X"05", X"54", X"76", X"62", X"00", X"3e", X"32", X"19", X"62", X"27", X"00", X"3f", X"01", X"68", X"60", X"30", X"36", X"3f", X"07", X"32", X"03", X"27", X"41", X"3f", X"25", X"43", X"34", X"56", X"75", X"3f", X"66", X"27", X"37", X"30", X"50", X"40", X"01", X"15", X"12", X"92", X"55", X"3f", X"99", X"99", X"99", X"99", X"99", X"3f", X"43", X"42", X"94", X"48", X"19", X"40", X"01", X"00", X"00", X"00", X"00", X"86", X"fe", X"84", X"ff", X"a2", X"e0", X"a0", X"05", X"20", X"a7", X"dd", X"a6", X"fe", X"a4", X"ff", X"20", X"98", X"dd", X"20", X"66", X"da", X"a2", X"e6", X"a0", X"05", X"20", X"a7", X"dd", X"a2", X"e0", X"a0", X"05", X"20", X"89", X"dd", X"a6", X"fe", X"a4", X"ff", X"20", X"98", X"dd", X"20", X"60", X"da", X"a2", X"e6", X"a0", X"05", X"20", X"98", X"dd", X"20", X"28", X"db", X"60", X"a9", X"01", X"d0", X"02", X"a9", X"00", X"85", X"f0", X"a5", X"d4", X"f0", X"05", X"30", X"03", X"4c", X"f6", X"df", X"38", X"60", X"e9", X"40", X"0a", X"85", X"f1", X"a5", X"d5", X"29", X"f0", X"d0", X"04", X"a9", X"01", X"d0", X"04", X"e6", X"f1", X"a9", X"10", X"85", X"e1", X"a2", X"04", X"a9", X"00", X"95", X"e2", X"ca", X"10", X"fb", X"20", X"28", X"db", X"a2", X"66", X"a0", X"df", X"20", X"95", X"de", X"a2", X"e6", X"a0", X"05", X"20", X"a7", X"dd", X"20", X"b6", X"dd", X"20", X"db", X"da", X"a9", X"0a", X"a2", X"72", X"a0", X"df", X"20", X"40", X"dd", X"a2", X"e6", X"a0", X"05", X"20", X"98", X"dd", X"20", X"db", X"da", X"a2", X"6c", X"a0", X"df", X"20", X"98", X"dd", X"20", X"66", X"da", X"20", X"b6", X"dd", X"a9", X"00", X"85", X"d5", X"a5", X"f1", X"85", X"d4", X"10", X"07", X"49", X"ff", X"18", X"69", X"01", X"85", X"d4", X"20", X"aa", X"d9", X"24", X"f1", X"10", X"06", X"a9", X"80", X"05", X"d4", X"85", X"d4", X"20", X"66", X"da", X"a5", X"f0", X"f0", X"0a", X"a2", X"89", X"a0", X"de", X"20", X"98", X"dd", X"20", X"28", X"db", X"18", X"60", X"40", X"03", X"16", X"22", X"77", X"66", X"3f", X"50", X"00", X"00", X"00", X"00", X"3f", X"49", X"15", X"57", X"11", X"08", X"bf", X"51", X"70", X"49", X"47", X"08", X"3f", X"39", X"20", X"57", X"61", X"95", X"bf", X"04", X"39", X"63", X"03", X"55", X"3f", X"10", X"09", X"30", X"12", X"64", X"3f", X"09", X"39", X"08", X"04", X"60", X"3f", X"12", X"42", X"58", X"47", X"42", X"3f", X"17", X"37", X"12", X"06", X"08", X"3f", X"28", X"95", X"29", X"71", X"17", X"3f", X"86", X"85", X"88", X"96", X"44", X"3e", X"16", X"05", X"44", X"49", X"00", X"be", X"95", X"68", X"38", X"45", X"00", X"3f", X"02", X"68", X"79", X"94", X"16", X"bf", X"04", X"92", X"78", X"90", X"80", X"3f", X"07", X"03", X"15", X"20", X"00", X"bf", X"08", X"92", X"29", X"12", X"44", X"3f", X"11", X"08", X"40", X"09", X"11", X"bf", X"14", X"28", X"31", X"56", X"04", X"3f", X"19", X"99", X"98", X"77", X"44", X"bf", X"33", X"33", X"33", X"31", X"13", X"3f", X"99", X"99", X"99", X"99", X"99", X"3f", X"78", X"53", X"98", X"16", X"34", X"a5", X"d4", X"85", X"e0", X"38", X"4c", X"e0", X"de", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"18", X"18", X"18", X"00", X"18", X"00", X"00", X"66", X"66", X"66", X"00", X"00", X"00", X"00", X"00", X"66", X"ff", X"66", X"66", X"ff", X"66", X"00", X"18", X"3e", X"60", X"3c", X"06", X"7c", X"18", X"00", X"00", X"66", X"6c", X"18", X"30", X"66", X"46", X"00", X"1c", X"36", X"1c", X"38", X"6f", X"66", X"3b", X"00", X"00", X"18", X"18", X"18", X"00", X"00", X"00", X"00", X"00", X"0e", X"1c", X"18", X"18", X"1c", X"0e", X"00", X"00", X"70", X"38", X"18", X"18", X"38", X"70", X"00", X"00", X"66", X"3c", X"ff", X"3c", X"66", X"00", X"00", X"00", X"18", X"18", X"7e", X"18", X"18", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"18", X"30", X"00", X"00", X"00", X"7e", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"18", X"18", X"00", X"00", X"06", X"0c", X"18", X"30", X"60", X"40", X"00", X"00", X"3c", X"66", X"6e", X"76", X"66", X"3c", X"00", X"00", X"18", X"38", X"18", X"18", X"18", X"7e", X"00", X"00", X"3c", X"66", X"0c", X"18", X"30", X"7e", X"00", X"00", X"7e", X"0c", X"18", X"0c", X"66", X"3c", X"00", X"00", X"0c", X"1c", X"3c", X"6c", X"7e", X"0c", X"00", X"00", X"7e", X"60", X"7c", X"06", X"66", X"3c", X"00", X"00", X"3c", X"60", X"7c", X"66", X"66", X"3c", X"00", X"00", X"7e", X"06", X"0c", X"18", X"30", X"30", X"00", X"00", X"3c", X"66", X"3c", X"66", X"66", X"3c", X"00", X"00", X"3c", X"66", X"3e", X"06", X"0c", X"38", X"00", X"00", X"00", X"18", X"18", X"00", X"18", X"18", X"00", X"00", X"00", X"18", X"18", X"00", X"18", X"18", X"30", X"06", X"0c", X"18", X"30", X"18", X"0c", X"06", X"00", X"00", X"00", X"7e", X"00", X"00", X"7e", X"00", X"00", X"60", X"30", X"18", X"0c", X"18", X"30", X"60", X"00", X"00", X"3c", X"66", X"0c", X"18", X"00", X"18", X"00", X"00", X"3c", X"66", X"6e", X"6e", X"60", X"3e", X"00", X"00", X"18", X"3c", X"66", X"66", X"7e", X"66", X"00", X"00", X"7c", X"66", X"7c", X"66", X"66", X"7c", X"00", X"00", X"3c", X"66", X"60", X"60", X"66", X"3c", X"00", X"00", X"78", X"6c", X"66", X"66", X"6c", X"78", X"00", X"00", X"7e", X"60", X"7c", X"60", X"60", X"7e", X"00", X"00", X"7e", X"60", X"7c", X"60", X"60", X"60", X"00", X"00", X"3e", X"60", X"60", X"6e", X"66", X"3e", X"00", X"00", X"66", X"66", X"7e", X"66", X"66", X"66", X"00", X"00", X"7e", X"18", X"18", X"18", X"18", X"7e", X"00", X"00", X"06", X"06", X"06", X"06", X"66", X"3c", X"00", X"00", X"66", X"6c", X"78", X"78", X"6c", X"66", X"00", X"00", X"60", X"60", X"60", X"60", X"60", X"7e", X"00", X"00", X"63", X"77", X"7f", X"6b", X"63", X"63", X"00", X"00", X"66", X"76", X"7e", X"7e", X"6e", X"66", X"00", X"00", X"3c", X"66", X"66", X"66", X"66", X"3c", X"00", X"00", X"7c", X"66", X"66", X"7c", X"60", X"60", X"00", X"00", X"3c", X"66", X"66", X"66", X"6c", X"36", X"00", X"00", X"7c", X"66", X"66", X"7c", X"6c", X"66", X"00", X"00", X"3c", X"60", X"3c", X"06", X"06", X"3c", X"00", X"00", X"7e", X"18", X"18", X"18", X"18", X"18", X"00", X"00", X"66", X"66", X"66", X"66", X"66", X"7e", X"00", X"00", X"66", X"66", X"66", X"66", X"3c", X"18", X"00", X"00", X"63", X"63", X"6b", X"7f", X"77", X"63", X"00", X"00", X"66", X"66", X"3c", X"3c", X"66", X"66", X"00", X"00", X"66", X"66", X"3c", X"18", X"18", X"18", X"00", X"00", X"7e", X"0c", X"18", X"30", X"60", X"7e", X"00", X"00", X"1e", X"18", X"18", X"18", X"18", X"1e", X"00", X"00", X"40", X"60", X"30", X"18", X"0c", X"06", X"00", X"00", X"78", X"18", X"18", X"18", X"18", X"78", X"00", X"00", X"08", X"1c", X"36", X"63", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"ff", X"00", X"00", X"36", X"7f", X"7f", X"3e", X"1c", X"08", X"00", X"18", X"18", X"18", X"1f", X"1f", X"18", X"18", X"18", X"03", X"03", X"03", X"03", X"03", X"03", X"03", X"03", X"18", X"18", X"18", X"f8", X"f8", X"00", X"00", X"00", X"18", X"18", X"18", X"f8", X"f8", X"18", X"18", X"18", X"00", X"00", X"00", X"f8", X"f8", X"18", X"18", X"18", X"03", X"07", X"0e", X"1c", X"38", X"70", X"e0", X"c0", X"c0", X"e0", X"70", X"38", X"1c", X"0e", X"07", X"03", X"01", X"03", X"07", X"0f", X"1f", X"3f", X"7f", X"ff", X"00", X"00", X"00", X"00", X"0f", X"0f", X"0f", X"0f", X"80", X"c0", X"e0", X"f0", X"f8", X"fc", X"fe", X"ff", X"0f", X"0f", X"0f", X"0f", X"00", X"00", X"00", X"00", X"f0", X"f0", X"f0", X"f0", X"00", X"00", X"00", X"00", X"ff", X"ff", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"ff", X"ff", X"00", X"00", X"00", X"00", X"f0", X"f0", X"f0", X"f0", X"00", X"1c", X"1c", X"77", X"77", X"08", X"1c", X"00", X"00", X"00", X"00", X"1f", X"1f", X"18", X"18", X"18", X"00", X"00", X"00", X"ff", X"ff", X"00", X"00", X"00", X"18", X"18", X"18", X"ff", X"ff", X"18", X"18", X"18", X"00", X"00", X"3c", X"7e", X"7e", X"7e", X"3c", X"00", X"00", X"00", X"00", X"00", X"ff", X"ff", X"ff", X"ff", X"c0", X"c0", X"c0", X"c0", X"c0", X"c0", X"c0", X"c0", X"00", X"00", X"00", X"ff", X"ff", X"18", X"18", X"18", X"18", X"18", X"18", X"ff", X"ff", X"00", X"00", X"00", X"f0", X"f0", X"f0", X"f0", X"f0", X"f0", X"f0", X"f0", X"18", X"18", X"18", X"1f", X"1f", X"00", X"00", X"00", X"78", X"60", X"78", X"60", X"7e", X"18", X"1e", X"00", X"00", X"18", X"3c", X"7e", X"18", X"18", X"18", X"00", X"00", X"18", X"18", X"18", X"7e", X"3c", X"18", X"00", X"00", X"18", X"30", X"7e", X"30", X"18", X"00", X"00", X"00", X"18", X"0c", X"7e", X"0c", X"18", X"00", X"00", X"00", X"18", X"3c", X"7e", X"7e", X"3c", X"18", X"00", X"00", X"00", X"3c", X"06", X"3e", X"66", X"3e", X"00", X"00", X"60", X"60", X"7c", X"66", X"66", X"7c", X"00", X"00", X"00", X"3c", X"60", X"60", X"60", X"3c", X"00", X"00", X"06", X"06", X"3e", X"66", X"66", X"3e", X"00", X"00", X"00", X"3c", X"66", X"7e", X"60", X"3c", X"00", X"00", X"0e", X"18", X"3e", X"18", X"18", X"18", X"00", X"00", X"00", X"3e", X"66", X"66", X"3e", X"06", X"7c", X"00", X"60", X"60", X"7c", X"66", X"66", X"66", X"00", X"00", X"18", X"00", X"38", X"18", X"18", X"3c", X"00", X"00", X"06", X"00", X"06", X"06", X"06", X"06", X"3c", X"00", X"60", X"60", X"6c", X"78", X"6c", X"66", X"00", X"00", X"38", X"18", X"18", X"18", X"18", X"3c", X"00", X"00", X"00", X"66", X"7f", X"7f", X"6b", X"63", X"00", X"00", X"00", X"7c", X"66", X"66", X"66", X"66", X"00", X"00", X"00", X"3c", X"66", X"66", X"66", X"3c", X"00", X"00", X"00", X"7c", X"66", X"66", X"7c", X"60", X"60", X"00", X"00", X"3e", X"66", X"66", X"3e", X"06", X"06", X"00", X"00", X"7c", X"66", X"60", X"60", X"60", X"00", X"00", X"00", X"3e", X"60", X"3c", X"06", X"7c", X"00", X"00", X"18", X"7e", X"18", X"18", X"18", X"0e", X"00", X"00", X"00", X"66", X"66", X"66", X"66", X"3e", X"00", X"00", X"00", X"66", X"66", X"66", X"3c", X"18", X"00", X"00", X"00", X"63", X"6b", X"7f", X"3e", X"36", X"00", X"00", X"00", X"66", X"3c", X"18", X"3c", X"66", X"00", X"00", X"00", X"66", X"66", X"66", X"3e", X"0c", X"78", X"00", X"00", X"7e", X"0c", X"18", X"30", X"7e", X"00", X"00", X"18", X"3c", X"7e", X"7e", X"18", X"3c", X"00", X"18", X"18", X"18", X"18", X"18", X"18", X"18", X"18", X"00", X"7e", X"78", X"7c", X"6e", X"66", X"06", X"00", X"08", X"18", X"38", X"78", X"38", X"18", X"08", X"00", X"10", X"18", X"1c", X"1e", X"1c", X"18", X"10", X"00", X"93", X"ef", X"2d", X"f2", X"49", X"f2", X"af", X"f2", X"1d", X"f2", X"2c", X"f2", X"4c", X"6e", X"ef", X"00", X"8d", X"ef", X"2d", X"f2", X"7f", X"f1", X"a3", X"f1", X"1d", X"f2", X"ae", X"f9", X"4c", X"6e", X"ef", X"00", X"1d", X"f2", X"1d", X"f2", X"fc", X"f2", X"2c", X"f2", X"1d", X"f2", X"2c", X"f2", X"4c", X"6e", X"ef", X"00", X"c1", X"fe", X"06", X"ff", X"c0", X"fe", X"ca", X"fe", X"a2", X"fe", X"c0", X"fe", X"4c", X"99", X"fe", X"00", X"e5", X"fc", X"ce", X"fd", X"79", X"fd", X"b3", X"fd", X"cb", X"fd", X"e4", X"fc", X"4c", X"db", X"fc", X"00", X"4c", X"a3", X"c6", X"4c", X"b3", X"c6", X"4c", X"df", X"e4", X"4c", X"33", X"c9", X"4c", X"72", X"c2", X"4c", X"e2", X"c0", X"4c", X"8a", X"c2", X"4c", X"5c", X"e9", X"4c", X"17", X"ec", X"4c", X"0c", X"c0", X"4c", X"c1", X"e4", X"4c", X"23", X"f2", X"4c", X"90", X"c2", X"4c", X"c8", X"c2", X"4c", X"8d", X"fd", X"4c", X"f7", X"fc", X"4c", X"23", X"f2", X"4c", X"00", X"50", X"4c", X"bc", X"ee", X"4c", X"15", X"e9", X"4c", X"98", X"e8", X"90", X"c9", X"95", X"c9", X"9a", X"c9", X"9f", X"c9", X"a4", X"c9", X"a9", X"c9", X"4c", X"0c", X"c9", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"60", X"a2", X"00", X"a9", X"ff", X"9d", X"40", X"03", X"a9", X"db", X"9d", X"46", X"03", X"a9", X"e4", X"9d", X"47", X"03", X"8a", X"18", X"69", X"10", X"aa", X"c9", X"80", X"90", X"e8", X"60", X"a0", X"85", X"60", X"85", X"2f", X"86", X"2e", X"8a", X"29", X"0f", X"d0", X"04", X"e0", X"80", X"90", X"05", X"a0", X"86", X"4c", X"70", X"e6", X"a0", X"00", X"bd", X"40", X"03", X"99", X"20", X"00", X"e8", X"c8", X"c0", X"0c", X"90", X"f4", X"a5", X"20", X"c9", X"7f", X"d0", X"15", X"a5", X"22", X"c9", X"0c", X"f0", X"71", X"ad", X"e9", X"02", X"d0", X"05", X"a0", X"82", X"4c", X"70", X"e6", X"20", X"29", X"ca", X"30", X"f8", X"a0", X"84", X"a5", X"22", X"c9", X"03", X"90", X"25", X"a8", X"c0", X"0e", X"90", X"02", X"a0", X"0e", X"84", X"17", X"b9", X"2a", X"e7", X"f0", X"0f", X"c9", X"02", X"f0", X"48", X"c9", X"08", X"b0", X"5f", X"c9", X"04", X"f0", X"76", X"4c", X"1e", X"e6", X"a5", X"20", X"c9", X"ff", X"f0", X"05", X"a0", X"81", X"4c", X"70", X"e6", X"ad", X"e9", X"02", X"d0", X"27", X"20", X"ff", X"e6", X"b0", X"22", X"a9", X"00", X"8d", X"ea", X"02", X"8d", X"eb", X"02", X"20", X"95", X"e6", X"b0", X"e6", X"20", X"ea", X"e6", X"a9", X"0b", X"85", X"17", X"20", X"95", X"e6", X"a5", X"2c", X"85", X"26", X"a5", X"2d", X"85", X"27", X"4c", X"72", X"e6", X"20", X"f9", X"ee", X"4c", X"70", X"e6", X"a0", X"01", X"84", X"23", X"20", X"95", X"e6", X"b0", X"03", X"20", X"ea", X"e6", X"a9", X"ff", X"85", X"20", X"a9", X"e4", X"85", X"27", X"a9", X"db", X"85", X"26", X"4c", X"72", X"e6", X"a5", X"20", X"c9", X"ff", X"d0", X"05", X"20", X"ff", X"e6", X"b0", X"a5", X"20", X"95", X"e6", X"20", X"ea", X"e6", X"a6", X"2e", X"bd", X"40", X"03", X"85", X"20", X"4c", X"72", X"e6", X"a5", X"22", X"25", X"2a", X"d0", X"05", X"a0", X"83", X"4c", X"70", X"e6", X"20", X"95", X"e6", X"b0", X"f8", X"a5", X"28", X"05", X"29", X"d0", X"08", X"20", X"ea", X"e6", X"85", X"2f", X"4c", X"72", X"e6", X"20", X"ea", X"e6", X"85", X"2f", X"30", X"41", X"a0", X"00", X"91", X"24", X"20", X"d1", X"e6", X"a5", X"22", X"29", X"02", X"d0", X"0c", X"a5", X"2f", X"c9", X"9b", X"d0", X"06", X"20", X"bb", X"e6", X"4c", X"18", X"e6", X"20", X"bb", X"e6", X"d0", X"db", X"a5", X"22", X"29", X"02", X"d0", X"1d", X"20", X"ea", X"e6", X"85", X"2f", X"30", X"0a", X"a5", X"2f", X"c9", X"9b", X"d0", X"f3", X"a9", X"89", X"85", X"23", X"20", X"c8", X"e6", X"a0", X"00", X"a9", X"9b", X"91", X"24", X"20", X"d1", X"e6", X"20", X"d8", X"e6", X"4c", X"72", X"e6", X"a5", X"22", X"25", X"2a", X"d0", X"05", X"a0", X"87", X"4c", X"70", X"e6", X"20", X"95", X"e6", X"b0", X"f8", X"a5", X"28", X"05", X"29", X"d0", X"06", X"a5", X"2f", X"e6", X"28", X"d0", X"06", X"a0", X"00", X"b1", X"24", X"85", X"2f", X"20", X"ea", X"e6", X"08", X"20", X"d1", X"e6", X"20", X"bb", X"e6", X"28", X"30", X"1d", X"a5", X"22", X"29", X"02", X"d0", X"06", X"a5", X"2f", X"c9", X"9b", X"f0", X"11", X"a5", X"28", X"05", X"29", X"d0", X"db", X"a5", X"22", X"29", X"02", X"d0", X"05", X"a9", X"9b", X"20", X"ea", X"e6", X"20", X"d8", X"e6", X"4c", X"72", X"e6", X"84", X"23", X"a4", X"2e", X"b9", X"44", X"03", X"85", X"24", X"b9", X"45", X"03", X"85", X"25", X"a2", X"00", X"8e", X"e9", X"02", X"b5", X"20", X"99", X"40", X"03", X"e8", X"c8", X"e0", X"0c", X"90", X"f5", X"a5", X"2f", X"a6", X"2e", X"a4", X"23", X"60", X"a4", X"20", X"c0", X"22", X"90", X"04", X"a0", X"85", X"b0", X"1b", X"b9", X"1b", X"03", X"85", X"2c", X"b9", X"1c", X"03", X"85", X"2d", X"a4", X"17", X"b9", X"2a", X"e7", X"a8", X"b1", X"2c", X"aa", X"c8", X"b1", X"2c", X"85", X"2d", X"86", X"2c", X"18", X"60", X"a5", X"28", X"d0", X"02", X"c6", X"29", X"c6", X"28", X"a5", X"28", X"05", X"29", X"60", X"a5", X"24", X"d0", X"02", X"c6", X"25", X"c6", X"24", X"60", X"e6", X"24", X"d0", X"02", X"e6", X"25", X"60", X"a6", X"2e", X"38", X"bd", X"48", X"03", X"e5", X"28", X"85", X"28", X"bd", X"49", X"03", X"e5", X"29", X"85", X"29", X"60", X"a0", X"92", X"20", X"f4", X"e6", X"84", X"23", X"c0", X"00", X"60", X"aa", X"a5", X"2d", X"48", X"a5", X"2c", X"48", X"8a", X"a6", X"2e", X"60", X"38", X"a0", X"01", X"b1", X"24", X"e9", X"31", X"30", X"04", X"c9", X"09", X"90", X"02", X"a9", X"00", X"85", X"21", X"e6", X"21", X"a0", X"00", X"b1", X"24", X"f0", X"0c", X"a0", X"21", X"d9", X"1a", X"03", X"f0", X"09", X"88", X"88", X"88", X"10", X"f6", X"a0", X"82", X"38", X"60", X"98", X"85", X"20", X"18", X"60", X"00", X"04", X"04", X"04", X"04", X"06", X"06", X"06", X"06", X"02", X"08", X"0a", X"a5", X"08", X"f0", X"25", X"a9", X"e9", X"85", X"4a", X"a9", X"03", X"85", X"4b", X"a0", X"12", X"18", X"b1", X"4a", X"aa", X"c8", X"71", X"4a", X"f0", X"26", X"b1", X"4a", X"85", X"4b", X"86", X"4a", X"20", X"56", X"cb", X"d0", X"1b", X"20", X"94", X"e8", X"b0", X"16", X"90", X"e3", X"a9", X"00", X"8d", X"fb", X"03", X"8d", X"fc", X"03", X"a9", X"4f", X"d0", X"2d", X"a9", X"00", X"a8", X"20", X"be", X"e7", X"10", X"01", X"60", X"18", X"ad", X"e7", X"02", X"6d", X"ea", X"02", X"8d", X"12", X"03", X"ad", X"e8", X"02", X"6d", X"eb", X"02", X"8d", X"13", X"03", X"38", X"ad", X"e5", X"02", X"ed", X"12", X"03", X"ad", X"e6", X"02", X"ed", X"13", X"03", X"b0", X"09", X"a9", X"4e", X"a8", X"20", X"be", X"e7", X"4c", X"6e", X"e7", X"ad", X"ec", X"02", X"ae", X"e7", X"02", X"8e", X"ec", X"02", X"ae", X"e8", X"02", X"8e", X"ed", X"02", X"20", X"de", X"e7", X"30", X"e3", X"38", X"20", X"9e", X"e8", X"b0", X"dd", X"90", X"b0", X"48", X"a2", X"09", X"bd", X"d4", X"e7", X"9d", X"00", X"03", X"ca", X"10", X"f7", X"8c", X"0b", X"03", X"68", X"8d", X"0a", X"03", X"4c", X"59", X"e4", X"4f", X"01", X"40", X"40", X"ea", X"02", X"1e", X"00", X"04", X"00", X"8d", X"13", X"03", X"a2", X"00", X"8e", X"12", X"03", X"ca", X"8e", X"15", X"03", X"ad", X"ec", X"02", X"6a", X"90", X"08", X"ee", X"ec", X"02", X"d0", X"03", X"ee", X"ed", X"02", X"ad", X"ec", X"02", X"8d", X"d1", X"02", X"ad", X"ed", X"02", X"8d", X"d2", X"02", X"a9", X"16", X"8d", X"cf", X"02", X"a9", X"e8", X"8d", X"d0", X"02", X"a9", X"80", X"8d", X"d3", X"02", X"4c", X"45", X"c7", X"ae", X"15", X"03", X"e8", X"8e", X"15", X"03", X"f0", X"08", X"ae", X"15", X"03", X"bd", X"7d", X"03", X"18", X"60", X"a9", X"80", X"8d", X"15", X"03", X"20", X"33", X"e8", X"10", X"ee", X"38", X"60", X"a2", X"0b", X"bd", X"51", X"e8", X"9d", X"00", X"03", X"ca", X"10", X"f7", X"ae", X"12", X"03", X"8e", X"0a", X"03", X"e8", X"8e", X"12", X"03", X"ad", X"13", X"03", X"8d", X"00", X"03", X"4c", X"59", X"e4", X"00", X"01", X"26", X"40", X"fd", X"03", X"1e", X"00", X"80", X"00", X"00", X"00", X"8c", X"12", X"03", X"8d", X"13", X"03", X"a9", X"e9", X"85", X"4a", X"a9", X"03", X"85", X"4b", X"a0", X"12", X"b1", X"4a", X"aa", X"c8", X"b1", X"4a", X"cd", X"13", X"03", X"d0", X"07", X"ec", X"12", X"03", X"d0", X"02", X"18", X"60", X"c9", X"00", X"d0", X"06", X"e0", X"00", X"d0", X"02", X"38", X"60", X"86", X"4a", X"85", X"4b", X"20", X"56", X"cb", X"d0", X"f5", X"f0", X"d7", X"38", X"08", X"b0", X"28", X"8d", X"ed", X"02", X"8c", X"ec", X"02", X"08", X"a9", X"00", X"a8", X"20", X"5d", X"e8", X"b0", X"27", X"a0", X"12", X"ad", X"ec", X"02", X"91", X"4a", X"aa", X"c8", X"ad", X"ed", X"02", X"91", X"4a", X"86", X"4a", X"85", X"4b", X"a9", X"00", X"91", X"4a", X"88", X"91", X"4a", X"20", X"00", X"e9", X"90", X"0c", X"ad", X"ed", X"02", X"ac", X"ec", X"02", X"20", X"15", X"e9", X"28", X"38", X"60", X"28", X"b0", X"09", X"a9", X"00", X"a0", X"10", X"91", X"4a", X"c8", X"91", X"4a", X"18", X"a0", X"10", X"ad", X"e7", X"02", X"71", X"4a", X"8d", X"e7", X"02", X"c8", X"ad", X"e8", X"02", X"71", X"4a", X"8d", X"e8", X"02", X"a0", X"0f", X"a9", X"00", X"91", X"4a", X"20", X"56", X"cb", X"a0", X"0f", X"91", X"4a", X"18", X"60", X"18", X"a5", X"4a", X"69", X"0c", X"8d", X"12", X"03", X"a5", X"4b", X"69", X"00", X"8d", X"13", X"03", X"6c", X"12", X"03", X"4c", X"72", X"c2", X"20", X"5d", X"e8", X"b0", X"3b", X"a8", X"a5", X"4a", X"48", X"a5", X"4b", X"48", X"86", X"4a", X"84", X"4b", X"ad", X"44", X"02", X"d0", X"0f", X"a0", X"10", X"18", X"b1", X"4a", X"c8", X"71", X"4a", X"d0", X"1f", X"20", X"56", X"cb", X"d0", X"1a", X"a0", X"12", X"b1", X"4a", X"aa", X"c8", X"b1", X"4a", X"a8", X"68", X"85", X"4b", X"68", X"85", X"4a", X"98", X"a0", X"13", X"91", X"4a", X"88", X"8a", X"91", X"4a", X"18", X"60", X"68", X"68", X"38", X"60", X"00", X"00", X"4c", X"33", X"c9", X"a9", X"3c", X"8d", X"02", X"d3", X"a9", X"3c", X"8d", X"03", X"d3", X"a9", X"03", X"8d", X"32", X"02", X"85", X"41", X"8d", X"0f", X"d2", X"60", X"ba", X"8e", X"18", X"03", X"a9", X"01", X"85", X"42", X"ad", X"00", X"03", X"c9", X"60", X"d0", X"03", X"4c", X"9d", X"eb", X"a9", X"00", X"8d", X"0f", X"03", X"a9", X"01", X"8d", X"bd", X"02", X"a9", X"0d", X"8d", X"9c", X"02", X"a9", X"28", X"8d", X"04", X"d2", X"a9", X"00", X"8d", X"06", X"d2", X"18", X"ad", X"00", X"03", X"6d", X"01", X"03", X"69", X"ff", X"8d", X"3a", X"02", X"ad", X"02", X"03", X"8d", X"3b", X"02", X"ad", X"0a", X"03", X"8d", X"3c", X"02", X"ad", X"0b", X"03", X"8d", X"3d", X"02", X"18", X"a9", X"3a", X"85", X"32", X"69", X"04", X"85", X"34", X"a9", X"02", X"85", X"33", X"85", X"35", X"a9", X"34", X"8d", X"03", X"d3", X"20", X"af", X"ec", X"ad", X"3f", X"02", X"d0", X"03", X"98", X"d0", X"08", X"ce", X"9c", X"02", X"10", X"b4", X"4c", X"22", X"ea", X"ad", X"03", X"03", X"10", X"0d", X"a9", X"0d", X"8d", X"9c", X"02", X"20", X"87", X"eb", X"20", X"af", X"ec", X"f0", X"2f", X"20", X"9a", X"ec", X"a9", X"00", X"8d", X"3f", X"02", X"20", X"c0", X"ec", X"f0", X"12", X"2c", X"03", X"03", X"70", X"07", X"ad", X"3f", X"02", X"d0", X"18", X"f0", X"1e", X"20", X"87", X"eb", X"20", X"fd", X"ea", X"ad", X"3f", X"02", X"f0", X"05", X"ad", X"19", X"03", X"85", X"30", X"a5", X"30", X"c9", X"01", X"f0", X"08", X"ce", X"bd", X"02", X"30", X"03", X"4c", X"8d", X"e9", X"20", X"84", X"ec", X"a9", X"00", X"85", X"42", X"a4", X"30", X"8c", X"03", X"03", X"60", X"a9", X"00", X"8d", X"3f", X"02", X"18", X"a9", X"3e", X"85", X"32", X"69", X"01", X"85", X"34", X"a9", X"02", X"85", X"33", X"85", X"35", X"a9", X"ff", X"85", X"3c", X"20", X"fd", X"ea", X"a0", X"ff", X"a5", X"30", X"c9", X"01", X"d0", X"19", X"ad", X"3e", X"02", X"c9", X"41", X"f0", X"21", X"c9", X"43", X"f0", X"1d", X"c9", X"45", X"d0", X"06", X"a9", X"90", X"85", X"30", X"d0", X"04", X"a9", X"8b", X"85", X"30", X"a5", X"30", X"c9", X"8a", X"f0", X"07", X"a9", X"ff", X"8d", X"3f", X"02", X"d0", X"02", X"a0", X"00", X"a5", X"30", X"8d", X"19", X"03", X"60", X"a9", X"01", X"85", X"30", X"20", X"17", X"ec", X"a0", X"00", X"84", X"31", X"84", X"3b", X"84", X"3a", X"b1", X"32", X"8d", X"0d", X"d2", X"85", X"31", X"a5", X"11", X"d0", X"03", X"4c", X"c7", X"ed", X"a5", X"3a", X"f0", X"f5", X"20", X"84", X"ec", X"60", X"98", X"48", X"e6", X"32", X"d0", X"02", X"e6", X"33", X"a5", X"32", X"c5", X"34", X"a5", X"33", X"e5", X"35", X"90", X"1c", X"a5", X"3b", X"d0", X"0b", X"a5", X"31", X"8d", X"0d", X"d2", X"a9", X"ff", X"85", X"3b", X"d0", X"09", X"a5", X"10", X"09", X"08", X"85", X"10", X"8d", X"0e", X"d2", X"68", X"a8", X"68", X"40", X"a0", X"00", X"b1", X"32", X"8d", X"0d", X"d2", X"18", X"65", X"31", X"69", X"00", X"85", X"31", X"4c", X"d7", X"ea", X"a5", X"3b", X"f0", X"0b", X"85", X"3a", X"a5", X"10", X"29", X"f7", X"85", X"10", X"8d", X"0e", X"d2", X"68", X"40", X"a9", X"00", X"ac", X"0f", X"03", X"d0", X"02", X"85", X"31", X"85", X"38", X"85", X"39", X"a9", X"01", X"85", X"30", X"20", X"40", X"ec", X"a9", X"3c", X"8d", X"03", X"d3", X"a5", X"11", X"d0", X"03", X"4c", X"c7", X"ed", X"ad", X"17", X"03", X"f0", X"05", X"a5", X"39", X"f0", X"f0", X"60", X"a9", X"8a", X"85", X"30", X"60", X"98", X"48", X"ad", X"0f", X"d2", X"8d", X"0a", X"d2", X"30", X"04", X"a0", X"8c", X"84", X"30", X"29", X"20", X"d0", X"04", X"a0", X"8e", X"84", X"30", X"a5", X"38", X"f0", X"13", X"ad", X"0d", X"d2", X"c5", X"31", X"f0", X"04", X"a0", X"8f", X"84", X"30", X"a9", X"ff", X"85", X"39", X"68", X"a8", X"68", X"40", X"ad", X"0d", X"d2", X"a0", X"00", X"91", X"32", X"18", X"65", X"31", X"69", X"00", X"85", X"31", X"e6", X"32", X"d0", X"02", X"e6", X"33", X"a5", X"32", X"c5", X"34", X"a5", X"33", X"e5", X"35", X"90", X"de", X"a5", X"3c", X"f0", X"06", X"a9", X"00", X"85", X"3c", X"f0", X"d0", X"a9", X"ff", X"85", X"38", X"d0", X"ce", X"18", X"ad", X"04", X"03", X"85", X"32", X"6d", X"08", X"03", X"85", X"34", X"ad", X"05", X"03", X"85", X"33", X"6d", X"09", X"03", X"85", X"35", X"60", X"ad", X"03", X"03", X"10", X"32", X"a9", X"cc", X"8d", X"04", X"d2", X"a9", X"05", X"8d", X"06", X"d2", X"20", X"17", X"ec", X"a6", X"62", X"bc", X"15", X"ee", X"ad", X"0b", X"03", X"30", X"03", X"bc", X"11", X"ee", X"a2", X"00", X"20", X"e2", X"ed", X"a9", X"34", X"8d", X"02", X"d3", X"ad", X"17", X"03", X"d0", X"fb", X"20", X"87", X"eb", X"20", X"88", X"ea", X"4c", X"04", X"ec", X"a9", X"ff", X"8d", X"0f", X"03", X"a6", X"62", X"bc", X"17", X"ee", X"ad", X"0b", X"03", X"30", X"03", X"bc", X"13", X"ee", X"a2", X"00", X"20", X"e2", X"ed", X"a9", X"34", X"8d", X"02", X"d3", X"ad", X"17", X"03", X"d0", X"fb", X"20", X"87", X"eb", X"20", X"9a", X"ec", X"20", X"e2", X"ed", X"20", X"3d", X"ed", X"20", X"fd", X"ea", X"ad", X"0b", X"03", X"30", X"05", X"a9", X"3c", X"8d", X"02", X"d3", X"4c", X"2a", X"ea", X"a9", X"00", X"8d", X"17", X"03", X"60", X"a9", X"07", X"2d", X"32", X"02", X"09", X"20", X"ac", X"00", X"03", X"c0", X"60", X"d0", X"0c", X"09", X"08", X"a0", X"07", X"8c", X"02", X"d2", X"a0", X"05", X"8c", X"00", X"d2", X"8d", X"32", X"02", X"8d", X"0f", X"d2", X"a9", X"c7", X"25", X"10", X"09", X"10", X"4c", X"56", X"ec", X"a9", X"07", X"2d", X"32", X"02", X"09", X"10", X"8d", X"32", X"02", X"8d", X"0f", X"d2", X"8d", X"0a", X"d2", X"a9", X"c7", X"25", X"10", X"09", X"20", X"85", X"10", X"8d", X"0e", X"d2", X"a9", X"28", X"8d", X"08", X"d2", X"a2", X"06", X"a9", X"a8", X"a4", X"41", X"d0", X"02", X"a9", X"a0", X"9d", X"01", X"d2", X"ca", X"ca", X"10", X"f9", X"a9", X"a0", X"8d", X"05", X"d2", X"ac", X"00", X"03", X"c0", X"60", X"f0", X"06", X"8d", X"01", X"d2", X"8d", X"03", X"d2", X"60", X"ea", X"a9", X"c7", X"25", X"10", X"85", X"10", X"8d", X"0e", X"d2", X"a2", X"06", X"a9", X"00", X"9d", X"01", X"d2", X"ca", X"ca", X"10", X"f9", X"60", X"ad", X"06", X"03", X"6a", X"6a", X"a8", X"29", X"3f", X"aa", X"98", X"6a", X"29", X"c0", X"a8", X"60", X"2c", X"eb", X"ad", X"ea", X"ec", X"ea", X"a2", X"01", X"a0", X"ff", X"88", X"d0", X"fd", X"ca", X"d0", X"f8", X"20", X"88", X"ea", X"a0", X"02", X"a2", X"00", X"20", X"e2", X"ed", X"20", X"37", X"ea", X"98", X"60", X"8d", X"10", X"03", X"8c", X"11", X"03", X"20", X"2e", X"ed", X"8d", X"10", X"03", X"ad", X"0c", X"03", X"20", X"2e", X"ed", X"8d", X"0c", X"03", X"ad", X"10", X"03", X"38", X"ed", X"0c", X"03", X"8d", X"12", X"03", X"ad", X"11", X"03", X"38", X"ed", X"0d", X"03", X"a8", X"a6", X"62", X"a9", X"00", X"38", X"fd", X"19", X"ee", X"18", X"7d", X"19", X"ee", X"88", X"10", X"f9", X"18", X"6d", X"12", X"03", X"a8", X"4a", X"4a", X"4a", X"0a", X"38", X"e9", X"16", X"aa", X"98", X"29", X"07", X"a8", X"a9", X"f5", X"18", X"69", X"0b", X"88", X"10", X"fa", X"a0", X"00", X"38", X"e9", X"07", X"10", X"01", X"88", X"18", X"7d", X"f9", X"ed", X"8d", X"ee", X"02", X"98", X"7d", X"fa", X"ed", X"8d", X"ef", X"02", X"60", X"c9", X"7c", X"30", X"04", X"38", X"e9", X"7c", X"60", X"18", X"a6", X"62", X"7d", X"1b", X"ee", X"60", X"a5", X"11", X"d0", X"03", X"4c", X"c7", X"ed", X"78", X"ad", X"17", X"03", X"d0", X"02", X"f0", X"25", X"ad", X"0f", X"d2", X"29", X"10", X"d0", X"ea", X"8d", X"16", X"03", X"ae", X"0b", X"d4", X"a4", X"14", X"8e", X"0c", X"03", X"8c", X"0d", X"03", X"a2", X"01", X"8e", X"15", X"03", X"a0", X"0a", X"a5", X"11", X"f0", X"5b", X"ad", X"17", X"03", X"d0", X"04", X"58", X"4c", X"27", X"eb", X"ad", X"0f", X"d2", X"29", X"10", X"cd", X"16", X"03", X"f0", X"e9", X"8d", X"16", X"03", X"88", X"d0", X"e3", X"ce", X"15", X"03", X"30", X"0c", X"ad", X"0b", X"d4", X"a4", X"14", X"20", X"c8", X"ec", X"a0", X"09", X"d0", X"d2", X"ad", X"ee", X"02", X"8d", X"04", X"d2", X"ad", X"ef", X"02", X"8d", X"06", X"d2", X"a9", X"00", X"8d", X"0f", X"d2", X"ad", X"32", X"02", X"8d", X"0f", X"d2", X"a9", X"55", X"91", X"32", X"c8", X"91", X"32", X"a9", X"aa", X"85", X"31", X"18", X"a5", X"32", X"69", X"02", X"85", X"32", X"a5", X"33", X"69", X"00", X"85", X"33", X"58", X"60", X"20", X"84", X"ec", X"a9", X"3c", X"8d", X"02", X"d3", X"a9", X"3c", X"8d", X"03", X"d3", X"a9", X"80", X"85", X"30", X"ae", X"18", X"03", X"9a", X"c6", X"11", X"58", X"4c", X"2a", X"ea", X"a9", X"11", X"8d", X"26", X"02", X"a9", X"ec", X"8d", X"27", X"02", X"a9", X"01", X"78", X"20", X"5c", X"e4", X"a9", X"01", X"8d", X"17", X"03", X"58", X"60", X"e8", X"03", X"43", X"04", X"9e", X"04", X"f9", X"04", X"54", X"05", X"af", X"05", X"0a", X"06", X"65", X"06", X"c0", X"06", X"1a", X"07", X"75", X"07", X"d0", X"07", X"b4", X"96", X"78", X"64", X"0f", X"0d", X"0a", X"08", X"83", X"9c", X"07", X"20", X"18", X"10", X"0a", X"0a", X"10", X"1c", X"34", X"64", X"c4", X"c4", X"c4", X"c4", X"1c", X"10", X"64", X"c4", X"17", X"17", X"0b", X"17", X"2f", X"2f", X"5f", X"5f", X"61", X"61", X"61", X"61", X"17", X"0b", X"bf", X"61", X"13", X"13", X"09", X"13", X"27", X"27", X"4f", X"4f", X"41", X"41", X"41", X"41", X"13", X"09", X"9f", X"41", X"02", X"06", X"07", X"08", X"09", X"0a", X"0b", X"0d", X"0f", X"0f", X"0f", X"0f", X"04", X"05", X"0c", X"0e", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"01", X"01", X"01", X"01", X"01", X"00", X"00", X"01", X"01", X"03", X"02", X"02", X"01", X"01", X"02", X"02", X"03", X"03", X"03", X"03", X"03", X"03", X"03", X"02", X"03", X"28", X"14", X"14", X"28", X"50", X"50", X"a0", X"a0", X"40", X"50", X"50", X"50", X"28", X"28", X"a0", X"a0", X"18", X"18", X"0c", X"18", X"30", X"30", X"60", X"60", X"c0", X"c0", X"c0", X"c0", X"18", X"0c", X"c0", X"c0", X"00", X"00", X"00", X"02", X"03", X"02", X"03", X"02", X"03", X"01", X"01", X"01", X"00", X"00", X"03", X"02", X"ff", X"f0", X"0f", X"c0", X"30", X"0c", X"03", X"80", X"40", X"20", X"10", X"08", X"04", X"02", X"01", X"48", X"98", X"48", X"8a", X"a2", X"00", X"dd", X"1a", X"03", X"f0", X"1e", X"e8", X"e8", X"e8", X"e0", X"22", X"30", X"f4", X"a2", X"00", X"a8", X"a9", X"00", X"dd", X"1a", X"03", X"f0", X"13", X"e8", X"e8", X"e8", X"e0", X"22", X"30", X"f4", X"68", X"68", X"a0", X"ff", X"38", X"60", X"68", X"a8", X"68", X"e8", X"38", X"60", X"98", X"9d", X"1a", X"03", X"68", X"9d", X"1b", X"03", X"68", X"9d", X"1c", X"03", X"18", X"60", X"a0", X"00", X"b1", X"24", X"a4", X"21", X"20", X"be", X"e7", X"10", X"03", X"a0", X"82", X"60", X"a9", X"7f", X"85", X"20", X"a9", X"25", X"85", X"26", X"a9", X"ef", X"85", X"27", X"ad", X"ec", X"02", X"ae", X"2e", X"00", X"9d", X"4d", X"03", X"a0", X"00", X"b1", X"24", X"9d", X"4c", X"03", X"a0", X"01", X"60", X"48", X"8a", X"48", X"29", X"0f", X"d0", X"10", X"e0", X"80", X"10", X"0c", X"ad", X"e9", X"02", X"d0", X"0b", X"a0", X"82", X"68", X"68", X"c0", X"00", X"60", X"a0", X"86", X"30", X"f7", X"8e", X"2e", X"00", X"a0", X"00", X"bd", X"40", X"03", X"99", X"20", X"00", X"e8", X"c8", X"c0", X"0c", X"30", X"f4", X"20", X"29", X"ca", X"30", X"e1", X"68", X"aa", X"68", X"a8", X"a5", X"27", X"48", X"a5", X"26", X"48", X"98", X"a0", X"92", X"60", X"00", X"00", X"00", X"00", X"00", X"00", X"4c", X"05", X"fd", X"a9", X"ff", X"8d", X"fc", X"02", X"ad", X"e4", X"02", X"85", X"6a", X"a9", X"40", X"8d", X"be", X"02", X"a9", X"51", X"85", X"79", X"a9", X"fb", X"85", X"7a", X"a9", X"11", X"85", X"60", X"a9", X"fc", X"85", X"61", X"60", X"a5", X"2b", X"29", X"0f", X"d0", X"08", X"a5", X"2a", X"29", X"0f", X"85", X"2a", X"a9", X"00", X"85", X"57", X"c9", X"10", X"90", X"05", X"a9", X"91", X"4c", X"54", X"f1", X"a9", X"e0", X"8d", X"f4", X"02", X"a9", X"cc", X"8d", X"6b", X"02", X"a9", X"02", X"8d", X"f3", X"02", X"8d", X"2f", X"02", X"a9", X"01", X"85", X"4c", X"a9", X"c0", X"05", X"10", X"85", X"10", X"8d", X"0e", X"d2", X"a9", X"40", X"8d", X"0e", X"d4", X"2c", X"6e", X"02", X"10", X"0c", X"a9", X"c4", X"8d", X"00", X"02", X"a9", X"fc", X"8d", X"01", X"02", X"a9", X"c0", X"8d", X"0e", X"d4", X"a9", X"00", X"8d", X"93", X"02", X"85", X"64", X"85", X"7b", X"8d", X"f0", X"02", X"a0", X"0e", X"a9", X"01", X"99", X"a3", X"02", X"88", X"10", X"fa", X"a2", X"04", X"bd", X"08", X"fb", X"9d", X"c4", X"02", X"ca", X"10", X"f7", X"a4", X"6a", X"88", X"8c", X"95", X"02", X"a9", X"60", X"8d", X"94", X"02", X"a6", X"57", X"bd", X"4d", X"ee", X"85", X"51", X"a5", X"6a", X"85", X"65", X"bc", X"1d", X"ee", X"a9", X"28", X"20", X"7a", X"f5", X"88", X"d0", X"f8", X"ad", X"6f", X"02", X"29", X"3f", X"85", X"67", X"a8", X"e0", X"08", X"90", X"1f", X"e0", X"0f", X"f0", X"0d", X"e0", X"0c", X"b0", X"17", X"8a", X"6a", X"6a", X"6a", X"29", X"c0", X"05", X"67", X"a8", X"a9", X"10", X"20", X"7a", X"f5", X"e0", X"0b", X"d0", X"05", X"a9", X"06", X"8d", X"c8", X"02", X"8c", X"6f", X"02", X"a5", X"64", X"85", X"58", X"a5", X"65", X"85", X"59", X"ad", X"0b", X"d4", X"c9", X"7a", X"d0", X"f9", X"20", X"78", X"f5", X"bd", X"5d", X"ee", X"f0", X"06", X"a9", X"ff", X"85", X"64", X"c6", X"65", X"20", X"65", X"f5", X"a5", X"64", X"85", X"68", X"a5", X"65", X"85", X"69", X"a9", X"41", X"20", X"70", X"f5", X"86", X"66", X"a9", X"18", X"8d", X"bf", X"02", X"a5", X"57", X"c9", X"0c", X"b0", X"04", X"c9", X"09", X"b0", X"39", X"a5", X"2a", X"29", X"10", X"f0", X"33", X"a9", X"04", X"8d", X"bf", X"02", X"a2", X"02", X"ad", X"6e", X"02", X"f0", X"03", X"20", X"a0", X"f5", X"a9", X"02", X"20", X"69", X"f5", X"ca", X"10", X"f8", X"a4", X"6a", X"88", X"98", X"20", X"70", X"f5", X"a9", X"60", X"20", X"70", X"f5", X"a9", X"42", X"20", X"69", X"f5", X"18", X"a9", X"10", X"65", X"66", X"a8", X"be", X"2d", X"ee", X"d0", X"15", X"a4", X"66", X"be", X"2d", X"ee", X"a5", X"57", X"d0", X"0c", X"ad", X"6e", X"02", X"f0", X"07", X"20", X"a0", X"f5", X"a9", X"22", X"85", X"51", X"a5", X"51", X"20", X"70", X"f5", X"ca", X"d0", X"f8", X"a5", X"57", X"c9", X"08", X"90", X"26", X"c9", X"0f", X"f0", X"04", X"c9", X"0c", X"b0", X"1e", X"a2", X"5d", X"a5", X"6a", X"38", X"e9", X"10", X"20", X"70", X"f5", X"a9", X"00", X"20", X"70", X"f5", X"a5", X"51", X"09", X"40", X"20", X"70", X"f5", X"a5", X"51", X"20", X"70", X"f5", X"ca", X"d0", X"f8", X"a5", X"59", X"20", X"70", X"f5", X"a5", X"58", X"20", X"70", X"f5", X"a5", X"51", X"09", X"40", X"20", X"70", X"f5", X"a9", X"70", X"20", X"70", X"f5", X"a9", X"70", X"20", X"70", X"f5", X"a5", X"64", X"8d", X"30", X"02", X"a5", X"65", X"8d", X"31", X"02", X"a9", X"70", X"20", X"70", X"f5", X"a5", X"64", X"8d", X"e5", X"02", X"a5", X"65", X"8d", X"e6", X"02", X"a0", X"01", X"ad", X"30", X"02", X"91", X"68", X"c8", X"ad", X"31", X"02", X"91", X"68", X"a5", X"4c", X"10", X"10", X"8d", X"ec", X"03", X"20", X"94", X"ef", X"ad", X"ec", X"03", X"a0", X"00", X"8c", X"ec", X"03", X"a8", X"60", X"a5", X"2a", X"29", X"20", X"d0", X"0b", X"20", X"20", X"f4", X"8d", X"90", X"02", X"a5", X"52", X"8d", X"91", X"02", X"a9", X"22", X"0d", X"2f", X"02", X"8d", X"2f", X"02", X"4c", X"0b", X"f2", X"20", X"ca", X"f6", X"20", X"8f", X"f1", X"20", X"6a", X"f7", X"20", X"0a", X"f6", X"4c", X"1e", X"f2", X"20", X"ac", X"f5", X"b1", X"64", X"2d", X"a0", X"02", X"46", X"6f", X"b0", X"03", X"4a", X"10", X"f9", X"8d", X"fa", X"02", X"c9", X"00", X"60", X"8d", X"fb", X"02", X"c9", X"7d", X"d0", X"06", X"20", X"20", X"f4", X"4c", X"0b", X"f2", X"20", X"ca", X"f6", X"ad", X"fb", X"02", X"c9", X"9b", X"d0", X"06", X"20", X"61", X"f6", X"4c", X"0b", X"f2", X"20", X"ca", X"f1", X"20", X"0e", X"f6", X"4c", X"0b", X"f2", X"ad", X"ff", X"02", X"d0", X"fb", X"a2", X"02", X"b5", X"54", X"95", X"5a", X"ca", X"10", X"f9", X"ad", X"fb", X"02", X"a8", X"2a", X"2a", X"2a", X"2a", X"29", X"03", X"aa", X"98", X"29", X"9f", X"1d", X"49", X"fb", X"8d", X"fa", X"02", X"20", X"ac", X"f5", X"ad", X"fa", X"02", X"46", X"6f", X"b0", X"04", X"0a", X"4c", X"f2", X"f1", X"2d", X"a0", X"02", X"85", X"50", X"ad", X"a0", X"02", X"49", X"ff", X"31", X"64", X"05", X"50", X"91", X"64", X"60", X"20", X"8f", X"f1", X"85", X"5d", X"a6", X"57", X"d0", X"0a", X"ae", X"f0", X"02", X"d0", X"05", X"49", X"80", X"20", X"e9", X"f1", X"a4", X"4c", X"4c", X"26", X"f2", X"4c", X"fc", X"c8", X"a9", X"01", X"85", X"4c", X"ad", X"fb", X"02", X"60", X"2c", X"6e", X"02", X"10", X"eb", X"a9", X"40", X"8d", X"0e", X"d4", X"a9", X"00", X"8d", X"6e", X"02", X"a9", X"ce", X"8d", X"00", X"02", X"a9", X"c0", X"8d", X"01", X"02", X"4c", X"94", X"ef", X"20", X"62", X"f9", X"20", X"bc", X"f6", X"a5", X"6b", X"d0", X"34", X"a5", X"54", X"85", X"6c", X"a5", X"55", X"85", X"6d", X"20", X"fd", X"f2", X"84", X"4c", X"ad", X"fb", X"02", X"c9", X"9b", X"f0", X"12", X"20", X"be", X"f2", X"20", X"62", X"f9", X"a5", X"63", X"c9", X"71", X"d0", X"03", X"20", X"56", X"f5", X"4c", X"5c", X"f2", X"20", X"18", X"f7", X"20", X"b1", X"f8", X"a5", X"6c", X"85", X"54", X"a5", X"6d", X"85", X"55", X"a5", X"6b", X"f0", X"11", X"c6", X"6b", X"f0", X"0d", X"a5", X"4c", X"30", X"f8", X"20", X"80", X"f1", X"8d", X"fb", X"02", X"4c", X"62", X"f9", X"20", X"61", X"f6", X"a9", X"9b", X"8d", X"fb", X"02", X"20", X"0b", X"f2", X"84", X"4c", X"4c", X"62", X"f9", X"6c", X"64", X"00", X"8d", X"fb", X"02", X"20", X"62", X"f9", X"20", X"bc", X"f6", X"a9", X"00", X"8d", X"e8", X"03", X"20", X"18", X"f7", X"20", X"3c", X"f9", X"f0", X"09", X"0e", X"a2", X"02", X"20", X"b4", X"f1", X"4c", X"62", X"f9", X"ad", X"fe", X"02", X"0d", X"a2", X"02", X"d0", X"ef", X"0e", X"a2", X"02", X"e8", X"ad", X"e8", X"03", X"f0", X"05", X"8a", X"18", X"69", X"2d", X"aa", X"bd", X"0d", X"fb", X"85", X"64", X"bd", X"0e", X"fb", X"85", X"65", X"20", X"ad", X"f2", X"20", X"0b", X"f2", X"4c", X"62", X"f9", X"a9", X"ff", X"8d", X"fc", X"02", X"a9", X"00", X"8d", X"e8", X"03", X"a5", X"2a", X"4a", X"b0", X"6f", X"a9", X"80", X"a6", X"11", X"f0", X"65", X"ad", X"fc", X"02", X"c9", X"ff", X"f0", X"e9", X"85", X"7c", X"a2", X"ff", X"8e", X"fc", X"02", X"ae", X"db", X"02", X"d0", X"03", X"20", X"83", X"f9", X"a8", X"c0", X"c0", X"b0", X"d0", X"b1", X"79", X"8d", X"fb", X"02", X"aa", X"30", X"03", X"4c", X"b4", X"f3", X"c9", X"80", X"f0", X"c1", X"c9", X"81", X"d0", X"0a", X"ad", X"b6", X"02", X"49", X"80", X"8d", X"b6", X"02", X"b0", X"b3", X"c9", X"82", X"d0", X"0c", X"ad", X"be", X"02", X"f0", X"0b", X"a9", X"00", X"8d", X"be", X"02", X"f0", X"a3", X"c9", X"83", X"d0", X"07", X"a9", X"40", X"8d", X"be", X"02", X"d0", X"98", X"c9", X"84", X"d0", X"08", X"a9", X"80", X"8d", X"be", X"02", X"4c", X"f8", X"f2", X"c9", X"85", X"d0", X"0b", X"a9", X"88", X"85", X"4c", X"85", X"11", X"a9", X"9b", X"4c", X"da", X"f3", X"c9", X"89", X"d0", X"10", X"ad", X"db", X"02", X"49", X"ff", X"8d", X"db", X"02", X"d0", X"03", X"20", X"83", X"f9", X"4c", X"f8", X"f2", X"c9", X"8e", X"b0", X"12", X"c9", X"8a", X"90", X"f5", X"e9", X"8a", X"06", X"7c", X"10", X"02", X"09", X"04", X"a8", X"b1", X"60", X"4c", X"2a", X"f3", X"c9", X"92", X"b0", X"0b", X"c9", X"8e", X"90", X"df", X"e9", X"72", X"ee", X"e8", X"03", X"d0", X"26", X"a5", X"7c", X"c9", X"40", X"b0", X"15", X"ad", X"fb", X"02", X"c9", X"61", X"90", X"0e", X"c9", X"7b", X"b0", X"0a", X"ad", X"be", X"02", X"f0", X"05", X"05", X"7c", X"4c", X"23", X"f3", X"20", X"3c", X"f9", X"f0", X"09", X"ad", X"fb", X"02", X"4d", X"b6", X"02", X"8d", X"fb", X"02", X"4c", X"1e", X"f2", X"a9", X"80", X"8d", X"a2", X"02", X"60", X"c6", X"54", X"10", X"06", X"ae", X"bf", X"02", X"ca", X"86", X"54", X"4c", X"0c", X"f9", X"e6", X"54", X"a5", X"54", X"cd", X"bf", X"02", X"90", X"f4", X"a2", X"00", X"f0", X"ee", X"c6", X"55", X"a5", X"55", X"30", X"04", X"c5", X"52", X"b0", X"04", X"a5", X"53", X"85", X"55", X"4c", X"8e", X"f8", X"e6", X"55", X"a5", X"55", X"c5", X"53", X"90", X"f5", X"f0", X"f3", X"a5", X"52", X"4c", X"0c", X"f4", X"20", X"a6", X"f9", X"a4", X"64", X"a9", X"00", X"85", X"64", X"91", X"64", X"c8", X"d0", X"fb", X"e6", X"65", X"a6", X"65", X"e4", X"6a", X"90", X"f3", X"a9", X"ff", X"99", X"b2", X"02", X"c8", X"c0", X"04", X"90", X"f8", X"20", X"97", X"f9", X"85", X"63", X"85", X"6d", X"a9", X"00", X"85", X"54", X"85", X"56", X"85", X"6c", X"60", X"a5", X"63", X"c5", X"52", X"f0", X"21", X"a5", X"55", X"c5", X"52", X"d0", X"03", X"20", X"23", X"f9", X"20", X"00", X"f4", X"a5", X"55", X"c5", X"53", X"d0", X"07", X"a5", X"54", X"f0", X"03", X"20", X"e6", X"f3", X"a9", X"20", X"8d", X"fb", X"02", X"20", X"ca", X"f1", X"4c", X"8e", X"f8", X"20", X"11", X"f4", X"a5", X"55", X"c5", X"52", X"d0", X"08", X"20", X"65", X"f6", X"20", X"58", X"f7", X"b0", X"07", X"a5", X"63", X"20", X"5d", X"f7", X"90", X"e8", X"4c", X"8e", X"f8", X"a5", X"63", X"4c", X"3e", X"f7", X"a5", X"63", X"4c", X"4a", X"f7", X"20", X"4c", X"f9", X"20", X"8f", X"f1", X"85", X"7d", X"a9", X"00", X"8d", X"bb", X"02", X"20", X"e9", X"f1", X"a5", X"63", X"48", X"20", X"12", X"f6", X"68", X"c5", X"63", X"b0", X"0c", X"a5", X"7d", X"48", X"20", X"8f", X"f1", X"85", X"7d", X"68", X"4c", X"ac", X"f4", X"20", X"57", X"f9", X"ce", X"bb", X"02", X"30", X"04", X"c6", X"54", X"d0", X"f7", X"4c", X"8e", X"f8", X"20", X"4c", X"f9", X"20", X"ac", X"f5", X"a5", X"64", X"85", X"68", X"a5", X"65", X"85", X"69", X"a5", X"63", X"48", X"20", X"0a", X"f6", X"68", X"c5", X"63", X"b0", X"10", X"a5", X"54", X"cd", X"bf", X"02", X"b0", X"09", X"20", X"8f", X"f1", X"a0", X"00", X"91", X"68", X"f0", X"da", X"a0", X"00", X"98", X"91", X"68", X"20", X"18", X"f9", X"20", X"57", X"f9", X"4c", X"8e", X"f8", X"38", X"20", X"c2", X"f7", X"a5", X"52", X"85", X"55", X"20", X"ac", X"f5", X"20", X"8e", X"f7", X"20", X"e2", X"f7", X"4c", X"8e", X"f8", X"20", X"8e", X"f8", X"a4", X"51", X"84", X"54", X"a4", X"54", X"98", X"38", X"20", X"5b", X"f7", X"08", X"98", X"18", X"69", X"78", X"28", X"20", X"3c", X"f7", X"c8", X"c0", X"18", X"d0", X"ed", X"ad", X"b4", X"02", X"09", X"01", X"8d", X"b4", X"02", X"a9", X"00", X"85", X"55", X"20", X"ac", X"f5", X"20", X"2a", X"f8", X"20", X"58", X"f7", X"90", X"d4", X"4c", X"1b", X"f4", X"a0", X"20", X"20", X"83", X"f9", X"88", X"10", X"fa", X"60", X"20", X"40", X"f4", X"4c", X"e6", X"f3", X"a9", X"02", X"d0", X"11", X"ac", X"6e", X"02", X"f0", X"02", X"09", X"20", X"a4", X"4c", X"30", X"2b", X"a0", X"00", X"91", X"64", X"a9", X"01", X"8d", X"9e", X"02", X"a5", X"4c", X"30", X"1e", X"a5", X"64", X"38", X"ed", X"9e", X"02", X"85", X"64", X"b0", X"02", X"c6", X"65", X"a5", X"0f", X"c5", X"65", X"90", X"0c", X"d0", X"06", X"a5", X"0e", X"c5", X"64", X"90", X"04", X"a9", X"93", X"85", X"4c", X"60", X"a9", X"02", X"20", X"70", X"f5", X"a9", X"a2", X"20", X"70", X"f5", X"ca", X"60", X"a2", X"01", X"86", X"66", X"ca", X"86", X"65", X"a5", X"54", X"0a", X"26", X"65", X"0a", X"26", X"65", X"65", X"54", X"85", X"64", X"90", X"02", X"e6", X"65", X"a4", X"57", X"be", X"6d", X"ee", X"06", X"64", X"26", X"65", X"ca", X"d0", X"f9", X"a5", X"56", X"4a", X"a5", X"55", X"be", X"9d", X"ee", X"f0", X"06", X"6a", X"06", X"66", X"ca", X"d0", X"fa", X"65", X"64", X"90", X"02", X"e6", X"65", X"18", X"65", X"58", X"85", X"64", X"85", X"5e", X"a5", X"65", X"65", X"59", X"85", X"65", X"85", X"5f", X"be", X"9d", X"ee", X"bd", X"04", X"fb", X"25", X"55", X"65", X"66", X"a8", X"b9", X"ac", X"ee", X"8d", X"a0", X"02", X"85", X"6f", X"a0", X"00", X"60", X"a9", X"00", X"f0", X"02", X"a9", X"9b", X"85", X"7d", X"e6", X"63", X"e6", X"55", X"d0", X"02", X"e6", X"56", X"a5", X"55", X"a6", X"57", X"dd", X"7d", X"ee", X"f0", X"0a", X"e0", X"00", X"d0", X"e2", X"c5", X"53", X"f0", X"de", X"90", X"dc", X"e0", X"08", X"d0", X"04", X"a5", X"56", X"f0", X"d4", X"a5", X"57", X"d0", X"2c", X"a5", X"63", X"c9", X"51", X"90", X"0a", X"a5", X"7d", X"f0", X"22", X"20", X"61", X"f6", X"4c", X"ab", X"f6", X"20", X"65", X"f6", X"a5", X"54", X"18", X"69", X"78", X"20", X"5d", X"f7", X"90", X"08", X"a5", X"7d", X"f0", X"04", X"18", X"20", X"0d", X"f5", X"4c", X"8e", X"f8", X"a9", X"9b", X"85", X"7d", X"20", X"97", X"f9", X"a9", X"00", X"85", X"56", X"e6", X"54", X"a6", X"57", X"a0", X"18", X"24", X"7b", X"10", X"05", X"a0", X"04", X"98", X"d0", X"03", X"bd", X"8d", X"ee", X"c5", X"54", X"d0", X"29", X"8c", X"9d", X"02", X"8a", X"d0", X"23", X"a5", X"7d", X"f0", X"1f", X"c9", X"9b", X"f0", X"01", X"18", X"20", X"f7", X"f7", X"ee", X"bb", X"02", X"c6", X"6c", X"10", X"02", X"e6", X"6c", X"ce", X"9d", X"02", X"ad", X"b2", X"02", X"38", X"10", X"eb", X"ad", X"9d", X"02", X"85", X"54", X"4c", X"8e", X"f8", X"38", X"b5", X"70", X"e5", X"74", X"95", X"70", X"b5", X"71", X"e5", X"75", X"95", X"71", X"60", X"ad", X"bf", X"02", X"c9", X"04", X"f0", X"07", X"a5", X"57", X"f0", X"03", X"20", X"94", X"ef", X"a9", X"27", X"c5", X"53", X"b0", X"02", X"85", X"53", X"a6", X"57", X"bd", X"8d", X"ee", X"c5", X"54", X"90", X"2a", X"f0", X"28", X"e0", X"08", X"d0", X"0a", X"a5", X"56", X"f0", X"13", X"c9", X"01", X"d0", X"1c", X"f0", X"04", X"a5", X"56", X"d0", X"16", X"bd", X"7d", X"ee", X"c5", X"55", X"90", X"0f", X"f0", X"0d", X"a9", X"01", X"85", X"4c", X"a9", X"80", X"a6", X"11", X"85", X"11", X"f0", X"06", X"60", X"20", X"40", X"f4", X"a9", X"8d", X"85", X"4c", X"68", X"68", X"a5", X"7b", X"10", X"03", X"4c", X"62", X"f9", X"4c", X"1e", X"f2", X"a0", X"00", X"a5", X"5f", X"f0", X"04", X"a5", X"5d", X"91", X"5e", X"60", X"48", X"29", X"07", X"aa", X"bd", X"b4", X"ee", X"85", X"6e", X"68", X"4a", X"4a", X"4a", X"aa", X"60", X"2e", X"b4", X"02", X"2e", X"b3", X"02", X"2e", X"b2", X"02", X"60", X"90", X"0c", X"20", X"23", X"f7", X"bd", X"a3", X"02", X"05", X"6e", X"9d", X"a3", X"02", X"60", X"20", X"23", X"f7", X"a5", X"6e", X"49", X"ff", X"3d", X"a3", X"02", X"9d", X"a3", X"02", X"60", X"a5", X"54", X"18", X"69", X"78", X"20", X"23", X"f7", X"18", X"bd", X"a3", X"02", X"25", X"6e", X"f0", X"01", X"38", X"60", X"ad", X"fa", X"02", X"a4", X"57", X"c0", X"0e", X"b0", X"17", X"c0", X"0c", X"b0", X"04", X"c0", X"03", X"b0", X"0f", X"2a", X"2a", X"2a", X"2a", X"29", X"03", X"aa", X"ad", X"fa", X"02", X"29", X"9f", X"1d", X"4d", X"fb", X"8d", X"fb", X"02", X"60", X"a6", X"6a", X"ca", X"86", X"69", X"86", X"67", X"a9", X"b0", X"85", X"68", X"a9", X"d8", X"85", X"66", X"a6", X"54", X"e8", X"ec", X"bf", X"02", X"f0", X"e8", X"a0", X"27", X"b1", X"68", X"91", X"66", X"88", X"10", X"f9", X"38", X"a5", X"68", X"85", X"66", X"e9", X"28", X"85", X"68", X"a5", X"69", X"85", X"67", X"e9", X"00", X"85", X"69", X"4c", X"9f", X"f7", X"08", X"a0", X"16", X"98", X"20", X"5a", X"f7", X"08", X"98", X"18", X"69", X"79", X"28", X"20", X"3c", X"f7", X"88", X"30", X"04", X"c4", X"54", X"b0", X"ec", X"a5", X"54", X"18", X"69", X"78", X"28", X"4c", X"3c", X"f7", X"a5", X"52", X"85", X"55", X"20", X"ac", X"f5", X"38", X"a5", X"53", X"e5", X"52", X"a8", X"a9", X"00", X"91", X"64", X"88", X"10", X"fb", X"60", X"20", X"32", X"f7", X"ad", X"6e", X"02", X"f0", X"28", X"ad", X"6c", X"02", X"d0", X"fb", X"a9", X"08", X"8d", X"6c", X"02", X"ad", X"6c", X"02", X"c9", X"01", X"d0", X"f9", X"ad", X"0b", X"d4", X"c9", X"40", X"b0", X"f9", X"a2", X"0d", X"ad", X"bf", X"02", X"c9", X"04", X"d0", X"02", X"a2", X"70", X"ec", X"0b", X"d4", X"b0", X"fb", X"20", X"a6", X"f9", X"a5", X"64", X"a6", X"65", X"e8", X"e4", X"6a", X"f0", X"06", X"38", X"e9", X"10", X"4c", X"2e", X"f8", X"69", X"27", X"d0", X"0a", X"a6", X"65", X"e8", X"e4", X"6a", X"f0", X"38", X"18", X"69", X"10", X"a8", X"85", X"7e", X"38", X"a5", X"64", X"e5", X"7e", X"85", X"64", X"b0", X"02", X"c6", X"65", X"a5", X"64", X"18", X"69", X"28", X"85", X"7e", X"a5", X"65", X"69", X"00", X"85", X"7f", X"b1", X"7e", X"91", X"64", X"c8", X"d0", X"f9", X"a0", X"10", X"a5", X"64", X"c9", X"d8", X"f0", X"0b", X"18", X"69", X"f0", X"85", X"64", X"90", X"dd", X"e6", X"65", X"d0", X"d9", X"a6", X"6a", X"ca", X"86", X"7f", X"a2", X"d8", X"86", X"7e", X"a9", X"00", X"a0", X"27", X"91", X"7e", X"88", X"10", X"fb", X"a9", X"00", X"85", X"63", X"a5", X"54", X"85", X"51", X"a5", X"51", X"20", X"5a", X"f7", X"b0", X"0c", X"a5", X"63", X"18", X"69", X"28", X"85", X"63", X"c6", X"51", X"4c", X"96", X"f8", X"18", X"a5", X"63", X"65", X"55", X"85", X"63", X"60", X"20", X"4c", X"f9", X"a5", X"63", X"48", X"a5", X"6c", X"85", X"54", X"a5", X"6d", X"85", X"55", X"a9", X"01", X"85", X"6b", X"a2", X"17", X"a5", X"7b", X"10", X"02", X"a2", X"03", X"e4", X"54", X"d0", X"0b", X"a5", X"55", X"c5", X"53", X"d0", X"05", X"e6", X"6b", X"4c", X"ea", X"f8", X"20", X"0a", X"f6", X"e6", X"6b", X"a5", X"63", X"c5", X"52", X"d0", X"de", X"c6", X"54", X"20", X"00", X"f4", X"20", X"8f", X"f1", X"d0", X"17", X"c6", X"6b", X"a5", X"63", X"c5", X"52", X"f0", X"0f", X"20", X"00", X"f4", X"a5", X"55", X"c5", X"53", X"d0", X"02", X"c6", X"54", X"a5", X"6b", X"d0", X"e4", X"68", X"85", X"63", X"4c", X"57", X"f9", X"20", X"8e", X"f8", X"a5", X"51", X"85", X"6c", X"a5", X"52", X"85", X"6d", X"60", X"a5", X"63", X"c5", X"52", X"d0", X"02", X"c6", X"54", X"20", X"8e", X"f8", X"a5", X"63", X"c5", X"52", X"f0", X"ee", X"20", X"ac", X"f5", X"a5", X"53", X"38", X"e5", X"52", X"a8", X"b1", X"64", X"d0", X"e1", X"88", X"10", X"f9", X"4c", X"27", X"f5", X"a2", X"2d", X"bd", X"0d", X"fb", X"cd", X"fb", X"02", X"f0", X"05", X"ca", X"ca", X"ca", X"10", X"f3", X"60", X"a2", X"02", X"b5", X"54", X"9d", X"b8", X"02", X"ca", X"10", X"f8", X"60", X"a2", X"02", X"bd", X"b8", X"02", X"95", X"54", X"ca", X"10", X"f8", X"60", X"ad", X"bf", X"02", X"c9", X"18", X"f0", X"17", X"a2", X"0b", X"b5", X"54", X"48", X"bd", X"90", X"02", X"95", X"54", X"68", X"9d", X"90", X"02", X"ca", X"10", X"f1", X"a5", X"7b", X"49", X"ff", X"85", X"7b", X"4c", X"1e", X"f2", X"a2", X"7e", X"48", X"8e", X"1f", X"d0", X"ad", X"0b", X"d4", X"cd", X"0b", X"d4", X"f0", X"fb", X"ca", X"ca", X"10", X"f1", X"68", X"60", X"a9", X"00", X"a6", X"7b", X"d0", X"04", X"a6", X"57", X"d0", X"02", X"a5", X"52", X"85", X"55", X"60", X"a5", X"58", X"85", X"64", X"a5", X"59", X"85", X"65", X"60", X"a2", X"00", X"a5", X"22", X"c9", X"11", X"f0", X"08", X"c9", X"12", X"f0", X"03", X"a0", X"84", X"60", X"e8", X"8e", X"b7", X"02", X"a5", X"54", X"8d", X"f5", X"02", X"a5", X"55", X"8d", X"f6", X"02", X"a5", X"56", X"8d", X"f7", X"02", X"a9", X"01", X"8d", X"f8", X"02", X"8d", X"f9", X"02", X"38", X"ad", X"f5", X"02", X"e5", X"5a", X"85", X"76", X"b0", X"0e", X"a9", X"ff", X"8d", X"f8", X"02", X"a5", X"76", X"49", X"ff", X"18", X"69", X"01", X"85", X"76", X"38", X"ad", X"f6", X"02", X"e5", X"5b", X"85", X"77", X"ad", X"f7", X"02", X"e5", X"5c", X"85", X"78", X"b0", X"17", X"a9", X"ff", X"8d", X"f9", X"02", X"a5", X"77", X"49", X"ff", X"85", X"77", X"a5", X"78", X"49", X"ff", X"85", X"78", X"e6", X"77", X"d0", X"02", X"e6", X"78", X"a2", X"02", X"a0", X"00", X"84", X"73", X"98", X"95", X"70", X"b5", X"5a", X"95", X"54", X"ca", X"10", X"f6", X"a5", X"77", X"e8", X"a8", X"a5", X"78", X"85", X"7f", X"85", X"75", X"d0", X"0b", X"a5", X"77", X"c5", X"76", X"b0", X"05", X"a5", X"76", X"a2", X"02", X"a8", X"98", X"85", X"7e", X"85", X"74", X"48", X"a5", X"75", X"4a", X"68", X"6a", X"95", X"70", X"a5", X"7e", X"05", X"7f", X"d0", X"03", X"4c", X"01", X"fb", X"18", X"a5", X"70", X"65", X"76", X"85", X"70", X"90", X"02", X"e6", X"71", X"a5", X"71", X"c5", X"75", X"90", X"15", X"d0", X"06", X"a5", X"70", X"c5", X"74", X"90", X"0d", X"18", X"a5", X"54", X"6d", X"f8", X"02", X"85", X"54", X"a2", X"00", X"20", X"ae", X"f6", X"18", X"a5", X"72", X"65", X"77", X"85", X"72", X"a5", X"73", X"65", X"78", X"85", X"73", X"c5", X"75", X"90", X"28", X"d0", X"06", X"a5", X"72", X"c5", X"74", X"90", X"20", X"2c", X"f9", X"02", X"10", X"10", X"c6", X"55", X"a5", X"55", X"c9", X"ff", X"d0", X"0e", X"a5", X"56", X"f0", X"0a", X"c6", X"56", X"10", X"06", X"e6", X"55", X"d0", X"02", X"e6", X"56", X"a2", X"02", X"20", X"ae", X"f6", X"20", X"ca", X"f6", X"20", X"ca", X"f1", X"ad", X"b7", X"02", X"f0", X"2f", X"20", X"4c", X"f9", X"ad", X"fb", X"02", X"8d", X"bc", X"02", X"a5", X"54", X"48", X"20", X"12", X"f6", X"68", X"85", X"54", X"20", X"ca", X"f6", X"20", X"8f", X"f1", X"d0", X"0c", X"ad", X"fd", X"02", X"8d", X"fb", X"02", X"20", X"ca", X"f1", X"4c", X"c9", X"fa", X"ad", X"bc", X"02", X"8d", X"fb", X"02", X"20", X"57", X"f9", X"38", X"a5", X"7e", X"e9", X"01", X"85", X"7e", X"a5", X"7f", X"e9", X"00", X"85", X"7f", X"30", X"03", X"4c", X"4d", X"fa", X"4c", X"1e", X"f2", X"00", X"01", X"03", X"07", X"28", X"ca", X"94", X"46", X"00", X"1b", X"e0", X"f3", X"1c", X"e6", X"f3", X"1d", X"f3", X"f3", X"1e", X"00", X"f4", X"1f", X"11", X"f4", X"7d", X"20", X"f4", X"7e", X"50", X"f4", X"7f", X"7a", X"f4", X"9b", X"61", X"f6", X"9c", X"20", X"f5", X"9d", X"0c", X"f5", X"9e", X"9a", X"f4", X"9f", X"95", X"f4", X"fd", X"56", X"f5", X"fe", X"d5", X"f4", X"ff", X"9f", X"f4", X"1c", X"40", X"f4", X"1d", X"5f", X"f5", X"1e", X"1b", X"f4", X"1f", X"0a", X"f4", X"40", X"00", X"20", X"60", X"20", X"40", X"00", X"60", X"6c", X"6a", X"3b", X"8a", X"8b", X"6b", X"2b", X"2a", X"6f", X"80", X"70", X"75", X"9b", X"69", X"2d", X"3d", X"76", X"80", X"63", X"8c", X"8d", X"62", X"78", X"7a", X"34", X"80", X"33", X"36", X"1b", X"35", X"32", X"31", X"2c", X"20", X"2e", X"6e", X"80", X"6d", X"2f", X"81", X"72", X"80", X"65", X"79", X"7f", X"74", X"77", X"71", X"39", X"80", X"30", X"37", X"7e", X"38", X"3c", X"3e", X"66", X"68", X"64", X"80", X"82", X"67", X"73", X"61", X"4c", X"4a", X"3a", X"8a", X"8b", X"4b", X"5c", X"5e", X"4f", X"80", X"50", X"55", X"9b", X"49", X"5f", X"7c", X"56", X"80", X"43", X"8c", X"8d", X"42", X"58", X"5a", X"24", X"80", X"23", X"26", X"1b", X"25", X"22", X"21", X"5b", X"20", X"5d", X"4e", X"80", X"4d", X"3f", X"81", X"52", X"80", X"45", X"59", X"9f", X"54", X"57", X"51", X"28", X"80", X"29", X"27", X"9c", X"40", X"7d", X"9d", X"46", X"48", X"44", X"80", X"83", X"47", X"53", X"41", X"0c", X"0a", X"7b", X"80", X"80", X"0b", X"1e", X"1f", X"0f", X"80", X"10", X"15", X"9b", X"09", X"1c", X"1d", X"16", X"80", X"03", X"89", X"80", X"02", X"18", X"1a", X"80", X"80", X"85", X"80", X"1b", X"80", X"fd", X"80", X"00", X"20", X"60", X"0e", X"80", X"0d", X"80", X"81", X"12", X"80", X"05", X"19", X"9e", X"14", X"17", X"11", X"80", X"80", X"80", X"80", X"fe", X"80", X"7d", X"ff", X"06", X"08", X"04", X"80", X"84", X"07", X"13", X"01", X"1c", X"1d", X"1e", X"1f", X"8e", X"8f", X"90", X"91", X"8a", X"48", X"98", X"48", X"ac", X"01", X"d3", X"ad", X"09", X"d2", X"cd", X"f2", X"02", X"d0", X"05", X"ae", X"f1", X"02", X"d0", X"49", X"ae", X"6d", X"02", X"c9", X"83", X"d0", X"13", X"8a", X"49", X"ff", X"8d", X"6d", X"02", X"d0", X"05", X"98", X"09", X"04", X"d0", X"03", X"98", X"29", X"fb", X"a8", X"b0", X"26", X"8a", X"d0", X"3d", X"ad", X"09", X"d2", X"aa", X"c9", X"9f", X"d0", X"0a", X"ad", X"ff", X"02", X"49", X"ff", X"8d", X"ff", X"02", X"b0", X"11", X"29", X"3f", X"c9", X"11", X"d0", X"2e", X"8e", X"dc", X"02", X"f0", X"06", X"8e", X"fc", X"02", X"8e", X"f2", X"02", X"a9", X"03", X"8d", X"f1", X"02", X"a9", X"00", X"85", X"4d", X"ad", X"d9", X"02", X"8d", X"2b", X"02", X"ad", X"2f", X"02", X"d0", X"06", X"ad", X"dd", X"02", X"8d", X"2f", X"02", X"8c", X"01", X"d3", X"68", X"a8", X"68", X"aa", X"68", X"40", X"e0", X"84", X"f0", X"21", X"e0", X"94", X"d0", X"cf", X"ad", X"f4", X"02", X"ae", X"6b", X"02", X"8d", X"6b", X"02", X"8e", X"f4", X"02", X"e0", X"cc", X"f0", X"06", X"98", X"09", X"08", X"a8", X"d0", X"bf", X"98", X"29", X"f7", X"a8", X"4c", X"6d", X"fc", X"ad", X"2f", X"02", X"f0", X"cd", X"8d", X"dd", X"02", X"a9", X"00", X"8d", X"2f", X"02", X"f0", X"c3", X"48", X"ad", X"c6", X"02", X"4d", X"4f", X"00", X"2d", X"4e", X"00", X"8d", X"0a", X"d4", X"8d", X"17", X"d0", X"68", X"40", X"00", X"00", X"4c", X"83", X"f9", X"a9", X"cc", X"8d", X"ee", X"02", X"a9", X"05", X"8d", X"ef", X"02", X"60", X"a5", X"2b", X"85", X"3e", X"a5", X"2a", X"29", X"0c", X"c9", X"04", X"f0", X"05", X"c9", X"08", X"f0", X"3e", X"60", X"a9", X"00", X"8d", X"89", X"02", X"85", X"3f", X"a9", X"01", X"20", X"fc", X"fd", X"30", X"29", X"a9", X"34", X"8d", X"02", X"d3", X"a6", X"62", X"bc", X"93", X"fe", X"bd", X"91", X"fe", X"aa", X"a9", X"03", X"8d", X"2a", X"02", X"20", X"5c", X"e4", X"ad", X"2a", X"02", X"d0", X"fb", X"a9", X"80", X"85", X"3d", X"8d", X"8a", X"02", X"4c", X"77", X"fd", X"a0", X"80", X"c6", X"11", X"a9", X"00", X"8d", X"89", X"02", X"60", X"a9", X"80", X"8d", X"89", X"02", X"a9", X"02", X"20", X"fc", X"fd", X"30", X"ee", X"a9", X"cc", X"8d", X"04", X"d2", X"a9", X"05", X"8d", X"06", X"d2", X"a9", X"60", X"8d", X"00", X"03", X"20", X"68", X"e4", X"a9", X"34", X"8d", X"02", X"d3", X"a6", X"62", X"bc", X"8f", X"fe", X"bd", X"8d", X"fe", X"aa", X"a9", X"03", X"20", X"5c", X"e4", X"a9", X"ff", X"8d", X"2a", X"02", X"a5", X"11", X"f0", X"bc", X"ad", X"2a", X"02", X"d0", X"f7", X"a9", X"00", X"85", X"3d", X"a0", X"01", X"60", X"a5", X"3f", X"30", X"33", X"a6", X"3d", X"ec", X"8a", X"02", X"f0", X"08", X"bd", X"00", X"04", X"e6", X"3d", X"a0", X"01", X"60", X"a9", X"52", X"20", X"3f", X"fe", X"98", X"30", X"f7", X"a9", X"00", X"85", X"3d", X"a2", X"80", X"ad", X"ff", X"03", X"c9", X"fe", X"f0", X"0d", X"c9", X"fa", X"d0", X"03", X"ae", X"7f", X"04", X"8e", X"8a", X"02", X"4c", X"7a", X"fd", X"c6", X"3f", X"a0", X"88", X"60", X"a6", X"3d", X"9d", X"00", X"04", X"e6", X"3d", X"a0", X"01", X"e0", X"7f", X"f0", X"01", X"60", X"a9", X"fc", X"20", X"7c", X"fe", X"a9", X"00", X"85", X"3d", X"60", X"a0", X"01", X"60", X"ad", X"89", X"02", X"30", X"08", X"a0", X"01", X"a9", X"3c", X"8d", X"02", X"d3", X"60", X"a6", X"3d", X"f0", X"0a", X"8e", X"7f", X"04", X"a9", X"fa", X"20", X"7c", X"fe", X"30", X"ec", X"a2", X"7f", X"a9", X"00", X"9d", X"00", X"04", X"ca", X"10", X"fa", X"a9", X"fe", X"20", X"7c", X"fe", X"4c", X"d6", X"fd", X"85", X"40", X"a5", X"14", X"18", X"a6", X"62", X"7d", X"95", X"fe", X"aa", X"a9", X"ff", X"8d", X"1f", X"d0", X"a9", X"00", X"a0", X"f0", X"88", X"d0", X"fd", X"8d", X"1f", X"d0", X"a0", X"f0", X"88", X"d0", X"fd", X"e4", X"14", X"d0", X"e8", X"c6", X"40", X"f0", X"0e", X"8a", X"18", X"a6", X"62", X"7d", X"97", X"fe", X"aa", X"e4", X"14", X"d0", X"fc", X"f0", X"cd", X"20", X"36", X"fe", X"98", X"60", X"ad", X"25", X"e4", X"48", X"ad", X"24", X"e4", X"48", X"60", X"8d", X"02", X"03", X"a9", X"00", X"8d", X"09", X"03", X"a9", X"83", X"8d", X"08", X"03", X"a9", X"03", X"8d", X"05", X"03", X"a9", X"fd", X"8d", X"04", X"03", X"a9", X"60", X"8d", X"00", X"03", X"a9", X"00", X"8d", X"01", X"03", X"a9", X"23", X"8d", X"06", X"03", X"ad", X"02", X"03", X"a0", X"40", X"c9", X"52", X"f0", X"02", X"a0", X"80", X"8c", X"03", X"03", X"a5", X"3e", X"8d", X"0b", X"03", X"20", X"59", X"e4", X"60", X"8d", X"ff", X"03", X"a9", X"55", X"8d", X"fd", X"03", X"8d", X"fe", X"03", X"a9", X"57", X"20", X"3f", X"fe", X"60", X"04", X"03", X"80", X"c0", X"02", X"01", X"40", X"e0", X"1e", X"19", X"0a", X"08", X"a9", X"1e", X"8d", X"14", X"03", X"60", X"ea", X"02", X"c0", X"03", X"a9", X"04", X"8d", X"df", X"02", X"ae", X"9f", X"fe", X"ac", X"a0", X"fe", X"a9", X"53", X"8d", X"02", X"03", X"8d", X"0a", X"03", X"20", X"14", X"ff", X"20", X"59", X"e4", X"30", X"03", X"20", X"44", X"ff", X"60", X"20", X"a3", X"fe", X"a9", X"00", X"8d", X"de", X"02", X"60", X"48", X"bd", X"41", X"03", X"85", X"21", X"20", X"4b", X"ff", X"ae", X"de", X"02", X"68", X"9d", X"c0", X"03", X"e8", X"ec", X"df", X"02", X"f0", X"15", X"8e", X"de", X"02", X"c9", X"9b", X"f0", X"03", X"a0", X"01", X"60", X"a9", X"20", X"9d", X"c0", X"03", X"e8", X"ec", X"df", X"02", X"d0", X"f7", X"a9", X"00", X"8d", X"de", X"02", X"ae", X"a1", X"fe", X"ac", X"a2", X"fe", X"20", X"14", X"ff", X"4c", X"59", X"e4", X"20", X"4b", X"ff", X"a9", X"9b", X"ae", X"de", X"02", X"d0", X"dc", X"a0", X"01", X"60", X"8e", X"04", X"03", X"8c", X"05", X"03", X"a9", X"40", X"8d", X"00", X"03", X"a5", X"21", X"8d", X"01", X"03", X"a9", X"80", X"ae", X"02", X"03", X"e0", X"53", X"d0", X"02", X"a9", X"40", X"8d", X"03", X"03", X"ad", X"df", X"02", X"8d", X"08", X"03", X"a9", X"00", X"8d", X"09", X"03", X"ad", X"14", X"03", X"8d", X"06", X"03", X"60", X"ad", X"ec", X"02", X"8d", X"14", X"03", X"60", X"a0", X"57", X"a5", X"2b", X"c9", X"4e", X"d0", X"04", X"a2", X"28", X"d0", X"0e", X"c9", X"44", X"d0", X"04", X"a2", X"14", X"d0", X"06", X"c9", X"53", X"d0", X"0c", X"a2", X"1d", X"8e", X"df", X"02", X"8c", X"02", X"03", X"8d", X"0a", X"03", X"60", X"a9", X"4e", X"d0", X"dc", X"a2", X"00", X"86", X"8b", X"86", X"8c", X"20", X"a9", X"ff", X"e0", X"0c", X"d0", X"f9", X"ad", X"00", X"c0", X"ae", X"01", X"c0", X"c5", X"8b", X"d0", X"06", X"e4", X"8c", X"d0", X"02", X"18", X"60", X"38", X"60", X"a2", X"00", X"86", X"8b", X"86", X"8c", X"a2", X"0c", X"20", X"a9", X"ff", X"20", X"a9", X"ff", X"ad", X"f8", X"ff", X"ae", X"f9", X"ff", X"4c", X"86", X"ff", X"a0", X"00", X"bd", X"d7", X"ff", X"99", X"9e", X"00", X"e8", X"c8", X"c0", X"04", X"d0", X"f4", X"a0", X"00", X"18", X"b1", X"9e", X"65", X"8b", X"85", X"8b", X"90", X"02", X"e6", X"8c", X"e6", X"9e", X"d0", X"02", X"e6", X"9f", X"a5", X"9e", X"c5", X"a0", X"d0", X"e9", X"a5", X"9f", X"c5", X"a1", X"d0", X"e3", X"60", X"02", X"c0", X"00", X"d0", X"00", X"50", X"00", X"58", X"00", X"d8", X"00", X"e0", X"00", X"e0", X"f8", X"ff", X"fa", X"ff", X"00", X"00", X"00", X"00", X"00", X"10", X"05", X"83", X"02", X"42", X"42", X"00", X"00", X"01", X"02", X"8c", X"6c", X"18", X"c0", X"aa", X"c2", X"2c", X"c0" ); signal rdata:std_logic_vector(7 downto 0); begin rdata<=ROM(conv_integer(address)); process(clock) begin if(clock'event and clock='1')then q<=rdata; end if; end process; end syn;
entity something is end entity; architecture arch of something is begin end architecture; configuration testbench of something is for arch end for; end; entity c01s03b01x00p12n01i00863ent is end entity; architecture c01s03b01x00p12n01i00863arch of c01s03b01x00p12n01i00863ent is begin K:block component test port( sigin1 : in boolean := false; sigout1 : out boolean ; sigin2 : in bit := '0'; sigout2 : out bit ; sigin4 : in severity_level := note ; sigout4 : out severity_level ; sigin5 : in integer := 0 ; sigout5 : out integer ; sigin6 : in real := 0.0; sigout6 : out real ; sigin7 : in time := 0 fs; sigout7 : out time ; sigin8 : in natural := 0 ; sigout8 : out natural ; sigin9 : in positive := 0 ; sigout9 : out positive ); end component; BEGIN T5: component test; G: for i in 0 to 3 generate T1: component test; end generate; end block; end architecture; configuration c01s03b01x00p12n01i00863cfg of c01s03b01x00p12n01i00863ent is for c01s03b01x00p12n01i00863arch for K for T5:test use configuration work.testbench; end for; for G(3) for T1:test use configuration work.testbench; end for; end for; for G(0 to 2) for all:test use configuration work.testbench; end for; end for; end for; end for; end;
--***************************************************************************** -- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 1.5 -- \ \ Application : MIG -- / / Filename : ddr_phy_top.vhd -- /___/ /\ Date Last Modified : $date$ -- \ \ / \ Date Created : Jan 31 2012 -- \___\/\___\ -- --Device : 7 Series --Design Name : DDR3 SDRAM --Purpose : Top level memory interface block. Instantiates a clock -- and reset generator, the memory controller, the phy and -- the user interface blocks. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mig_7series_v1_8_ddr_phy_top is generic ( TCQ : integer := 100; -- Register delay (simulation only) AL : string := "0"; -- Additive Latency option BANK_WIDTH : integer := 3; -- # of bank bits BURST_MODE : string := "8"; -- Burst length BURST_TYPE : string := "SEQ"; -- Burst type CA_MIRROR : string := "OFF"; -- C/A mirror opt for DDR3 dual rank CK_WIDTH : integer := 1; -- # of CK/CK# outputs to memory CL : integer := 5; COL_WIDTH : integer := 12; -- column address width CS_WIDTH : integer := 1; -- # of unique CS outputs CKE_WIDTH : integer := 1; -- # of cke outputs CWL : integer := 5; DM_WIDTH : integer := 8; -- # of DM (data mask) DQ_WIDTH : integer := 64; -- # of DQ (data) DQS_CNT_WIDTH : integer := 3; -- = ceil(log2(DQS_WIDTH)) DQS_WIDTH : integer := 8; -- # of DQS (strobe) DRAM_TYPE : string := "DDR3"; DRAM_WIDTH : integer := 8; -- # of DQ per DQS MASTER_PHY_CTL : integer := 0; -- The bank number where master PHY_CONTROL resides LP_DDR_CK_WIDTH : integer := 2; DATA_IO_IDLE_PWRDWN : string := "ON"; -- "ON" or "OFF" -- Hard PHY parameters PHYCTL_CMD_FIFO : string := "FALSE"; -- five fields, one per possible I/O bank, 4 bits in each field, -- 1 per lane data=1/ctl=0 DATA_CTL_B0 : std_logic_vector(3 downto 0) := X"c"; DATA_CTL_B1 : std_logic_vector(3 downto 0) := X"f"; DATA_CTL_B2 : std_logic_vector(3 downto 0) := X"f"; DATA_CTL_B3 : std_logic_vector(3 downto 0) := X"f"; DATA_CTL_B4 : std_logic_vector(3 downto 0) := X"f"; -- defines the byte lanes in I/O banks being used in the interface -- 1- Used, 0- Unused BYTE_LANES_B0 : std_logic_vector(3 downto 0) := "1111"; BYTE_LANES_B1 : std_logic_vector(3 downto 0) := "0000"; BYTE_LANES_B2 : std_logic_vector(3 downto 0) := "0000"; BYTE_LANES_B3 : std_logic_vector(3 downto 0) := "0000"; BYTE_LANES_B4 : std_logic_vector(3 downto 0) := "0000"; -- defines the bit lanes in I/O banks being used in the interface. Each -- = 1 I/O bank = 4 byte lanes = 48 bit lanes. 1-Used, 0-Unused PHY_0_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; PHY_1_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; PHY_2_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; -- control/address/data pin mapping parameters CK_BYTE_MAP : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; ADDR_MAP : std_logic_vector(191 downto 0) := X"000000000000000000000000000000000000000000000000"; BANK_MAP : std_logic_vector(35 downto 0) := X"000000000"; CAS_MAP : std_logic_vector(11 downto 0) := X"000"; CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0) := X"00"; CKE_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; ODT_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; CKE_ODT_AUX : string := "FALSE"; CS_MAP : std_logic_vector(119 downto 0) := X"000000000000000000000000000000"; PARITY_MAP : std_logic_vector(11 downto 0) := X"000"; RAS_MAP : std_logic_vector(11 downto 0) := X"000"; WE_MAP : std_logic_vector(11 downto 0) := X"000"; DQS_BYTE_MAP : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DATA0_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA1_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA2_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA3_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA4_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA5_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA6_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA7_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA8_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA9_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA10_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA11_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA12_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA13_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA14_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA15_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA16_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA17_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; MASK0_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000"; MASK1_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000"; -- This parameter must be set based on memory clock frequency -- It must be set to 4 for frequencies above 533 MHz?? (undecided) -- and set to 2 for 533 MHz and below PRE_REV3ES : string := "OFF"; -- Delay O/Ps using Phaser_Out fine dly nCK_PER_CLK : integer := 2; -- # of memory CKs per fabric CLK nCS_PER_RANK : integer := 1; -- # of unique CS outputs per rank ADDR_CMD_MODE : string := "1T"; -- ADDR/CTRL timing: "2T", "1T" IODELAY_HP_MODE : string := "ON"; BANK_TYPE : string := "HP_IO"; -- # = "HP_LP", "HR_LP", "DEFAULT" DATA_IO_PRIM_TYPE : string := "DEFAULT"; -- # = "HP_LP", "HR_LP", "DEFAULT" IODELAY_GRP : string := "IODELAY_MIG"; IBUF_LPWR_MODE : string := "OFF"; -- input buffer low power option OUTPUT_DRV : string := "HIGH"; -- to calib_top REG_CTRL : string := "OFF"; -- to calib_top RTT_NOM : string := "60"; -- to calib_top RTT_WR : string := "120"; -- to calib_top tCK : integer := 2500; -- pS tRFC : integer := 110000; -- pS DDR2_DQSN_ENABLE : string := "YES"; -- Enable differential DQS for DDR2 WRLVL : string := "OFF"; -- to calib_top DEBUG_PORT : string := "OFF"; -- to calib_top RANKS : integer := 4; ODT_WIDTH : integer := 1; ROW_WIDTH : integer := 16; -- DRAM address bus width SLOT_1_CONFIG : std_logic_vector(7 downto 0) := "00000000"; -- calibration Address. The address given below will be used for calibration -- read and write operations. CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000"; -- Calibration row address CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000"; -- Calibration column address CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000"; -- Calibration bank address -- Simulation /debug options SIM_BYPASS_INIT_CAL : string := "OFF"; -- Parameter used to force skipping -- or abbreviation of initialization -- and calibration. Overrides -- SIM_INIT_OPTION, SIM_CAL_OPTION, -- and disables various other blocks --parameter SIM_INIT_OPTION = "SKIP_PU_DLY", -- Skip various init steps --parameter SIM_CAL_OPTION = "NONE", -- Skip various calib steps REFCLK_FREQ : real := 200.0; -- IODELAY ref clock freq (MHz) USE_CS_PORT : integer := 1; -- Support chip select output USE_DM_PORT : integer := 1; -- Support data mask output USE_ODT_PORT : integer := 1; -- Support ODT output RD_PATH_REG : integer := 0 -- optional registers in the read path -- to MC for timing improvement. -- =1 enabled, = 0 disabled ); port ( clk : in std_logic; -- Fabric logic clock -- To MC, calib_top, hard PHY clk_ref : in std_logic; -- Idelay_ctrl reference clock -- To hard PHY (external source) freq_refclk : in std_logic; -- To hard PHY for Phasers mem_refclk : in std_logic; -- Memory clock to hard PHY pll_lock : in std_logic; -- System PLL lock signal sync_pulse : in std_logic; -- 1/N sync pulse used to -- synchronize all PHASERS error : in std_logic; -- Support for TG error detect rst_tg_mc : out std_logic; -- Support for TG error detect device_temp : in std_logic_vector(11 downto 0); tempmon_sample_en : in std_logic; dbg_sel_pi_incdec : in std_logic; dbg_sel_po_incdec : in std_logic; dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0); dbg_pi_f_inc : in std_logic; dbg_pi_f_dec : in std_logic; dbg_po_f_inc : in std_logic; dbg_po_f_stg23_sel : in std_logic; dbg_po_f_dec : in std_logic; dbg_idel_down_all : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_idel_up_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_sel_all_idel_cpt : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0); rst : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); -- From MC mc_ras_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mc_cas_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mc_we_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mc_address : in std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); mc_bank : in std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); mc_cs_n : in std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); mc_reset_n : in std_logic; mc_odt : in std_logic_vector(1 downto 0); mc_cke : in std_logic_vector(nCK_PER_CLK-1 downto 0); -- AUX - For ODT and CKE assertion during reads and writes mc_aux_out0 : in std_logic_vector(3 downto 0); mc_aux_out1 : in std_logic_vector(3 downto 0); mc_cmd_wren : in std_logic; mc_ctl_wren : in std_logic; mc_cmd : in std_logic_vector(2 downto 0); mc_cas_slot : in std_logic_vector(1 downto 0); mc_data_offset : in std_logic_vector(5 downto 0); mc_data_offset_1 : in std_logic_vector(5 downto 0); mc_data_offset_2 : in std_logic_vector(5 downto 0); mc_rank_cnt : in std_logic_vector(1 downto 0); -- Write mc_wrdata_en : in std_logic; mc_wrdata : in std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); mc_wrdata_mask : in std_logic_vector((2*nCK_PER_CLK*(DQ_WIDTH/8))-1 downto 0); idle : in std_logic; -- DDR bus signals ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr_cas_n : out std_logic; ddr_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0); ddr_ck : out std_logic_vector(CK_WIDTH-1 downto 0); ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0); ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0); ddr_ras_n : out std_logic; ddr_reset_n : out std_logic; ddr_parity : out std_logic; ddr_we_n : out std_logic; ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0); dbg_calib_top : out std_logic_vector(255 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_tap_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_dq_idelay_tap_cnt : out std_logic_vector(5*DQS_WIDTH*RANKS-1 downto 0); dbg_phy_rdlvl : out std_logic_vector(255 downto 0); dbg_phy_wrcal : out std_logic_vector(99 downto 0); dbg_final_po_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_final_po_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0); dbg_rddata : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); dbg_rddata_valid : out std_logic; dbg_rdlvl_done : out std_logic_vector(1 downto 0); dbg_rdlvl_err : out std_logic_vector(1 downto 0); dbg_rdlvl_start : out std_logic_vector(1 downto 0); dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_wrlvl_done : out std_logic; dbg_wrlvl_err : out std_logic; dbg_wrlvl_start : out std_logic; dbg_wrlvl_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_wrlvl_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_phy_wrlvl : out std_logic_vector(255 downto 0); dbg_pi_phaselock_start : out std_logic; dbg_pi_phaselocked_done : out std_logic; dbg_pi_phaselock_err : out std_logic; dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0); dbg_pi_dqsfound_start : out std_logic; dbg_pi_dqsfound_done : out std_logic; dbg_pi_dqsfound_err : out std_logic; dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0); dbg_wrcal_start : out std_logic; dbg_wrcal_done : out std_logic; dbg_wrcal_err : out std_logic; -- FIFO status flags phy_mc_ctl_full : out std_logic; phy_mc_cmd_full : out std_logic; phy_mc_data_full : out std_logic; -- Calibration status and resultant outputs init_calib_complete : out std_logic; init_wrcal_complete : out std_logic; calib_rd_data_offset_0 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_1 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_2 : out std_logic_vector(6*RANKS-1 downto 0); phy_rddata_valid : out std_logic; phy_rd_data : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); ref_dll_lock : out std_logic; rst_phaser_ref : in std_logic; dbg_rd_data_offset : out std_logic_vector(6*RANKS-1 downto 0); dbg_phy_init : out std_logic_vector(255 downto 0); dbg_prbs_rdlvl : out std_logic_vector(255 downto 0); dbg_dqs_found_cal : out std_logic_vector(255 downto 0); dbg_pi_counter_read_val : out std_logic_vector(5 downto 0); dbg_po_counter_read_val : out std_logic_vector(8 downto 0); dbg_oclkdelay_calib_start : out std_logic; dbg_oclkdelay_calib_done : out std_logic; dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0); dbg_oclkdelay_rd_data : out std_logic_vector(DRAM_WIDTH*16-1 downto 0) ); end entity; architecture arch_ddr_phy_top of mig_7series_v1_8_ddr_phy_top is -- function to OR the bits in a vectored signal function OR_BR (inp_var: std_logic_vector) return std_logic is variable temp: std_logic := '0'; begin for idx in inp_var'range loop temp := temp or inp_var(idx); end loop; return temp; end function; -- Calculate number of slots in the system function CALC_nSLOTS return integer is begin if (OR_BR(SLOT_1_CONFIG) = '1') then return (2); else return (1); end if; end function; function SIM_INIT_OPTION_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("SKIP_INIT"); elsif (SIM_BYPASS_INIT_CAL = "FAST" or SIM_BYPASS_INIT_CAL = "SIM_FULL") then return ("SKIP_PU_DLY"); else return ("NONE"); end if; end function; function SIM_CAL_OPTION_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("SKIP_CAL"); elsif (SIM_BYPASS_INIT_CAL = "FAST") then return ("FAST_CAL"); elsif (SIM_BYPASS_INIT_CAL = "SIM_FULL" or SIM_BYPASS_INIT_CAL = "SIM_INIT_CAL_FULL") then return ("FAST_WIN_DETECT"); else return ("NONE"); end if; end function; function CALC_WRLVL_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("OFF"); else return (WRLVL); end if; end function; function HIGHEST_BANK_W return integer is begin if (BYTE_LANES_B4 /= "0000") then return (5); elsif (BYTE_LANES_B3 /= "0000") then return (4); elsif (BYTE_LANES_B2 /= "0000") then return (3); elsif (BYTE_LANES_B1 /= "0000") then return (2); else return (1); end if; end function; function HIGHEST_LANE_B0_W return integer is begin if (BYTE_LANES_B0(3) = '1') then return (4); elsif (BYTE_LANES_B0(2) = '1') then return (3); elsif (BYTE_LANES_B0(1) = '1') then return (2); elsif (BYTE_LANES_B0(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B1_W return integer is begin if (BYTE_LANES_B1(3) = '1') then return (4); elsif (BYTE_LANES_B1(2) = '1') then return (3); elsif (BYTE_LANES_B1(1) = '1') then return (2); elsif (BYTE_LANES_B1(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B2_W return integer is begin if (BYTE_LANES_B2(3) = '1') then return (4); elsif (BYTE_LANES_B2(2) = '1') then return (3); elsif (BYTE_LANES_B2(1) = '1') then return (2); elsif (BYTE_LANES_B2(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B3_W return integer is begin if (BYTE_LANES_B3(3) = '1') then return (4); elsif (BYTE_LANES_B3(2) = '1') then return (3); elsif (BYTE_LANES_B3(1) = '1') then return (2); elsif (BYTE_LANES_B3(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_B4_W return integer is begin if (BYTE_LANES_B4(3) = '1') then return (4); elsif (BYTE_LANES_B4(2) = '1') then return (3); elsif (BYTE_LANES_B4(1) = '1') then return (2); elsif (BYTE_LANES_B4(0) = '1') then return (1); else return (0); end if; end function; function HIGHEST_LANE_W return integer is begin if (HIGHEST_LANE_B4_W /= 0) then return (HIGHEST_LANE_B4_W+16); elsif (HIGHEST_LANE_B3_W /= 0) then return (HIGHEST_LANE_B3_W+12); elsif (HIGHEST_LANE_B2_W /= 0) then return (HIGHEST_LANE_B2_W+8); elsif (HIGHEST_LANE_B1_W /= 0) then return (HIGHEST_LANE_B1_W+4); else return (HIGHEST_LANE_B0_W); end if; end function; function N_CTL_LANES_B0 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B0(idx)) = '1' and BYTE_LANES_B0(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B1 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B1(idx)) = '1' and BYTE_LANES_B1(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B2 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B2(idx)) = '1' and BYTE_LANES_B2(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B3 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B3(idx)) = '1' and BYTE_LANES_B3(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function N_CTL_LANES_B4 return integer is variable temp: integer := 0; begin for idx in 0 to 3 loop if (not(DATA_CTL_B4(idx)) = '1' and BYTE_LANES_B4(idx) = '1') then temp := temp + 1; else temp := temp; end if; end loop; return temp; end function; function CTL_BANK_B0 return std_logic is begin if ((not(DATA_CTL_B0(0)) = '1' and BYTE_LANES_B0(0) = '1') or (not(DATA_CTL_B0(1)) = '1' and BYTE_LANES_B0(1) = '1') or (not(DATA_CTL_B0(2)) = '1' and BYTE_LANES_B0(2) = '1') or (not(DATA_CTL_B0(3)) = '1' and BYTE_LANES_B0(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B1 return std_logic is begin if ((not(DATA_CTL_B1(0)) = '1' and BYTE_LANES_B1(0) = '1') or (not(DATA_CTL_B1(1)) = '1' and BYTE_LANES_B1(1) = '1') or (not(DATA_CTL_B1(2)) = '1' and BYTE_LANES_B1(2) = '1') or (not(DATA_CTL_B1(3)) = '1' and BYTE_LANES_B1(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B2 return std_logic is begin if ((not(DATA_CTL_B2(0)) = '1' and BYTE_LANES_B2(0) = '1') or (not(DATA_CTL_B2(1)) = '1' and BYTE_LANES_B2(1) = '1') or (not(DATA_CTL_B2(2)) = '1' and BYTE_LANES_B2(2) = '1') or (not(DATA_CTL_B2(3)) = '1' and BYTE_LANES_B2(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B3 return std_logic is begin if ((not(DATA_CTL_B3(0)) = '1' and BYTE_LANES_B3(0) = '1') or (not(DATA_CTL_B3(1)) = '1' and BYTE_LANES_B3(1) = '1') or (not(DATA_CTL_B3(2)) = '1' and BYTE_LANES_B3(2) = '1') or (not(DATA_CTL_B3(3)) = '1' and BYTE_LANES_B3(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_B4 return std_logic is begin if ((not(DATA_CTL_B4(0)) = '1' and BYTE_LANES_B4(0) = '1') or (not(DATA_CTL_B4(1)) = '1' and BYTE_LANES_B4(1) = '1') or (not(DATA_CTL_B4(2)) = '1' and BYTE_LANES_B4(2) = '1') or (not(DATA_CTL_B4(3)) = '1' and BYTE_LANES_B4(3) = '1')) then return ('1') ; else return ('0') ; end if; end function; function CTL_BANK_W return std_logic_vector is variable ctl_bank_var : std_logic_vector(2 downto 0); begin if (CTL_BANK_B0 = '1') then ctl_bank_var := "000"; elsif (CTL_BANK_B1 = '1') then ctl_bank_var := "001"; elsif (CTL_BANK_B2 = '1') then ctl_bank_var := "010"; elsif (CTL_BANK_B3 = '1') then ctl_bank_var := "011"; elsif (CTL_BANK_B4 = '1') then ctl_bank_var := "100"; else ctl_bank_var := "000"; end if; return (ctl_bank_var); end function; function ODD_PARITY (inp_var : std_logic_vector) return std_logic is variable tmp : std_logic := '0'; begin for idx in inp_var'range loop tmp := tmp XOR inp_var(idx); end loop; return tmp; end ODD_PARITY; -- Calculate number of slots in the system constant nSLOTS : integer := CALC_nSLOTS; constant CLK_PERIOD : integer := tCK * nCK_PER_CLK; -- Parameter used to force skipping or abbreviation of initialization -- and calibration. Overrides SIM_INIT_OPTION, SIM_CAL_OPTION, and -- disables various other blocks depending on the option selected -- This option should only be used during simulation. In the case of -- the "SKIP" option, the testbench used should also not be modeling -- propagation delays. -- Allowable options = {"NONE", "SIM_FULL", "SKIP", "FAST"} -- "NONE" = options determined by the individual parameter settings -- "SIM_FULL" = skip power-up delay. FULL calibration performed without -- averaging algorithm turned ON during window detection. -- "SKIP" = skip power-up delay. Skip calibration not yet supported. -- "FAST" = skip power-up delay, and calibrate (read leveling, write -- leveling, and phase detector) only using one DQS group, and -- apply the results to all other DQS groups. constant SIM_INIT_OPTION : string := SIM_INIT_OPTION_W; constant SIM_CAL_OPTION : string := SIM_CAL_OPTION_W; constant WRLVL_W : string := CALC_WRLVL_W; constant HIGHEST_BANK : integer := HIGHEST_BANK_W; -- constant HIGHEST_LANE_B0 = HIGHEST_LANE_B0_W; -- constant HIGHEST_LANE_B1 = HIGHEST_LANE_B1_W; -- constant HIGHEST_LANE_B2 = HIGHEST_LANE_B2_W; -- constant HIGHEST_LANE_B3 = HIGHEST_LANE_B3_W; -- constant HIGHEST_LANE_B4 = HIGHEST_LANE_B4_W; constant HIGHEST_LANE : integer := HIGHEST_LANE_W; constant N_CTL_LANES : integer := N_CTL_LANES_B0 + N_CTL_LANES_B1 + N_CTL_LANES_B2 + N_CTL_LANES_B3 + N_CTL_LANES_B4; -- Assuming Ck/Addr/Cmd and Control are placed in a single IO Bank -- This should be the case since the PLL should be placed adjacent -- to the same IO Bank as Ck/Addr/Cmd and Control constant CTL_BANK : std_logic_vector(2 downto 0):= CTL_BANK_W; function CTL_BYTE_LANE_W return std_logic_vector is variable ctl_byte_lane_var: std_logic_vector(7 downto 0); begin if (N_CTL_LANES = 4) then ctl_byte_lane_var := "11100100"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1'))) then ctl_byte_lane_var := "00100100"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00110100"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00111000"; elsif (N_CTL_LANES = 3 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00111001"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1'))) then ctl_byte_lane_var := "00000100"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00001100"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00001110"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1') or ((not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1') or ((not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1') or ((not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1') or ((not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1'))) then ctl_byte_lane_var := "00001001"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(1)) = '1') and BYTE_LANES_B0(1) = '1' and (not(DATA_CTL_B0(3)) = '1') and BYTE_LANES_B0(3) = '1') or ((not(DATA_CTL_B1(1)) = '1') and BYTE_LANES_B1(1) = '1' and (not(DATA_CTL_B1(3)) = '1') and BYTE_LANES_B1(3) = '1') or ((not(DATA_CTL_B2(1)) = '1') and BYTE_LANES_B2(1) = '1' and (not(DATA_CTL_B2(3)) = '1') and BYTE_LANES_B2(3) = '1') or ((not(DATA_CTL_B3(1)) = '1') and BYTE_LANES_B3(1) = '1' and (not(DATA_CTL_B3(3)) = '1') and BYTE_LANES_B3(3) = '1') or ((not(DATA_CTL_B4(1)) = '1') and BYTE_LANES_B4(1) = '1' and (not(DATA_CTL_B4(3)) = '1') and BYTE_LANES_B4(3) = '1'))) then ctl_byte_lane_var := "00001101"; elsif (N_CTL_LANES = 2 and (((not(DATA_CTL_B0(0)) = '1') and BYTE_LANES_B0(0) = '1' and (not(DATA_CTL_B0(2)) = '1') and BYTE_LANES_B0(2) = '1') or ((not(DATA_CTL_B1(0)) = '1') and BYTE_LANES_B1(0) = '1' and (not(DATA_CTL_B1(2)) = '1') and BYTE_LANES_B1(2) = '1') or ((not(DATA_CTL_B2(0)) = '1') and BYTE_LANES_B2(0) = '1' and (not(DATA_CTL_B2(2)) = '1') and BYTE_LANES_B2(2) = '1') or ((not(DATA_CTL_B3(0)) = '1') and BYTE_LANES_B3(0) = '1' and (not(DATA_CTL_B3(2)) = '1') and BYTE_LANES_B3(2) = '1') or ((not(DATA_CTL_B4(0)) = '1') and BYTE_LANES_B4(0) = '1' and (not(DATA_CTL_B4(2)) = '1') and BYTE_LANES_B4(2) = '1'))) then ctl_byte_lane_var := "00001000"; else ctl_byte_lane_var := "11100100"; end if; return (ctl_byte_lane_var); end function; constant CTL_BYTE_LANE : std_logic_vector(7 downto 0):= CTL_BYTE_LANE_W; component mig_7series_v1_8_ddr_mc_phy_wrapper is generic ( TCQ : integer; tCK : integer; BANK_TYPE : string; DATA_IO_PRIM_TYPE : string; DATA_IO_IDLE_PWRDWN :string; IODELAY_GRP : string; nCK_PER_CLK : integer; nCS_PER_RANK : integer; BANK_WIDTH : integer; CKE_WIDTH : integer; CS_WIDTH : integer; CK_WIDTH : integer; CWL : integer; DDR2_DQSN_ENABLE : string; DM_WIDTH : integer; DQ_WIDTH : integer; DQS_CNT_WIDTH : integer; DQS_WIDTH : integer; DRAM_TYPE : string; RANKS : integer; ODT_WIDTH : integer; REG_CTRL : string; ROW_WIDTH : integer; USE_CS_PORT : integer; USE_DM_PORT : integer; USE_ODT_PORT : integer; IBUF_LPWR_MODE : string; LP_DDR_CK_WIDTH : integer; PHYCTL_CMD_FIFO : string; DATA_CTL_B0 : std_logic_vector(3 downto 0); DATA_CTL_B1 : std_logic_vector(3 downto 0); DATA_CTL_B2 : std_logic_vector(3 downto 0); DATA_CTL_B3 : std_logic_vector(3 downto 0); DATA_CTL_B4 : std_logic_vector(3 downto 0); BYTE_LANES_B0 : std_logic_vector(3 downto 0); BYTE_LANES_B1 : std_logic_vector(3 downto 0); BYTE_LANES_B2 : std_logic_vector(3 downto 0); BYTE_LANES_B3 : std_logic_vector(3 downto 0); BYTE_LANES_B4 : std_logic_vector(3 downto 0); PHY_0_BITLANES : std_logic_vector(47 downto 0); PHY_1_BITLANES : std_logic_vector(47 downto 0); PHY_2_BITLANES : std_logic_vector(47 downto 0); HIGHEST_BANK : integer; HIGHEST_LANE : integer; CK_BYTE_MAP : std_logic_vector(143 downto 0); ADDR_MAP : std_logic_vector(191 downto 0); BANK_MAP : std_logic_vector(35 downto 0); CAS_MAP : std_logic_vector(11 downto 0); CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0); CKE_MAP : std_logic_vector(95 downto 0); ODT_MAP : std_logic_vector(95 downto 0); CKE_ODT_AUX : string; CS_MAP : std_logic_vector(119 downto 0); PARITY_MAP : std_logic_vector(11 downto 0); RAS_MAP : std_logic_vector(11 downto 0); WE_MAP : std_logic_vector(11 downto 0); DQS_BYTE_MAP : std_logic_vector(143 downto 0); DATA0_MAP : std_logic_vector(95 downto 0); DATA1_MAP : std_logic_vector(95 downto 0); DATA2_MAP : std_logic_vector(95 downto 0); DATA3_MAP : std_logic_vector(95 downto 0); DATA4_MAP : std_logic_vector(95 downto 0); DATA5_MAP : std_logic_vector(95 downto 0); DATA6_MAP : std_logic_vector(95 downto 0); DATA7_MAP : std_logic_vector(95 downto 0); DATA8_MAP : std_logic_vector(95 downto 0); DATA9_MAP : std_logic_vector(95 downto 0); DATA10_MAP : std_logic_vector(95 downto 0); DATA11_MAP : std_logic_vector(95 downto 0); DATA12_MAP : std_logic_vector(95 downto 0); DATA13_MAP : std_logic_vector(95 downto 0); DATA14_MAP : std_logic_vector(95 downto 0); DATA15_MAP : std_logic_vector(95 downto 0); DATA16_MAP : std_logic_vector(95 downto 0); DATA17_MAP : std_logic_vector(95 downto 0); MASK0_MAP : std_logic_vector(107 downto 0); MASK1_MAP : std_logic_vector(107 downto 0); SIM_CAL_OPTION : string; MASTER_PHY_CTL : integer ); port ( rst : in std_logic; clk : in std_logic; freq_refclk : in std_logic; mem_refclk : in std_logic; pll_lock : in std_logic; sync_pulse : in std_logic; idelayctrl_refclk : in std_logic; phy_cmd_wr_en : in std_logic; phy_data_wr_en : in std_logic; phy_ctl_wd : in std_logic_vector(31 downto 0); phy_ctl_wr : in std_logic; phy_if_empty_def : in std_logic; phy_if_reset : in std_logic; data_offset_1 : in std_logic_vector(5 downto 0); data_offset_2 : in std_logic_vector(5 downto 0); aux_in_1 : in std_logic_vector(3 downto 0); aux_in_2 : in std_logic_vector(3 downto 0); idelaye2_init_val : out std_logic_vector(4 downto 0); oclkdelay_init_val : out std_logic_vector(5 downto 0); if_empty : out std_logic; phy_ctl_full : out std_logic; phy_cmd_full : out std_logic; phy_data_full : out std_logic; phy_pre_data_a_full : out std_logic; ddr_clk : out std_logic_vector(CK_WIDTH*LP_DDR_CK_WIDTH-1 downto 0); phy_mc_go : out std_logic; phy_write_calib : in std_logic; phy_read_calib : in std_logic; calib_in_common : in std_logic; calib_sel : in std_logic_vector(5 downto 0); calib_zero_inputs : in std_logic_vector(HIGHEST_BANK-1 downto 0); calib_zero_ctrl : in std_logic_vector(HIGHEST_BANK-1 downto 0); po_fine_enable : in std_logic_vector(2 downto 0); po_coarse_enable : in std_logic_vector(2 downto 0); po_fine_inc : in std_logic_vector(2 downto 0); po_coarse_inc : in std_logic_vector(2 downto 0); po_counter_load_en : in std_logic; po_counter_read_en : in std_logic; po_sel_fine_oclk_delay : in std_logic_vector(2 downto 0); po_counter_load_val : in std_logic_vector(8 downto 0); po_counter_read_val : out std_logic_vector(8 downto 0); pi_counter_read_val : out std_logic_vector(5 downto 0); pi_rst_dqs_find : in std_logic_vector(HIGHEST_BANK-1 downto 0); pi_fine_enable : in std_logic; pi_fine_inc : in std_logic; pi_counter_load_en : in std_logic; pi_counter_load_val : in std_logic_vector(5 downto 0); idelay_ce : in std_logic; idelay_inc : in std_logic; idelay_ld : in std_logic; idle : in std_logic; pi_phase_locked : out std_logic; pi_phase_locked_all : out std_logic; pi_dqs_found : out std_logic; pi_dqs_found_all : out std_logic; pi_dqs_out_of_range : out std_logic; phy_init_data_sel : in std_logic; mux_address : in std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); mux_bank : in std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); mux_cas_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_cs_n : in std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); mux_ras_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_odt : in std_logic_vector(1 downto 0); mux_cke : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_we_n : in std_logic_vector(nCK_PER_CLK-1 downto 0); parity_in : in std_logic_vector(nCK_PER_CLK-1 downto 0); mux_wrdata : in std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); mux_wrdata_mask : in std_logic_vector(2*nCK_PER_CLK*(DQ_WIDTH/8)-1 downto 0); mux_reset_n : in std_logic; rd_data : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr_cas_n : out std_logic; ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr_cs_n : out std_logic_vector(CS_WIDTH*nCS_PER_RANK-1 downto 0); ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0); ddr_parity : out std_logic; ddr_ras_n : out std_logic; ddr_we_n : out std_logic; ddr_reset_n : out std_logic; ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); dbg_pi_counter_read_en : in std_logic; ref_dll_lock : out std_logic; rst_phaser_ref : in std_logic; dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0); dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0) ); end component mig_7series_v1_8_ddr_mc_phy_wrapper; component mig_7series_v1_8_ddr_calib_top is generic ( TCQ : integer; nCK_PER_CLK : integer; tCK : integer; CLK_PERIOD : integer; N_CTL_LANES : integer; DRAM_TYPE : string; PRBS_WIDTH : integer; HIGHEST_LANE : integer; HIGHEST_BANK : integer; BANK_TYPE : string; BYTE_LANES_B0 : std_logic_vector(3 downto 0); BYTE_LANES_B1 : std_logic_vector(3 downto 0); BYTE_LANES_B2 : std_logic_vector(3 downto 0); BYTE_LANES_B3 : std_logic_vector(3 downto 0); BYTE_LANES_B4 : std_logic_vector(3 downto 0); DATA_CTL_B0 : std_logic_vector(3 downto 0); DATA_CTL_B1 : std_logic_vector(3 downto 0); DATA_CTL_B2 : std_logic_vector(3 downto 0); DATA_CTL_B3 : std_logic_vector(3 downto 0); DATA_CTL_B4 : std_logic_vector(3 downto 0); DQS_BYTE_MAP : std_logic_vector(143 downto 0); CTL_BYTE_LANE : std_logic_vector(7 downto 0); CTL_BANK : std_logic_vector(2 downto 0); SLOT_1_CONFIG : std_logic_vector(7 downto 0); BANK_WIDTH : integer; CA_MIRROR : string; COL_WIDTH : integer; nCS_PER_RANK : integer; DQ_WIDTH : integer; DQS_CNT_WIDTH : integer; DQS_WIDTH : integer; DRAM_WIDTH : integer; ROW_WIDTH : integer; RANKS : integer; CS_WIDTH : integer; CKE_WIDTH : integer; DDR2_DQSN_ENABLE : string; PER_BIT_DESKEW : string; CALIB_ROW_ADD : std_logic_vector(15 downto 0); CALIB_COL_ADD : std_logic_vector(11 downto 0); CALIB_BA_ADD : std_logic_vector(2 downto 0); AL : string; ADDR_CMD_MODE : string; BURST_MODE : string; BURST_TYPE : string; nCL : integer; nCWL : integer; tRFC : integer; OUTPUT_DRV : string; REG_CTRL : string; RTT_NOM : string; RTT_WR : string; USE_ODT_PORT : integer; WRLVL : string; PRE_REV3ES : string; SIM_INIT_OPTION : string; SIM_CAL_OPTION : string; CKE_ODT_AUX : string; DEBUG_PORT : string ); port ( clk : in std_logic; rst : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); phy_ctl_ready : in std_logic; phy_ctl_full : in std_logic; phy_cmd_full : in std_logic; phy_data_full : in std_logic; write_calib : out std_logic; read_calib : out std_logic; calib_ctl_wren : out std_logic; calib_cmd_wren : out std_logic; calib_seq : out std_logic_vector(1 downto 0); calib_aux_out : out std_logic_vector(3 downto 0); calib_cke : out std_logic_vector(nCK_PER_CLK-1 downto 0); calib_odt : out std_logic_vector(1 downto 0); calib_cmd : out std_logic_vector(2 downto 0); calib_wrdata_en : out std_logic; calib_rank_cnt : out std_logic_vector(1 downto 0); calib_cas_slot : out std_logic_vector(1 downto 0); calib_data_offset_0 : out std_logic_vector(5 downto 0); calib_data_offset_1 : out std_logic_vector(5 downto 0); calib_data_offset_2 : out std_logic_vector(5 downto 0); phy_address : out std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); phy_bank : out std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); phy_cs_n : out std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); phy_ras_n : out std_logic_vector(nCK_PER_CLK-1 downto 0); phy_cas_n : out std_logic_vector(nCK_PER_CLK-1 downto 0); phy_we_n : out std_logic_vector(nCK_PER_CLK-1 downto 0); phy_reset_n : out std_logic; calib_sel : out std_logic_vector(5 downto 0); calib_in_common : out std_logic; calib_zero_inputs : out std_logic_vector(HIGHEST_BANK-1 downto 0); calib_zero_ctrl : out std_logic_vector(HIGHEST_BANK-1 downto 0); phy_if_empty_def : out std_logic; phy_if_reset : out std_logic; pi_phaselocked : in std_logic; pi_phase_locked_all : in std_logic; pi_found_dqs : in std_logic; pi_dqs_found_all : in std_logic; pi_dqs_found_lanes : in std_logic_vector(HIGHEST_LANE-1 downto 0); pi_counter_read_val : in std_logic_vector(5 downto 0); pi_rst_stg1_cal : out std_logic_vector(HIGHEST_BANK-1 downto 0); pi_en_stg2_f : out std_logic; pi_stg2_f_incdec : out std_logic; pi_stg2_load : out std_logic; pi_stg2_reg_l : out std_logic_vector(5 downto 0); idelay_ce : out std_logic; idelay_inc : out std_logic; idelay_ld : out std_logic; po_sel_stg2stg3 : out std_logic_vector(2 downto 0); po_stg2_c_incdec : out std_logic_vector(2 downto 0); po_en_stg2_c : out std_logic_vector(2 downto 0); po_stg2_f_incdec : out std_logic_vector(2 downto 0); po_en_stg2_f : out std_logic_vector(2 downto 0); po_counter_load_en : out std_logic; po_counter_read_val : in std_logic_vector(8 downto 0); device_temp : in std_logic_vector(11 downto 0); tempmon_sample_en : in std_logic; phy_if_empty : in std_logic; idelaye2_init_val : in std_logic_vector(4 downto 0); oclkdelay_init_val : in std_logic_vector(5 downto 0); tg_err : in std_logic; rst_tg_mc : out std_logic; phy_wrdata : out std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); dlyval_dq : out std_logic_vector(5*RANKS*DQ_WIDTH-1 downto 0); phy_rddata : in std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); calib_rd_data_offset_0 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_1 : out std_logic_vector(6*RANKS-1 downto 0); calib_rd_data_offset_2 : out std_logic_vector(6*RANKS-1 downto 0); phy_rddata_valid : out std_logic; calib_writes : out std_logic; init_calib_complete : out std_logic; init_wrcal_complete : out std_logic; pi_phase_locked_err : out std_logic; pi_dqsfound_err : out std_logic; wrcal_err : out std_logic; dbg_pi_phaselock_start : out std_logic; dbg_pi_dqsfound_start : out std_logic; dbg_pi_dqsfound_done : out std_logic; dbg_wrcal_start : out std_logic; dbg_wrcal_done : out std_logic; dbg_wrlvl_start : out std_logic; dbg_wrlvl_done : out std_logic; dbg_wrlvl_err : out std_logic; dbg_wrlvl_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_wrlvl_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_phy_wrlvl : out std_logic_vector(255 downto 0); dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0); dbg_final_po_fine_tap_cnt : out std_logic_vector(6*DQS_WIDTH-1 downto 0); dbg_final_po_coarse_tap_cnt : out std_logic_vector(3*DQS_WIDTH-1 downto 0); dbg_phy_wrcal : out std_logic_vector(99 downto 0); dbg_rdlvl_start : out std_logic_vector(1 downto 0); dbg_rdlvl_done : out std_logic_vector(1 downto 0); dbg_rdlvl_err : out std_logic_vector(1 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_cpt_tap_cnt : out std_logic_vector(6*DQS_WIDTH*RANKS-1 downto 0); dbg_dq_idelay_tap_cnt : out std_logic_vector(5*DQS_WIDTH*RANKS-1 downto 0); dbg_sel_pi_incdec : in std_logic; dbg_sel_po_incdec : in std_logic; dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0); dbg_pi_f_inc : in std_logic; dbg_pi_f_dec : in std_logic; dbg_po_f_inc : in std_logic; dbg_po_f_stg23_sel : in std_logic; dbg_po_f_dec : in std_logic; dbg_idel_up_all : in std_logic; dbg_idel_down_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0); dbg_sel_all_idel_cpt : in std_logic; dbg_phy_rdlvl : out std_logic_vector(255 downto 0); dbg_calib_top : out std_logic_vector(255 downto 0); dbg_phy_init : out std_logic_vector(255 downto 0); dbg_prbs_rdlvl : out std_logic_vector(255 downto 0); dbg_dqs_found_cal : out std_logic_vector(255 downto 0); dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0); dbg_oclkdelay_rd_data : out std_logic_vector(DRAM_WIDTH*16-1 downto 0); dbg_oclkdelay_calib_start : out std_logic; dbg_oclkdelay_calib_done : out std_logic ); end component mig_7series_v1_8_ddr_calib_top; signal phy_din : std_logic_vector(HIGHEST_LANE*80-1 downto 0); signal phy_dout : std_logic_vector(HIGHEST_LANE*80-1 downto 0); signal ddr_cmd_ctl_data : std_logic_vector(HIGHEST_LANE*12-1 downto 0); signal aux_out : std_logic_vector((((HIGHEST_LANE+3)/4)*4)-1 downto 0); signal ddr_clk : std_logic_vector(CK_WIDTH * LP_DDR_CK_WIDTH-1 downto 0); signal phy_mc_go : std_logic; signal phy_ctl_full : std_logic; signal phy_cmd_full : std_logic; signal phy_data_full : std_logic; signal phy_pre_data_a_full : std_logic; signal if_empty : std_logic; signal phy_write_calib : std_logic; signal phy_read_calib : std_logic; signal rst_stg1_cal : std_logic_vector(HIGHEST_BANK-1 downto 0); signal calib_sel : std_logic_vector(5 downto 0); signal calib_in_common : std_logic; signal calib_zero_inputs : std_logic_vector(HIGHEST_BANK-1 downto 0); signal calib_zero_ctrl : std_logic_vector(HIGHEST_BANK-1 downto 0); signal pi_phase_locked : std_logic; signal pi_phase_locked_all : std_logic; signal pi_found_dqs : std_logic; signal pi_dqs_found_all : std_logic; signal pi_dqs_out_of_range : std_logic; signal pi_enstg2_f : std_logic; signal pi_stg2_fincdec : std_logic; signal pi_stg2_load : std_logic; signal pi_stg2_reg_l : std_logic_vector(5 downto 0); signal idelay_ce : std_logic; signal idelay_inc : std_logic; signal idelay_ld : std_logic; signal po_sel_stg2stg3 : std_logic_vector(2 downto 0); signal po_stg2_cincdec : std_logic_vector(2 downto 0); signal po_enstg2_c : std_logic_vector(2 downto 0); signal po_stg2_fincdec : std_logic_vector(2 downto 0); signal po_enstg2_f : std_logic_vector(2 downto 0); signal po_counter_read_val : std_logic_vector(8 downto 0); signal pi_counter_read_val : std_logic_vector(5 downto 0); signal phy_wrdata : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal parity : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_address : std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); signal phy_bank : std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); signal phy_cs_n : std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); signal phy_ras_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_cas_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_we_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal phy_reset_n : std_logic; signal calib_aux_out : std_logic_vector(3 downto 0); signal calib_cke : std_logic_vector(nCK_PER_CLK-1 downto 0); signal calib_odt : std_logic_vector(1 downto 0); signal calib_ctl_wren : std_logic; signal calib_cmd_wren : std_logic; signal calib_wrdata_en : std_logic; signal calib_cmd : std_logic_vector(2 downto 0); signal calib_seq : std_logic_vector(1 downto 0); signal calib_data_offset_0 : std_logic_vector(5 downto 0); signal calib_data_offset_1 : std_logic_vector(5 downto 0); signal calib_data_offset_2 : std_logic_vector(5 downto 0); signal calib_rank_cnt : std_logic_vector(1 downto 0); signal calib_cas_slot : std_logic_vector(1 downto 0); signal mux_address : std_logic_vector(nCK_PER_CLK*ROW_WIDTH-1 downto 0); signal mux_aux_out : std_logic_vector(3 downto 0); signal aux_out_map : std_logic_vector(3 downto 0); signal mux_bank : std_logic_vector(nCK_PER_CLK*BANK_WIDTH-1 downto 0); signal mux_cmd : std_logic_vector(2 downto 0); signal mux_cmd_wren : std_logic; signal mux_cs_n : std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); signal mux_ctl_wren : std_logic; signal mux_cas_slot : std_logic_vector(1 downto 0); signal mux_data_offset : std_logic_vector(5 downto 0); signal mux_data_offset_1 : std_logic_vector(5 downto 0); signal mux_data_offset_2 : std_logic_vector(5 downto 0); signal mux_ras_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_cas_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_rank_cnt : std_logic_vector(1 downto 0); signal mux_reset_n : std_logic; signal mux_we_n : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_wrdata : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal mux_wrdata_mask : std_logic_vector(2*nCK_PER_CLK*(DQ_WIDTH/8)-1 downto 0); signal mux_wrdata_en : std_logic; signal mux_cke : std_logic_vector(nCK_PER_CLK-1 downto 0); signal mux_odt : std_logic_vector(1 downto 0); signal phy_if_empty_def : std_logic; signal phy_if_reset : std_logic; signal phy_init_data_sel : std_logic; signal rd_data_map : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal phy_rddata_valid_w : std_logic; signal rddata_valid_reg : std_logic; signal rd_data_reg : std_logic_vector(2*nCK_PER_CLK*DQ_WIDTH-1 downto 0); signal idelaye2_init_val : std_logic_vector(4 downto 0); signal oclkdelay_init_val : std_logic_vector(5 downto 0); signal mc_cs_n_temp : std_logic_vector(CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1 downto 0); signal calib_rd_data_offset_i0 : std_logic_vector(6*RANKS-1 downto 0); signal init_wrcal_complete_i : std_logic; signal phy_ctl_wd_i : std_logic_vector(31 downto 0); signal po_counter_load_en : std_logic; signal parity_0_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal parity_1_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal parity_2_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal parity_3_wire : std_logic_vector((ROW_WIDTH+BANK_WIDTH+3)-1 downto 0); signal dbg_pi_dqs_found_lanes_phy4lanes_i : std_logic_vector(11 downto 0); signal all_zeros : std_logic_vector(8 downto 0):= (others => '0'); attribute keep : string; attribute max_fanout : integer; attribute keep of phy_rddata_valid_w : signal is "true"; attribute max_fanout of phy_rddata_valid_w : signal is 3; begin --*************************************************************************** dbg_rddata_valid <= rddata_valid_reg; dbg_rddata <= rd_data_reg; dbg_rd_data_offset <= calib_rd_data_offset_i0; calib_rd_data_offset_0 <= calib_rd_data_offset_i0; dbg_pi_phaselocked_done <= pi_phase_locked_all; dbg_po_counter_read_val <= po_counter_read_val; dbg_pi_counter_read_val <= pi_counter_read_val; dbg_pi_dqs_found_lanes_phy4lanes <= dbg_pi_dqs_found_lanes_phy4lanes_i; init_wrcal_complete <= init_wrcal_complete_i; --*************************************************************************** clock_gen : for i in 0 to (CK_WIDTH-1) generate ddr_ck(i) <= ddr_clk(LP_DDR_CK_WIDTH * i); ddr_ck_n(i) <= ddr_clk((LP_DDR_CK_WIDTH * i) + 1); end generate; --*************************************************************************** -- During memory initialization and calibration the calibration logic drives -- the memory signals. After calibration is complete the memory controller -- drives the memory signals. -- Do not expect timing issues in 4:1 mode at 800 MHz/1600 Mbps --*************************************************************************** cs_rdimm : if((REG_CTRL = "ON") and (DRAM_TYPE = "DDR3") and (RANKS = 1) and (nCS_PER_RANK = 2)) generate cs_rdimm_gen: for v in 0 to (CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK)-1 generate cs_rdimm_gen_i : if((v mod (CS_WIDTH*nCS_PER_RANK)) = 0) generate mc_cs_n_temp(v) <= mc_cs_n(v) ; end generate; cs_rdimm_gen_j : if(not((v mod (CS_WIDTH*nCS_PER_RANK)) = 0)) generate mc_cs_n_temp(v) <= '1' ; end generate; end generate; end generate; cs_others : if(not(REG_CTRL = "ON") or not(DRAM_TYPE = "DDR3") or not(RANKS = 1) or not(nCS_PER_RANK = 2)) generate mc_cs_n_temp <= mc_cs_n ; end generate; mux_wrdata <= mc_wrdata when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_wrdata; mux_wrdata_mask <= mc_wrdata_mask when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else (others => '0'); mux_address <= mc_address when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_address; mux_bank <= mc_bank when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_bank; mux_cs_n <= mc_cs_n_temp when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_cs_n; mux_ras_n <= mc_ras_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_ras_n; mux_cas_n <= mc_cas_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_cas_n; mux_we_n <= mc_we_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_we_n; mux_reset_n <= mc_reset_n when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else phy_reset_n; mux_aux_out <= mc_aux_out0 when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_aux_out; mux_odt <= mc_odt when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_odt; mux_cke <= mc_cke when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_cke; mux_cmd_wren <= mc_cmd_wren when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_cmd_wren; mux_ctl_wren <= mc_ctl_wren when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_ctl_wren; mux_wrdata_en <= mc_wrdata_en when (phy_init_data_sel = '1' or init_wrcal_complete_i = '1') else calib_wrdata_en; mux_cmd <= mc_cmd when (phy_init_data_sel ='1' or init_wrcal_complete_i ='1') else calib_cmd; mux_cas_slot <= mc_cas_slot when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_cas_slot; mux_data_offset <= mc_data_offset when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_data_offset_0; mux_data_offset_1 <= mc_data_offset_1 when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_data_offset_1; mux_data_offset_2 <= mc_data_offset_2 when (phy_init_data_sel ='1' or init_wrcal_complete_i = '1') else calib_data_offset_2; -- Reserved field. Hard coded to 2'b00 irrespective of the number of ranks. CR 643601 mux_rank_cnt <= "00"; -- Assigning cke & odt for DDR2 & DDR3 -- No changes for DDR3 & DDR2 dual rank -- DDR2 single rank systems might potentially need 3 odt signals. -- Aux_out[2] will have the odt toggled by phy and controller -- wiring aux_out[2] to 0 & 3. Depending upon the odt parameter -- all of the three odt bits or some of them might be used. -- mapping done in mc_phy_wrapper module aux_out_gen : if(CKE_ODT_AUX = "TRUE") generate aux_out_map <= (mux_aux_out(1) & mux_aux_out(1) & mux_aux_out(1) & mux_aux_out(0)) when ((DRAM_TYPE = "DDR2") and (RANKS = 1)) else mux_aux_out; end generate; wo_aux_out_gen : if(not(CKE_ODT_AUX = "TRUE")) generate aux_out_map <= "0000"; end generate; init_calib_complete <= phy_init_data_sel; phy_mc_ctl_full <= phy_ctl_full; phy_mc_cmd_full <= phy_cmd_full; phy_mc_data_full <= phy_pre_data_a_full; --*************************************************************************** -- Generate parity for DDR3 RDIMM. --*************************************************************************** gen_ddr3_parity : if ((DRAM_TYPE = "DDR3") and (REG_CTRL = "ON")) generate gen_ddr3_parity_4by1: if (nCK_PER_CLK = 4) generate parity_0_wire <= (mux_address((ROW_WIDTH*4)-1 downto ROW_WIDTH*3) & mux_bank((BANK_WIDTH*4)-1 downto BANK_WIDTH*3) & mux_cas_n(3) & mux_ras_n(3) & mux_we_n(3)); parity_1_wire <= (mux_address(ROW_WIDTH-1 downto 0) & mux_bank(BANK_WIDTH-1 downto 0) & mux_cas_n(0) & mux_ras_n(0) & mux_we_n(0)); parity_2_wire <= (mux_address((ROW_WIDTH*2)-1 downto ROW_WIDTH) & mux_bank((BANK_WIDTH*2)-1 downto BANK_WIDTH) & mux_cas_n(1) & mux_ras_n(1) & mux_we_n(1)); parity_3_wire <= (mux_address((ROW_WIDTH*3)-1 downto ROW_WIDTH*2) & mux_bank((BANK_WIDTH*3)-1 downto BANK_WIDTH*2) & mux_cas_n(2) & mux_ras_n(2) & mux_we_n(2)); process (clk) begin if (clk'event and clk = '1') then parity(0) <= ODD_PARITY(parity_0_wire) after (TCQ) * 1 ps; end if; end process; process (mux_address, mux_bank, mux_cas_n, mux_ras_n, mux_we_n) begin parity(1) <= ODD_PARITY(parity_1_wire) after (TCQ) * 1 ps; parity(2) <= ODD_PARITY(parity_2_wire) after (TCQ) * 1 ps; parity(3) <= ODD_PARITY(parity_3_wire) after (TCQ) * 1 ps; end process; end generate; gen_ddr3_parity_2by1: if ( not(nCK_PER_CLK = 4)) generate parity_1_wire <= (mux_address(ROW_WIDTH-1 downto 0) & mux_bank(BANK_WIDTH-1 downto 0) & mux_cas_n(0) & mux_ras_n(0) & mux_we_n(0)); parity_2_wire <= (mux_address((ROW_WIDTH*2)-1 downto ROW_WIDTH) & mux_bank((BANK_WIDTH*2)-1 downto BANK_WIDTH) & mux_cas_n(1) & mux_ras_n(1) & mux_we_n(1)); process (clk) begin if (clk'event and clk='1') then parity(0) <= ODD_PARITY(parity_2_wire) after (TCQ) * 1 ps; end if; end process; process(mux_address, mux_bank, mux_cas_n, mux_ras_n, mux_we_n) begin parity(1) <= ODD_PARITY(parity_1_wire) after (TCQ) * 1 ps; end process; end generate; end generate; gen_ddr3_noparity : if (not(DRAM_TYPE = "DDR3") or not(REG_CTRL = "ON")) generate gen_ddr3_noparity_4by1 : if (nCK_PER_CLK = 4) generate process (clk) begin if (clk'event and clk='1') then parity(0) <= '0' after (TCQ)*1 ps; parity(1) <= '0' after (TCQ)*1 ps; parity(2) <= '0' after (TCQ)*1 ps; parity(3) <= '0' after (TCQ)*1 ps; end if; end process; end generate; gen_ddr3_noparity_2by1 : if (not(nCK_PER_CLK = 4)) generate process (clk) begin if (clk'event and clk='1') then parity(0) <= '0' after (TCQ)*1 ps; parity(1) <= '0' after (TCQ)*1 ps; end if; end process; end generate; end generate; --*************************************************************************** -- Code for optional register stage in read path to MC for timing --*************************************************************************** RD_REG_TIMING : if(RD_PATH_REG = 1) generate process (clk) begin if (clk'event and clk='1') then rddata_valid_reg <= phy_rddata_valid_w after (TCQ)*1 ps; rd_data_reg <= rd_data_map after (TCQ)*1 ps; end if; end process; end generate; RD_REG_NO_TIMING : if( not(RD_PATH_REG = 1)) generate process (phy_rddata_valid_w, rd_data_map) begin rddata_valid_reg <= phy_rddata_valid_w; rd_data_reg <= rd_data_map; end process; end generate; phy_rddata_valid <= rddata_valid_reg; phy_rd_data <= rd_data_reg; --*************************************************************************** -- Hard PHY and accompanying bit mapping logic --*************************************************************************** phy_ctl_wd_i <= ("00000" & mux_cas_slot & calib_seq & mux_data_offset & mux_rank_cnt & "000" & aux_out_map & "00000" & mux_cmd); u_ddr_mc_phy_wrapper : mig_7series_v1_8_ddr_mc_phy_wrapper generic map ( TCQ => TCQ, tCK => tCK, BANK_TYPE => BANK_TYPE, DATA_IO_PRIM_TYPE => DATA_IO_PRIM_TYPE, IODELAY_GRP => IODELAY_GRP, DATA_IO_IDLE_PWRDWN=> DATA_IO_IDLE_PWRDWN, nCK_PER_CLK => nCK_PER_CLK, nCS_PER_RANK => nCS_PER_RANK, BANK_WIDTH => BANK_WIDTH, CKE_WIDTH => CKE_WIDTH, CS_WIDTH => CS_WIDTH, CK_WIDTH => CK_WIDTH, CWL => CWL, DDR2_DQSN_ENABLE => DDR2_DQSN_ENABLE, DM_WIDTH => DM_WIDTH, DQ_WIDTH => DQ_WIDTH, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, DRAM_TYPE => DRAM_TYPE, RANKS => RANKS, ODT_WIDTH => ODT_WIDTH, REG_CTRL => REG_CTRL, ROW_WIDTH => ROW_WIDTH, USE_CS_PORT => USE_CS_PORT, USE_DM_PORT => USE_DM_PORT, USE_ODT_PORT => USE_ODT_PORT, IBUF_LPWR_MODE => IBUF_LPWR_MODE, LP_DDR_CK_WIDTH => LP_DDR_CK_WIDTH, PHYCTL_CMD_FIFO => PHYCTL_CMD_FIFO, DATA_CTL_B0 => DATA_CTL_B0, DATA_CTL_B1 => DATA_CTL_B1, DATA_CTL_B2 => DATA_CTL_B2, DATA_CTL_B3 => DATA_CTL_B3, DATA_CTL_B4 => DATA_CTL_B4, BYTE_LANES_B0 => BYTE_LANES_B0, BYTE_LANES_B1 => BYTE_LANES_B1, BYTE_LANES_B2 => BYTE_LANES_B2, BYTE_LANES_B3 => BYTE_LANES_B3, BYTE_LANES_B4 => BYTE_LANES_B4, PHY_0_BITLANES => PHY_0_BITLANES, PHY_1_BITLANES => PHY_1_BITLANES, PHY_2_BITLANES => PHY_2_BITLANES, HIGHEST_BANK => HIGHEST_BANK, HIGHEST_LANE => HIGHEST_LANE, CK_BYTE_MAP => CK_BYTE_MAP, ADDR_MAP => ADDR_MAP, BANK_MAP => BANK_MAP, CAS_MAP => CAS_MAP, CKE_ODT_BYTE_MAP => CKE_ODT_BYTE_MAP, CKE_MAP => CKE_MAP, ODT_MAP => ODT_MAP, CKE_ODT_AUX => CKE_ODT_AUX, CS_MAP => CS_MAP, PARITY_MAP => PARITY_MAP, RAS_MAP => RAS_MAP, WE_MAP => WE_MAP, DQS_BYTE_MAP => DQS_BYTE_MAP, DATA0_MAP => DATA0_MAP, DATA1_MAP => DATA1_MAP, DATA2_MAP => DATA2_MAP, DATA3_MAP => DATA3_MAP, DATA4_MAP => DATA4_MAP, DATA5_MAP => DATA5_MAP, DATA6_MAP => DATA6_MAP, DATA7_MAP => DATA7_MAP, DATA8_MAP => DATA8_MAP, DATA9_MAP => DATA9_MAP, DATA10_MAP => DATA10_MAP, DATA11_MAP => DATA11_MAP, DATA12_MAP => DATA12_MAP, DATA13_MAP => DATA13_MAP, DATA14_MAP => DATA14_MAP, DATA15_MAP => DATA15_MAP, DATA16_MAP => DATA16_MAP, DATA17_MAP => DATA17_MAP, MASK0_MAP => MASK0_MAP, MASK1_MAP => MASK1_MAP, SIM_CAL_OPTION => SIM_CAL_OPTION, MASTER_PHY_CTL => MASTER_PHY_CTL ) port map ( rst => rst, clk => clk, -- For memory frequencies between 400~1066 MHz freq_refclk = mem_refclk -- For memory frequencies below 400 MHz mem_refclk = mem_refclk and -- freq_refclk = 2x or 4x mem_refclk such that it remains in the -- 400~1066 MHz range freq_refclk => freq_refclk, mem_refclk => mem_refclk, pll_lock => pll_lock, sync_pulse => sync_pulse, idelayctrl_refclk => clk_ref, phy_cmd_wr_en => mux_cmd_wren, phy_data_wr_en => mux_wrdata_en, -- phy_ctl_wd = {ACTPRE[31:30],EventDelay[29:25],seq[24:23], -- DataOffset[22:17],HiIndex[16:15],LowIndex[14:12], -- AuxOut[11:8],ControlOffset[7:3],PHYCmd[2:0]} -- The fields ACTPRE, and BankCount are only used -- when the hard PHY counters are used by the MC. phy_ctl_wd => phy_ctl_wd_i, phy_ctl_wr => mux_ctl_wren, phy_if_empty_def => phy_if_empty_def, phy_if_reset => phy_if_reset, data_offset_1 => mux_data_offset_1, data_offset_2 => mux_data_offset_2, aux_in_1 => aux_out_map, aux_in_2 => aux_out_map, idelaye2_init_val => idelaye2_init_val, oclkdelay_init_val => oclkdelay_init_val, if_empty => if_empty, phy_ctl_full => phy_ctl_full, phy_cmd_full => phy_cmd_full, phy_data_full => phy_data_full, phy_pre_data_a_full => phy_pre_data_a_full, ddr_clk => ddr_clk, phy_mc_go => phy_mc_go, phy_write_calib => phy_write_calib, phy_read_calib => phy_read_calib, calib_in_common => calib_in_common, calib_sel => calib_sel, calib_zero_inputs => calib_zero_inputs, calib_zero_ctrl => calib_zero_ctrl, po_fine_enable => po_enstg2_f, po_coarse_enable => po_enstg2_c, po_fine_inc => po_stg2_fincdec, po_coarse_inc => po_stg2_cincdec, po_counter_load_en => po_counter_load_en, po_counter_read_en => '1', po_sel_fine_oclk_delay => po_sel_stg2stg3, po_counter_load_val => all_zeros, po_counter_read_val => po_counter_read_val, pi_counter_read_val => pi_counter_read_val, pi_rst_dqs_find => rst_stg1_cal, pi_fine_enable => pi_enstg2_f, pi_fine_inc => pi_stg2_fincdec, pi_counter_load_en => pi_stg2_load, pi_counter_load_val => pi_stg2_reg_l, idelay_ce => idelay_ce, idelay_inc => idelay_inc, idelay_ld => idelay_ld, idle => idle, pi_phase_locked => pi_phase_locked, pi_phase_locked_all => pi_phase_locked_all, pi_dqs_found => pi_found_dqs, pi_dqs_found_all => pi_dqs_found_all, -- Currently not being used. May be used in future if periodic reads -- become a requirement. This output could also be used to signal a -- catastrophic failure in read capture and the need for re-cal pi_dqs_out_of_range => pi_dqs_out_of_range, phy_init_data_sel => phy_init_data_sel, mux_address => mux_address, mux_bank => mux_bank, mux_cas_n => mux_cas_n, mux_cs_n => mux_cs_n, mux_ras_n => mux_ras_n, mux_odt => mux_odt, mux_cke => mux_cke, mux_we_n => mux_we_n, parity_in => parity, mux_wrdata => mux_wrdata, mux_wrdata_mask => mux_wrdata_mask, mux_reset_n => mux_reset_n, rd_data => rd_data_map, ddr_addr => ddr_addr, ddr_ba => ddr_ba, ddr_cas_n => ddr_cas_n, ddr_cke => ddr_cke, ddr_cs_n => ddr_cs_n, ddr_dm => ddr_dm, ddr_odt => ddr_odt, ddr_parity => ddr_parity, ddr_ras_n => ddr_ras_n, ddr_we_n => ddr_we_n, ddr_reset_n => ddr_reset_n, ddr_dq => ddr_dq, ddr_dqs => ddr_dqs, ddr_dqs_n => ddr_dqs_n, dbg_pi_counter_read_en => '1', ref_dll_lock => ref_dll_lock, rst_phaser_ref => rst_phaser_ref, dbg_pi_phase_locked_phy4lanes => dbg_pi_phase_locked_phy4lanes, dbg_pi_dqs_found_lanes_phy4lanes => dbg_pi_dqs_found_lanes_phy4lanes_i ); --*************************************************************************** -- Soft memory initialization and calibration logic --*************************************************************************** u_ddr_calib_top : mig_7series_v1_8_ddr_calib_top generic map ( TCQ => TCQ, nCK_PER_CLK => nCK_PER_CLK, tCK => tCK, CLK_PERIOD => CLK_PERIOD, N_CTL_LANES => N_CTL_LANES, DRAM_TYPE => DRAM_TYPE, PRBS_WIDTH => 8, HIGHEST_LANE => HIGHEST_LANE, HIGHEST_BANK => HIGHEST_BANK, BANK_TYPE => BANK_TYPE, BYTE_LANES_B0 => BYTE_LANES_B0, BYTE_LANES_B1 => BYTE_LANES_B1, BYTE_LANES_B2 => BYTE_LANES_B2, BYTE_LANES_B3 => BYTE_LANES_B3, BYTE_LANES_B4 => BYTE_LANES_B4, DATA_CTL_B0 => DATA_CTL_B0, DATA_CTL_B1 => DATA_CTL_B1, DATA_CTL_B2 => DATA_CTL_B2, DATA_CTL_B3 => DATA_CTL_B3, DATA_CTL_B4 => DATA_CTL_B4, DQS_BYTE_MAP => DQS_BYTE_MAP, CTL_BYTE_LANE => CTL_BYTE_LANE, CTL_BANK => CTL_BANK, SLOT_1_CONFIG => SLOT_1_CONFIG, BANK_WIDTH => BANK_WIDTH, CA_MIRROR => CA_MIRROR, COL_WIDTH => COL_WIDTH, nCS_PER_RANK => nCS_PER_RANK, DQ_WIDTH => DQ_WIDTH, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, DRAM_WIDTH => DRAM_WIDTH, ROW_WIDTH => ROW_WIDTH, RANKS => RANKS, CS_WIDTH => CS_WIDTH, CKE_WIDTH => CKE_WIDTH, DDR2_DQSN_ENABLE => DDR2_DQSN_ENABLE, PER_BIT_DESKEW => "OFF", CALIB_ROW_ADD => CALIB_ROW_ADD, CALIB_COL_ADD => CALIB_COL_ADD, CALIB_BA_ADD => CALIB_BA_ADD, AL => AL, ADDR_CMD_MODE => ADDR_CMD_MODE, BURST_MODE => BURST_MODE, BURST_TYPE => BURST_TYPE, nCL => CL, nCWL => CWL, tRFC => tRFC, OUTPUT_DRV => OUTPUT_DRV, REG_CTRL => REG_CTRL, RTT_NOM => RTT_NOM, RTT_WR => RTT_WR, USE_ODT_PORT => USE_ODT_PORT, WRLVL => WRLVL_W, PRE_REV3ES => PRE_REV3ES, SIM_INIT_OPTION => SIM_INIT_OPTION, SIM_CAL_OPTION => SIM_CAL_OPTION, CKE_ODT_AUX => CKE_ODT_AUX, DEBUG_PORT => DEBUG_PORT ) port map ( clk => clk, rst => rst, slot_0_present => slot_0_present, slot_1_present => slot_1_present, -- PHY Control Block and IN_FIFO status phy_ctl_ready => phy_mc_go, phy_ctl_full => '0', phy_cmd_full => '0', phy_data_full => '0', -- hard PHY calibration modes write_calib => phy_write_calib, read_calib => phy_read_calib, -- Signals from calib logic to be MUXED with MC -- signals before sending to hard PHY calib_ctl_wren => calib_ctl_wren, calib_cmd_wren => calib_cmd_wren, calib_seq => calib_seq, calib_aux_out => calib_aux_out, calib_odt => calib_odt, calib_cke => calib_cke, calib_cmd => calib_cmd, calib_wrdata_en => calib_wrdata_en, calib_rank_cnt => calib_rank_cnt, calib_cas_slot => calib_cas_slot, calib_data_offset_0 => calib_data_offset_0, calib_data_offset_1 => calib_data_offset_1, calib_data_offset_2 => calib_data_offset_2, phy_address => phy_address, phy_bank => phy_bank, phy_cs_n => phy_cs_n, phy_ras_n => phy_ras_n, phy_cas_n => phy_cas_n, phy_we_n => phy_we_n, phy_reset_n => phy_reset_n, -- DQS count and ck/addr/cmd to be mapped to calib_sel -- based on parameter that defines placement of ctl lanes -- and DQS byte groups in each bank. When phy_write_calib -- is de-asserted calib_sel should select CK/addr/cmd/ctl. calib_sel => calib_sel, calib_in_common => calib_in_common, calib_zero_inputs => calib_zero_inputs, calib_zero_ctrl => calib_zero_ctrl, phy_if_empty_def => phy_if_empty_def, phy_if_reset => phy_if_reset, -- DQS Phaser_IN calibration/status signals pi_phaselocked => pi_phase_locked, pi_phase_locked_all => pi_phase_locked_all, pi_found_dqs => pi_found_dqs, pi_dqs_found_all => pi_dqs_found_all, pi_dqs_found_lanes => dbg_pi_dqs_found_lanes_phy4lanes_i(HIGHEST_LANE-1 downto 0), pi_rst_stg1_cal => rst_stg1_cal, pi_en_stg2_f => pi_enstg2_f, pi_stg2_f_incdec => pi_stg2_fincdec, pi_stg2_load => pi_stg2_load, pi_stg2_reg_l => pi_stg2_reg_l, pi_counter_read_val => pi_counter_read_val, device_temp => device_temp, tempmon_sample_en => tempmon_sample_en, -- IDELAY tap enable and inc signals idelay_ce => idelay_ce, idelay_inc => idelay_inc, idelay_ld => idelay_ld, -- DQS Phaser_OUT calibration/status signals po_sel_stg2stg3 => po_sel_stg2stg3, po_stg2_c_incdec => po_stg2_cincdec, po_en_stg2_c => po_enstg2_c, po_stg2_f_incdec => po_stg2_fincdec, po_en_stg2_f => po_enstg2_f, po_counter_load_en => po_counter_load_en, po_counter_read_val => po_counter_read_val, phy_if_empty => if_empty, idelaye2_init_val => idelaye2_init_val, oclkdelay_init_val => oclkdelay_init_val, tg_err => error, rst_tg_mc => rst_tg_mc, phy_wrdata => phy_wrdata, -- From calib logic To data IN_FIFO -- DQ IDELAY tap value from Calib logic -- port to be added to mc_phy by Gary dlyval_dq => open, -- From data IN_FIFO To Calib logic and MC/UI phy_rddata => rd_data_map, -- From calib logic To MC phy_rddata_valid => phy_rddata_valid_w, calib_rd_data_offset_0 => calib_rd_data_offset_i0, calib_rd_data_offset_1 => calib_rd_data_offset_1, calib_rd_data_offset_2 => calib_rd_data_offset_2, calib_writes => open, -- Mem Init and Calibration status To MC init_calib_complete => phy_init_data_sel, init_wrcal_complete => init_wrcal_complete_i, -- Debug Error signals pi_phase_locked_err => dbg_pi_phaselock_err, pi_dqsfound_err => dbg_pi_dqsfound_err, wrcal_err => dbg_wrcal_err, -- Debug Signals dbg_pi_phaselock_start => dbg_pi_phaselock_start, dbg_pi_dqsfound_start => dbg_pi_dqsfound_start, dbg_pi_dqsfound_done => dbg_pi_dqsfound_done, dbg_wrcal_start => dbg_wrcal_start, dbg_wrcal_done => dbg_wrcal_done, dbg_wrlvl_start => dbg_wrlvl_start, dbg_wrlvl_done => dbg_wrlvl_done, dbg_wrlvl_err => dbg_wrlvl_err, dbg_wrlvl_fine_tap_cnt => dbg_wrlvl_fine_tap_cnt, dbg_wrlvl_coarse_tap_cnt => dbg_wrlvl_coarse_tap_cnt, dbg_phy_wrlvl => dbg_phy_wrlvl, dbg_tap_cnt_during_wrlvl => dbg_tap_cnt_during_wrlvl, dbg_wl_edge_detect_valid => dbg_wl_edge_detect_valid, dbg_rd_data_edge_detect => dbg_rd_data_edge_detect, dbg_final_po_fine_tap_cnt => dbg_final_po_fine_tap_cnt, dbg_final_po_coarse_tap_cnt => dbg_final_po_coarse_tap_cnt, dbg_phy_wrcal => dbg_phy_wrcal, dbg_rdlvl_start => dbg_rdlvl_start, dbg_rdlvl_done => dbg_rdlvl_done, dbg_rdlvl_err => dbg_rdlvl_err, dbg_cpt_first_edge_cnt => dbg_cpt_first_edge_cnt, dbg_cpt_second_edge_cnt => dbg_cpt_second_edge_cnt, dbg_cpt_tap_cnt => dbg_cpt_tap_cnt, dbg_dq_idelay_tap_cnt => dbg_dq_idelay_tap_cnt, dbg_sel_pi_incdec => dbg_sel_pi_incdec, dbg_sel_po_incdec => dbg_sel_po_incdec, dbg_byte_sel => dbg_byte_sel, dbg_pi_f_inc => dbg_pi_f_inc, dbg_pi_f_dec => dbg_pi_f_dec, dbg_po_f_inc => dbg_po_f_inc, dbg_po_f_stg23_sel => dbg_po_f_stg23_sel, dbg_po_f_dec => dbg_po_f_dec, dbg_idel_up_all => dbg_idel_up_all, dbg_idel_down_all => dbg_idel_down_all, dbg_idel_up_cpt => dbg_idel_up_cpt, dbg_idel_down_cpt => dbg_idel_down_cpt, dbg_sel_idel_cpt => dbg_sel_idel_cpt, dbg_sel_all_idel_cpt => dbg_sel_all_idel_cpt, dbg_phy_rdlvl => dbg_phy_rdlvl, dbg_calib_top => dbg_calib_top, dbg_phy_init => dbg_phy_init, dbg_prbs_rdlvl => dbg_prbs_rdlvl, dbg_dqs_found_cal => dbg_dqs_found_cal, dbg_phy_oclkdelay_cal => dbg_phy_oclkdelay_cal, dbg_oclkdelay_rd_data => dbg_oclkdelay_rd_data, dbg_oclkdelay_calib_start => dbg_oclkdelay_calib_start, dbg_oclkdelay_calib_done => dbg_oclkdelay_calib_done ); end architecture arch_ddr_phy_top;
-- Implementation of Filter H_a3(z) -- using Complex Frequency sampling filer (FSF) as Hilbert transformer -- -- This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License -- as published by the Free Software Foundation; either version 3 of the License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program; -- if not, see <http://www.gnu.org/licenses/>. library ieee; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_signed.all; package analytic_filter_h_a3_pkg is component analytic_filter_h_a3 generic( data_width : integer ); port( clk_i : in std_logic; rst_i : in std_logic; data_i : in std_logic_vector(data_width-1 downto 0); data_str_i : in std_logic; data_i_o : out std_logic_vector(data_width-1 downto 0); data_q_o : out std_logic_vector(data_width-1 downto 0); data_str_o : out std_logic ); end component; end analytic_filter_h_a3_pkg; package body analytic_filter_h_a3_pkg is end analytic_filter_h_a3_pkg; -- Entity Definition library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.fsf_comb_filter_pkg.all; use work.fsf_pole_filter_pkg.all; use work.fsf_pole_filter_coeff_def_pkg.all; use work.complex_fsf_filter_c_90_pkg.all; use work.complex_fsf_filter_inv_c_m30_m150_pkg.all; use work.resize_tools_pkg.all; entity analytic_filter_h_a3 is generic( data_width : integer := 16 ); port( clk_i : in std_logic; rst_i : in std_logic; data_i : in std_logic_vector(data_width-1 downto 0); data_str_i : in std_logic; data_i_o : out std_logic_vector(data_width-1 downto 0); data_q_o : out std_logic_vector(data_width-1 downto 0); data_str_o : out std_logic ); end analytic_filter_h_a3; architecture analytic_filter_h_a3_arch of analytic_filter_h_a3 is --signal y : std_logic_vector (data_width-1 downto 0); --signal x : std_logic_vector (data_width-1 downto 0); signal data_i_res : std_logic_vector (data_width-1 downto 0); signal t1 : std_logic_vector (data_width-1 downto 0); signal t1_res : std_logic_vector (data_width-1 downto 0); signal t2 : std_logic_vector (data_width-1 downto 0); signal t3 : std_logic_vector (data_width-1 downto 0); signal t4 : std_logic_vector (data_width-1 downto 0); signal c1_i : std_logic_vector (data_width-1 downto 0); signal c1_q : std_logic_vector (data_width-1 downto 0); signal c2_i : std_logic_vector (data_width-1 downto 0); signal c2_q : std_logic_vector (data_width-1 downto 0); signal c2_i_res : std_logic_vector (data_width-1 downto 0); signal c2_q_res : std_logic_vector (data_width-1 downto 0); signal c3_i : std_logic_vector (data_width-1 downto 0); signal c3_q : std_logic_vector (data_width-1 downto 0); signal c3_i_res : std_logic_vector (data_width-1 downto 0); signal c3_q_res : std_logic_vector (data_width-1 downto 0); signal c4_i : std_logic_vector (data_width-1 downto 0); signal c4_q : std_logic_vector (data_width-1 downto 0); signal t1_str : std_logic; signal t2_str : std_logic; signal t3_str : std_logic; signal t4_str : std_logic; signal c1_str : std_logic; signal c2_str : std_logic; signal c3_str : std_logic; signal c4_str : std_logic; begin data_i_res <= resize_to_msb_round(std_logic_vector(shift_right(signed(data_i),1)),data_width); comb_stage1 : fsf_comb_filter generic map ( data_width => data_width, comb_delay => 4 ) port map( clk_i => clk_i, rst_i => rst_i, data_i => data_i_res, data_str_i => data_str_i, data_o => t1, data_str_o => t1_str ); t1_res <= resize_to_msb_round(std_logic_vector(shift_right(signed(t1),1)),data_width); comb_stage2 : fsf_comb_filter generic map ( data_width => data_width, comb_delay => 4 ) port map( clk_i => clk_i, rst_i => rst_i, data_i => t1_res, data_str_i => t1_str, data_o => t2, data_str_o => t2_str ); c_0_180_filter1 : fsf_pole_filter generic map ( data_width => data_width, coeff => c_0_180_coeff, no_of_coefficients => 2 ) port map( clk_i => clk_i, rst_i => rst_i, data_i => t2, data_str_i => t2_str, data_o => t3, data_str_o => t3_str ); c_0_180_filter2 : fsf_pole_filter generic map ( data_width => data_width, coeff => c_0_180_coeff, no_of_coefficients => 2 ) port map( clk_i => clk_i, rst_i => rst_i, data_i => t3, data_str_i => t3_str, data_o => t4, data_str_o => t4_str ); complex_fsf_filter_c_90_1 : complex_fsf_filter_c_90 generic map ( data_width => data_width ) port map( clk_i => clk_i, rst_i => rst_i, data_i_i => t4, data_q_i => (others => '0'), data_str_i => t4_str, data_i_o => c1_i, data_q_o => c1_q, data_str_o => c1_str ); complex_fsf_filter_c_90_2 : complex_fsf_filter_c_90 generic map ( data_width => data_width ) port map( clk_i => clk_i, rst_i => rst_i, data_i_i => c1_i, data_q_i => c1_q, data_str_i => c1_str, data_i_o => c2_i, data_q_o => c2_q, data_str_o => c2_str ); c2_i_res <= resize_to_msb_round(std_logic_vector(shift_right(signed(c2_i),1)),data_width); c2_q_res <= resize_to_msb_round(std_logic_vector(shift_right(signed(c2_q),1)),data_width); complex_fsf_filter_inv_c_m30_m150_1 : complex_fsf_filter_inv_c_m30_m150 generic map ( data_width => data_width ) port map( clk_i => clk_i, rst_i => rst_i, data_i_i => c2_i_res, data_q_i => c2_q_res, data_str_i => c2_str, data_i_o => c3_i, data_q_o => c3_q, data_str_o => c3_str ); c3_i_res <= resize_to_msb_round(std_logic_vector(shift_right(signed(c3_i),2)),data_width); c3_q_res <= resize_to_msb_round(std_logic_vector(shift_right(signed(c3_q),2)),data_width); complex_fsf_filter_inv_c_m30_m150_2 : complex_fsf_filter_inv_c_m30_m150 generic map ( data_width => data_width ) port map( clk_i => clk_i, rst_i => rst_i, data_i_i => c3_i_res, data_q_i => c3_q_res, data_str_i => c3_str, data_i_o => c4_i, data_q_o => c4_q, data_str_o => c4_str ); data_i_o <= c4_i; data_q_o <= c4_q; data_str_o <= c4_str; end analytic_filter_h_a3_arch;
------------------------------------------------------------------------------------------------------------------------ -- POWERLINK IP-Core -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-08-23 V0.01 zelenkaj First version -- 2010-09-13 V0.02 zelenkaj added selection Rmii / Mii -- 2010-10-18 V0.03 zelenkaj added selection Big/Little Endian (pdi_par) -- use bidirectional bus (pdi_par) -- 2010-11-23 V0.04 zelenkaj Added 2 GPIO signals to parallel interface -- Added Operational Flag to simple I/O interface -- Omitted T/RPDO descriptor sections in DPR -- Added generic to set duration of valid assertion (portio) -- 2010-11-29 V0.05 zelenkaj Added Big/Little Endian (pdi_spi) -- 2010-12-06 V0.06 zelenkaj Bugfix: ap_irq was not driven in SPI configuration -- 2011-01-10 V0.07 zelenkaj Added 2-stage sync to SPI input pins -- 2011-02-24 V0.08 zelenkaj minor changes (naming conventions Mii->SMI) -- 2011-03-14 V0.09 zelenkaj minor change, added generic for rx packet buffer location -- 2011-03-21 V0.10 zelenkaj clean up -- 2011-03-28 V0.20 zelenkaj Changed: Structure of Control/Status Register -- Added: LED -- Added: Events -- Added/Changed: Asynchronous buffer 2x Ping-Pong -- 2011-04-04 V0.21 zelenkaj parallel interface, sync moved to pdi_par -- minor: led_status is the official name -- 2011-04-26 V0.22 zelenkaj generic for clock domain selection -- 2011-04-28 V0.23 zelenkaj second cmp timer of openMAC is optinal by generic -- generic for second phy port of openMAC -- 2011-05-06 V0.24 zelenkaj some naming convention changes -- bug fix: use the RX_ER signal, it has important meaning! -- 2011-05-09 V0.25 zelenkaj Hardware Acceleration (HW ACC) added. -- 2011-07-23 V0.26 zelenkaj openFILTER enhanced by RxErr signal -- 2011-07-25 V0.27 zelenkaj LED gadget and asynchronous buffer optional -- 2011-08-08 V0.28 zelenkaj LED gadget enhancement -> added 8 general purpose outputs -- 2011-08-02 V1.00 zelenkaj exchanged Avalon interface with entity openMAC_Ethernet -- 2011-09-05 V1.01 zelenkaj SPI PDI missed to connect async irq to toplevel -- 2011-10-20 V1.02 zelenkaj SMI export of in, out and tristate, endian generic -- 2011-11-07 V1.03 zelenkaj dma generic for PLB/AXI support necessary -- 2011-11-21 V1.04 zelenkaj added time synchronization feature -- 2011-11-28 V1.05 zelenkaj added waitrequest signals to pdi pcp/ap -- 2011-11-29 V1.06 zelenkaj event is optional -- 2011-11-30 V1.07 zelenkaj Added generic for DMA observer -- 2011-12-02 V1.08 zelenkaj Added I, O and T instead of IO ports -- 2012-01-09 V1.09 zelenkaj Added ap_syncIrq for external AP -- 2012-01-26 V1.10 zelenkaj Added generic for SMI generation and one SMI ports -- Omit hwacc options, since we are fast enough! ------------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity powerlink is generic( -- GENERAL GENERICS -- endian_g : string := "little"; genOnePdiClkDomain_g : boolean := false; genPdi_g : boolean := true; genInternalAp_g : boolean := true; genSimpleIO_g : boolean := false; genSpiAp_g : boolean := false; -- OPENMAC GENERICS Simulate : boolean := false; iBufSize_g : integer := 1024; iBufSizeLOG2_g : integer := 10; useRmii_g : boolean := true; --use Rmii useIntPacketBuf_g : boolean := true; --internal packet buffer useRxIntPacketBuf_g : boolean := true; --rx buffer located in internal packet buffer use2ndCmpTimer_g : boolean := true; --use second cmp timer (used in PDI) use2ndPhy_g : boolean := true; --use second phy (introduces openHUB) m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; --hold burst value during transfer m_tx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_rx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; genSmiIO : boolean := true; --drive SMI IO if true gNumSmi : integer range 1 to 2 := 2; --number of SMI used -- PDI GENERICS iRpdos_g : integer := 3; iTpdos_g : integer := 1; genABuf1_g : boolean := true; --if false iABuf1_g must be set to 0! genABuf2_g : boolean := true; --if false iABuf2_g must be set to 0! genLedGadget_g : boolean := false; genTimeSync_g : boolean := false; genEvent_g : boolean := false; --PDO buffer size *3 iTpdoBufSize_g : integer := 100; iRpdo0BufSize_g : integer := 100; iRpdo1BufSize_g : integer := 100; iRpdo2BufSize_g : integer := 100; --asynchronous buffer size iAsyBuf1Size_g : integer := 100; iAsyBuf2Size_g : integer := 100; iPdiRev_g : integer := 16#55AA#; -- 8/16bit PARALLEL PDI GENERICS papDataWidth_g : integer := 8; papLowAct_g : boolean := false; papBigEnd_g : boolean := false; -- SPI GENERICS spiCPOL_g : boolean := false; spiCPHA_g : boolean := false; spiBigEnd_g : boolean := false; -- PORTIO pioValLen_g : integer := 50; --clock ticks of pcp_clk -- GENERAL TARGET DEPENDINGS genIoBuf_g : boolean := true --generates IO buffers ); port( -- CLOCK / RESET PORTS clk50 : in std_logic; --RMII clk rst : in std_logic; --general reset clkEth : in std_logic; --Tx Reg clk m_clk : in std_logic; --openMAC DMA master clock pkt_clk : in std_logic; --openMAC packet buffer clock (don't use pcp..) clkPcp : in std_logic; --pcp clk clkAp : in std_logic; --ap clk rstPcp : in std_logic; --rst from pcp side rstAp : in std_logic; --rst ap -- OPENMAC --- OPENMAC PORTS mac_chipselect : in std_logic; mac_read : in std_logic; mac_write : in std_logic; mac_byteenable : in std_logic_vector(1 downto 0); mac_address : in std_logic_vector(11 downto 0); mac_writedata : in std_logic_vector(15 downto 0); mac_readdata : out std_logic_vector(15 downto 0) := (others => '0'); mac_waitrequest : out std_logic; mac_irq : out std_logic := '0'; --- TIMER COMPARE PORTS tcp_chipselect : in std_logic; tcp_read : in std_logic; tcp_write : in std_logic; tcp_byteenable : in std_logic_vector(3 downto 0); tcp_address : in std_logic_vector(1 downto 0); tcp_writedata : in std_logic_vector(31 downto 0); tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); tcp_waitrequest : out std_logic; tcp_irq : out std_logic := '0'; --- MAC BUFFER PORTS mbf_chipselect : in std_logic; mbf_read : in std_logic; mbf_write : in std_logic; mbf_byteenable : in std_logic_vector(3 downto 0); mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0); mbf_writedata : in std_logic_vector(31 downto 0); mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0'); mbf_waitrequest : out std_logic; --- OPENMAC DMA PORTS m_read : OUT STD_LOGIC := '0'; m_write : OUT STD_LOGIC := '0'; m_byteenable : OUT STD_LOGIC_VECTOR(m_data_width_g/8-1 DOWNTO 0) := (others => '0'); m_address : OUT STD_LOGIC_VECTOR(29 DOWNTO 0) := (others => '0'); m_writedata : OUT STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_readdata : IN STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_waitrequest : IN STD_LOGIC; m_readdatavalid : in STD_LOGIC := '0'; m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); -- PDI --- PCP PORTS pcp_chipselect : in std_logic; pcp_read : in std_logic; pcp_write : in std_logic; pcp_byteenable : in std_logic_vector(3 downto 0); pcp_address : in std_logic_vector(12 downto 0); pcp_writedata : in std_logic_vector(31 downto 0); pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); pcp_waitrequest : out std_logic; --- AP PORTS ap_irq : out std_logic := '0'; ap_irq_n : out std_logic := '1'; ap_syncIrq : out std_logic := '0'; ap_syncIrq_n : out std_logic := '1'; ap_asyncIrq : out std_logic := '0'; ap_asyncIrq_n : out std_logic := '1'; ---- AVALON ap_chipselect : in std_logic; ap_read : in std_logic; ap_write : in std_logic; ap_byteenable : in std_logic_vector(3 downto 0); ap_address : in std_logic_vector(12 downto 0); ap_writedata : in std_logic_vector(31 downto 0); ap_readdata : out std_logic_vector(31 downto 0) := (others => '0'); ap_waitrequest : out std_logic; ---- 8/16bit parallel pap_cs : in std_logic; pap_rd : in std_logic; pap_wr : in std_logic; pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_cs_n : in std_logic; pap_rd_n : in std_logic; pap_wr_n : in std_logic; pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0); pap_data_T : out std_logic; pap_ack : out std_logic := '0'; pap_ack_n : out std_logic := '1'; pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); ---- SPI spi_clk : in std_logic; spi_sel_n : in std_logic; spi_mosi : in std_logic; spi_miso : out std_logic := '0'; ---- simple I/O smp_address : in std_logic; smp_read : in std_logic; smp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); smp_write : in std_logic; smp_writedata : in std_logic_vector(31 downto 0); smp_byteenable : in std_logic_vector(3 downto 0); smp_waitrequest : out std_logic; pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0'); pio_portio : inout std_logic_vector(31 downto 0) := (others => '0'); pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0'); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); pio_operational : out std_logic := '0'; -- EXTERNAL --- PHY MANAGEMENT ---- shared (valid if gNumSmi = 1) phy_SMIClk : out std_logic := '0'; phy_SMIDat : inout std_logic := '1'; phy_SMIDat_I : in std_logic := '1'; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; phy_Rst_n : out std_logic := '1'; ---- PHY0 (valid if gNumSmi = 2) phy0_SMIClk : out std_logic := '0'; phy0_SMIDat : inout std_logic := '1'; phy0_SMIDat_I : in std_logic := '1'; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_Rst_n : out std_logic := '1'; phy0_link : in std_logic := '0'; ---- PHY1 (valid if gNumSmi = 2) phy1_SMIClk : out std_logic := '0'; phy1_SMIDat : inout std_logic := '1'; phy1_SMIDat_I : in std_logic := '1'; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_Rst_n : out std_logic := '1'; phy1_link : in std_logic := '0'; --- RMII PORTS phy0_RxDat : in std_logic_vector(1 downto 0); phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy0_TxEn : out std_logic := '0'; phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0'); phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy1_TxEn : out std_logic := '0'; --- MII PORTS phyMii0_RxClk : in std_logic; phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii0_TxEn : out std_logic := '0'; phyMii0_TxEr : out std_logic := '0'; phyMii1_RxClk : in std_logic; phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii1_TxEn : out std_logic := '0'; phyMii1_TxEr : out std_logic := '0'; --- LEDs led_error : out std_logic := '0'; led_status : out std_logic := '0'; led_phyLink : out std_logic_vector(1 downto 0) := (others => '0'); led_phyAct : out std_logic_vector(1 downto 0) := (others => '0'); led_opt : out std_logic_vector(1 downto 0) := (others => '0'); led_gpo : out std_logic_vector(7 downto 0) := (others => '0') ); end powerlink; architecture rtl of powerlink is signal smi_Clk : std_logic := '0'; signal smi_Di : std_logic := '0'; signal smi_Do : std_logic := '0'; signal smi_Doe : std_logic := '0'; signal phy_nResetOut : std_logic := '0'; signal irqToggle : std_logic := '0'; signal ap_chipselect_s : std_logic := '0'; signal ap_read_s : std_logic := '0'; signal ap_write_s : std_logic := '0'; signal ap_byteenable_s : std_logic_vector(ap_byteenable'range) := (others => '0'); signal ap_address_s : std_logic_vector(ap_address'range) := (others => '0'); signal ap_writedata_s : std_logic_vector(ap_writedata'range):= (others => '0'); signal ap_readdata_s : std_logic_vector(ap_readdata'range) := (others => '0'); signal pap_cs_s : std_logic; signal pap_rd_s : std_logic; signal pap_wr_s : std_logic; signal pap_be_s : std_logic_vector(pap_be'range); signal pap_ack_s : std_logic; signal ap_irq_s : std_logic; signal ap_asyncIrq_s : std_logic; signal spi_sel_s : std_logic; signal spi_sel_s1 : std_logic; signal spi_sel_s2 : std_logic; signal spi_clk_s : std_logic; signal spi_clk_s1 : std_logic; signal spi_clk_s2 : std_logic; signal spi_mosi_s : std_logic; signal spi_mosi_s1 : std_logic; signal spi_mosi_s2 : std_logic; signal phyLink, phyAct : std_logic_vector(1 downto 0); signal led_s : std_logic_vector(15 downto 0); signal clkAp_s, rstAp_s : std_logic; --PDI change buffer triggers for hw acc to pdi signal rpdo_change_tog : std_logic_vector(2 downto 0); signal tpdo_change_tog : std_logic; begin --general signals clkAp_s <= clkAp when genOnePdiClkDomain_g = FALSE else clkPcp; rstAp_s <= rstAp when genOnePdiClkDomain_g = FALSE else rstPcp; phyLink <= phy1_link & phy0_link; --LEDs: GPO7, ..., GPO0, O1, O0, PA1, PL1, PA0, PL0, E, S led_error <= led_s(1); led_status <= led_s(0); led_phyLink <= led_s(4) & led_s(2); led_phyAct <= led_s(5) & led_s(3); led_opt <= led_s(7) & led_s(6); led_gpo <= led_s(15 downto 8); ------------------------------------------------------------------------------------------------------------------------ --PCP + AP genPdi : if genPdi_g and genInternalAp_g and not genSpiAp_g generate --sync and async interrupt are driven by only one line -- this gives some effort for Nios II AP ;) ap_irq <= ap_irq_s or ap_asyncIrq_s; theAvalonPdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rstAp_s, ap_clk => clkAp_s, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect, ap_read => ap_read, ap_write => ap_write, ap_byteenable => ap_byteenable, ap_address => ap_address, ap_writedata => ap_writedata, ap_readdata => ap_readdata, ap_waitrequest => ap_waitrequest, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdi; --AP is external connected via parallel interface genPdiPar : if genPdi_g and not genInternalAp_g and not genSpiAp_g generate --only 8 or 16bit data width is allowed ASSERT ( papDataWidth_g = 8 or papDataWidth_g = 16 ) REPORT "External parallel port only allows 8 or 16bit data width!" severity failure; ------------------------------------------------------------------------------------- --convert active low signals to active high - respectively assign active high signals theActiveLowGen : if papLowAct_g generate pap_wr_s <= not pap_wr_n; pap_rd_s <= not pap_rd_n; pap_cs_s <= not pap_cs_n; pap_be_s <= not pap_be_n; end generate; theActiveHighGen : if not papLowAct_g generate pap_wr_s <= pap_wr; pap_rd_s <= pap_rd; pap_cs_s <= pap_cs; pap_be_s <= pap_be; end generate; ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; pap_ack <= pap_ack_s; pap_ack_n <= not pap_ack_s; -- ------------------------------------------------------------------------------------- theParPort : entity work.pdi_par generic map ( papDataWidth_g => papDataWidth_g, papBigEnd_g => papBigEnd_g, papGenIoBuf_g => genIoBuf_g ) port map ( -- 8/16bit parallel pap_cs => pap_cs_s, pap_rd => pap_rd_s, pap_wr => pap_wr_s, pap_be => pap_be_s, pap_addr => pap_addr, pap_data => pap_data, pap_data_I => pap_data_I, pap_data_O => pap_data_O, pap_data_T => pap_data_T, pap_ack => pap_ack_s, pap_gpio => pap_gpio, pap_gpio_I => pap_gpio_I, pap_gpio_O => pap_gpio_O, pap_gpio_T => pap_gpio_T, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiPar; --AP is extern connected via SPI genPdiSpi : if genPdi_g and genSpiAp_g generate ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; spi_clk_s <= spi_clk; spi_sel_s <= not spi_sel_n; spi_mosi_s <= spi_mosi; theSyncProc : process(clk50, rst) begin if rst = '1' then spi_sel_s1 <= '0'; spi_sel_s2 <= '0'; spi_clk_s1 <= '0'; spi_clk_s2 <= '0'; spi_mosi_s1 <= '0'; spi_mosi_s2 <= '0'; elsif clk50 = '1' and clk50'event then spi_sel_s1 <= spi_sel_s; spi_sel_s2 <= spi_sel_s1; spi_clk_s1 <= spi_clk_s; spi_clk_s2 <= spi_clk_s1; spi_mosi_s1 <= spi_mosi_s; spi_mosi_s2 <= spi_mosi_s1; end if; end process; ------------------------------------------------------------------------------------------------------------------------ thePdiSpi : entity work.pdi_spi generic map ( spiSize_g => 8, --fixed value! cpol_g => spiCPOL_g, cpha_g => spiCPHA_g, spiBigEnd_g => spiBigEnd_g ) port map ( -- SPI spi_clk => spi_clk_s2, spi_sel => spi_sel_s2, spi_miso => spi_miso, spi_mosi => spi_mosi_s2, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiSpi; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --SIMPLE I/O CN genSimpleIO : if genSimpleIO_g generate thePortIO : entity work.portio generic map ( pioValLen_g => pioValLen_g, pioGenIoBuf_g => genIoBuf_g ) port map ( s0_address => smp_address, s0_read => smp_read, s0_readdata => smp_readdata, s0_write => smp_write, s0_writedata => smp_writedata, s0_byteenable => smp_byteenable, s0_waitrequest => smp_waitrequest, clk => clkPcp, reset => rstPcp, x_pconfig => pio_pconfig, x_portInLatch => pio_portInLatch, x_portOutValid => pio_portOutValid, x_portio => pio_portio, x_portio_I => pio_portio_I, x_portio_O => pio_portio_O, x_portio_T => pio_portio_T, x_operational => pio_operational ); end generate genSimpleIO; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --OPENMAC (OPENHUB, OPENFILTER, PHY MANAGEMENT) theOpenMac : entity work.openMAC_Ethernet generic map ( endian_g => endian_g, dma_highadr_g => m_address'high, gen2ndCmpTimer_g => use2ndCmpTimer_g, genHub_g => use2ndPhy_g, iPktBufSizeLog2_g => iBufSizeLOG2_g, iPktBufSize_g => iBufSize_g, simulate => false, useIntPktBuf_g => useIntPacketBuf_g, useRmii_g => useRmii_g, useRxIntPktBuf_g => useRxIntPacketBuf_g, m_burstcount_width_g => m_burstcount_width_g, m_burstcount_const_g => m_burstcount_const_g, m_data_width_g => m_data_width_g, m_tx_fifo_size_g => m_tx_fifo_size_g, m_rx_fifo_size_g => m_rx_fifo_size_g, m_tx_burst_size_g => m_tx_burst_size_g, m_rx_burst_size_g => m_rx_burst_size_g, genSmiIO => genSmiIO, gNumSmi => gNumSmi, genPhyActLed_g => genLedGadget_g, gen_dma_observer_g => gen_dma_observer_g ) port map( clk => clk50, clkx2 => clkEth, pkt_clk => pkt_clk, m_clk => m_clk, rst => rst, m_address => m_address, m_burstcount => m_burstcount, m_burstcounter => m_burstcounter, m_byteenable => m_byteenable, m_read => m_read, m_readdata => m_readdata, m_readdatavalid => m_readdatavalid, m_write => m_write, m_writedata => m_writedata, m_waitrequest => m_waitrequest, mac_rx_irq => open, mac_tx_irq => open, act_led => phyAct(0), phy0_rst_n => phy0_Rst_n, phy0_rx_dat => phy0_RxDat, phy0_rx_dv => phy0_RxDv, phy0_rx_err => phy0_RxErr, phy0_smi_clk => phy0_SMICLK, phy0_smi_dio => phy0_SMIDat, phy0_smi_dio_I => phy0_SMIDat_I, phy0_smi_dio_O => phy0_SMIDat_O, phy0_smi_dio_T => phy0_SMIDat_T, phy0_tx_dat => phy0_TxDat, phy0_tx_en => phy0_TxEn, phy1_rst_n => phy1_Rst_n, phy1_rx_dat => phy1_RxDat, phy1_rx_dv => phy1_RxDv, phy1_rx_err => phy1_RxErr, phy1_smi_clk => phy1_SMICLK, phy1_smi_dio => phy1_SMIDat, phy1_smi_dio_I => phy1_SMIDat_I, phy1_smi_dio_O => phy1_SMIDat_O, phy1_smi_dio_T => phy1_SMIDat_T, phy1_tx_dat => phy1_TxDat, phy1_tx_en => phy1_TxEn, phyMii0_rx_clk => phyMii0_RxClk, phyMii0_rx_dat => phyMii0_RxDat, phyMii0_rx_dv => phyMii0_RxDv, phyMii0_rx_err => phyMii0_RxEr, phyMii0_tx_clk => phyMii0_TxClk, phyMii0_tx_dat => phyMii0_TxDat, phyMii0_tx_en => phyMii0_TxEn, phyMii1_rx_clk => phyMii1_RxClk, phyMii1_rx_dat => phyMii1_RxDat, phyMii1_rx_dv => phyMii1_RxDv, phyMii1_rx_err => phyMii1_RxEr, phyMii1_tx_clk => phyMii1_TxClk, phyMii1_tx_dat => phyMii1_TxDat, phyMii1_tx_en => phyMii1_TxEn, phy_rst_n => phy_Rst_n, phy_smi_clk => phy_SMIClk, phy_smi_dio_I => phy_SMIDat_I, phy_smi_dio_O => phy_SMIDat_O, phy_smi_dio_T => phy_SMIDat_T, phy_smi_dio => phy_SMIDat, pkt_address => mbf_address, pkt_byteenable => mbf_byteenable, pkt_chipselect => mbf_chipselect, pkt_read => mbf_read, pkt_readdata => mbf_readdata, pkt_waitrequest => mbf_waitrequest, pkt_write => mbf_write, pkt_writedata => mbf_writedata, s_address => mac_address, s_byteenable => mac_byteenable, s_chipselect => mac_chipselect, s_irq => mac_irq, s_read => mac_read, s_readdata => mac_readdata, s_waitrequest => mac_waitrequest, s_write => mac_write, s_writedata => mac_writedata, t_address => tcp_address, t_byteenable => tcp_byteenable, t_chipselect => tcp_chipselect, t_irq => tcp_irq, t_read => tcp_read, t_readdata => tcp_readdata, t_tog => irqToggle, t_waitrequest => tcp_waitrequest, t_write => tcp_write, t_writedata => tcp_writedata ); phyAct(1) <= phyAct(0); -- ------------------------------------------------------------------------------------------------------------------------ end rtl;
------------------------------------------------------------------------------------------------------------------------ -- POWERLINK IP-Core -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-08-23 V0.01 zelenkaj First version -- 2010-09-13 V0.02 zelenkaj added selection Rmii / Mii -- 2010-10-18 V0.03 zelenkaj added selection Big/Little Endian (pdi_par) -- use bidirectional bus (pdi_par) -- 2010-11-23 V0.04 zelenkaj Added 2 GPIO signals to parallel interface -- Added Operational Flag to simple I/O interface -- Omitted T/RPDO descriptor sections in DPR -- Added generic to set duration of valid assertion (portio) -- 2010-11-29 V0.05 zelenkaj Added Big/Little Endian (pdi_spi) -- 2010-12-06 V0.06 zelenkaj Bugfix: ap_irq was not driven in SPI configuration -- 2011-01-10 V0.07 zelenkaj Added 2-stage sync to SPI input pins -- 2011-02-24 V0.08 zelenkaj minor changes (naming conventions Mii->SMI) -- 2011-03-14 V0.09 zelenkaj minor change, added generic for rx packet buffer location -- 2011-03-21 V0.10 zelenkaj clean up -- 2011-03-28 V0.20 zelenkaj Changed: Structure of Control/Status Register -- Added: LED -- Added: Events -- Added/Changed: Asynchronous buffer 2x Ping-Pong -- 2011-04-04 V0.21 zelenkaj parallel interface, sync moved to pdi_par -- minor: led_status is the official name -- 2011-04-26 V0.22 zelenkaj generic for clock domain selection -- 2011-04-28 V0.23 zelenkaj second cmp timer of openMAC is optinal by generic -- generic for second phy port of openMAC -- 2011-05-06 V0.24 zelenkaj some naming convention changes -- bug fix: use the RX_ER signal, it has important meaning! -- 2011-05-09 V0.25 zelenkaj Hardware Acceleration (HW ACC) added. -- 2011-07-23 V0.26 zelenkaj openFILTER enhanced by RxErr signal -- 2011-07-25 V0.27 zelenkaj LED gadget and asynchronous buffer optional -- 2011-08-08 V0.28 zelenkaj LED gadget enhancement -> added 8 general purpose outputs -- 2011-08-02 V1.00 zelenkaj exchanged Avalon interface with entity openMAC_Ethernet -- 2011-09-05 V1.01 zelenkaj SPI PDI missed to connect async irq to toplevel -- 2011-10-20 V1.02 zelenkaj SMI export of in, out and tristate, endian generic -- 2011-11-07 V1.03 zelenkaj dma generic for PLB/AXI support necessary -- 2011-11-21 V1.04 zelenkaj added time synchronization feature -- 2011-11-28 V1.05 zelenkaj added waitrequest signals to pdi pcp/ap -- 2011-11-29 V1.06 zelenkaj event is optional -- 2011-11-30 V1.07 zelenkaj Added generic for DMA observer -- 2011-12-02 V1.08 zelenkaj Added I, O and T instead of IO ports -- 2012-01-09 V1.09 zelenkaj Added ap_syncIrq for external AP -- 2012-01-26 V1.10 zelenkaj Added generic for SMI generation and one SMI ports -- Omit hwacc options, since we are fast enough! ------------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity powerlink is generic( -- GENERAL GENERICS -- endian_g : string := "little"; genOnePdiClkDomain_g : boolean := false; genPdi_g : boolean := true; genInternalAp_g : boolean := true; genSimpleIO_g : boolean := false; genSpiAp_g : boolean := false; -- OPENMAC GENERICS Simulate : boolean := false; iBufSize_g : integer := 1024; iBufSizeLOG2_g : integer := 10; useRmii_g : boolean := true; --use Rmii useIntPacketBuf_g : boolean := true; --internal packet buffer useRxIntPacketBuf_g : boolean := true; --rx buffer located in internal packet buffer use2ndCmpTimer_g : boolean := true; --use second cmp timer (used in PDI) use2ndPhy_g : boolean := true; --use second phy (introduces openHUB) m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; --hold burst value during transfer m_tx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_rx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; genSmiIO : boolean := true; --drive SMI IO if true gNumSmi : integer range 1 to 2 := 2; --number of SMI used -- PDI GENERICS iRpdos_g : integer := 3; iTpdos_g : integer := 1; genABuf1_g : boolean := true; --if false iABuf1_g must be set to 0! genABuf2_g : boolean := true; --if false iABuf2_g must be set to 0! genLedGadget_g : boolean := false; genTimeSync_g : boolean := false; genEvent_g : boolean := false; --PDO buffer size *3 iTpdoBufSize_g : integer := 100; iRpdo0BufSize_g : integer := 100; iRpdo1BufSize_g : integer := 100; iRpdo2BufSize_g : integer := 100; --asynchronous buffer size iAsyBuf1Size_g : integer := 100; iAsyBuf2Size_g : integer := 100; iPdiRev_g : integer := 16#55AA#; -- 8/16bit PARALLEL PDI GENERICS papDataWidth_g : integer := 8; papLowAct_g : boolean := false; papBigEnd_g : boolean := false; -- SPI GENERICS spiCPOL_g : boolean := false; spiCPHA_g : boolean := false; spiBigEnd_g : boolean := false; -- PORTIO pioValLen_g : integer := 50; --clock ticks of pcp_clk -- GENERAL TARGET DEPENDINGS genIoBuf_g : boolean := true --generates IO buffers ); port( -- CLOCK / RESET PORTS clk50 : in std_logic; --RMII clk rst : in std_logic; --general reset clkEth : in std_logic; --Tx Reg clk m_clk : in std_logic; --openMAC DMA master clock pkt_clk : in std_logic; --openMAC packet buffer clock (don't use pcp..) clkPcp : in std_logic; --pcp clk clkAp : in std_logic; --ap clk rstPcp : in std_logic; --rst from pcp side rstAp : in std_logic; --rst ap -- OPENMAC --- OPENMAC PORTS mac_chipselect : in std_logic; mac_read : in std_logic; mac_write : in std_logic; mac_byteenable : in std_logic_vector(1 downto 0); mac_address : in std_logic_vector(11 downto 0); mac_writedata : in std_logic_vector(15 downto 0); mac_readdata : out std_logic_vector(15 downto 0) := (others => '0'); mac_waitrequest : out std_logic; mac_irq : out std_logic := '0'; --- TIMER COMPARE PORTS tcp_chipselect : in std_logic; tcp_read : in std_logic; tcp_write : in std_logic; tcp_byteenable : in std_logic_vector(3 downto 0); tcp_address : in std_logic_vector(1 downto 0); tcp_writedata : in std_logic_vector(31 downto 0); tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); tcp_waitrequest : out std_logic; tcp_irq : out std_logic := '0'; --- MAC BUFFER PORTS mbf_chipselect : in std_logic; mbf_read : in std_logic; mbf_write : in std_logic; mbf_byteenable : in std_logic_vector(3 downto 0); mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0); mbf_writedata : in std_logic_vector(31 downto 0); mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0'); mbf_waitrequest : out std_logic; --- OPENMAC DMA PORTS m_read : OUT STD_LOGIC := '0'; m_write : OUT STD_LOGIC := '0'; m_byteenable : OUT STD_LOGIC_VECTOR(m_data_width_g/8-1 DOWNTO 0) := (others => '0'); m_address : OUT STD_LOGIC_VECTOR(29 DOWNTO 0) := (others => '0'); m_writedata : OUT STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_readdata : IN STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_waitrequest : IN STD_LOGIC; m_readdatavalid : in STD_LOGIC := '0'; m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); -- PDI --- PCP PORTS pcp_chipselect : in std_logic; pcp_read : in std_logic; pcp_write : in std_logic; pcp_byteenable : in std_logic_vector(3 downto 0); pcp_address : in std_logic_vector(12 downto 0); pcp_writedata : in std_logic_vector(31 downto 0); pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); pcp_waitrequest : out std_logic; --- AP PORTS ap_irq : out std_logic := '0'; ap_irq_n : out std_logic := '1'; ap_syncIrq : out std_logic := '0'; ap_syncIrq_n : out std_logic := '1'; ap_asyncIrq : out std_logic := '0'; ap_asyncIrq_n : out std_logic := '1'; ---- AVALON ap_chipselect : in std_logic; ap_read : in std_logic; ap_write : in std_logic; ap_byteenable : in std_logic_vector(3 downto 0); ap_address : in std_logic_vector(12 downto 0); ap_writedata : in std_logic_vector(31 downto 0); ap_readdata : out std_logic_vector(31 downto 0) := (others => '0'); ap_waitrequest : out std_logic; ---- 8/16bit parallel pap_cs : in std_logic; pap_rd : in std_logic; pap_wr : in std_logic; pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_cs_n : in std_logic; pap_rd_n : in std_logic; pap_wr_n : in std_logic; pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0); pap_data_T : out std_logic; pap_ack : out std_logic := '0'; pap_ack_n : out std_logic := '1'; pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); ---- SPI spi_clk : in std_logic; spi_sel_n : in std_logic; spi_mosi : in std_logic; spi_miso : out std_logic := '0'; ---- simple I/O smp_address : in std_logic; smp_read : in std_logic; smp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); smp_write : in std_logic; smp_writedata : in std_logic_vector(31 downto 0); smp_byteenable : in std_logic_vector(3 downto 0); smp_waitrequest : out std_logic; pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0'); pio_portio : inout std_logic_vector(31 downto 0) := (others => '0'); pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0'); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); pio_operational : out std_logic := '0'; -- EXTERNAL --- PHY MANAGEMENT ---- shared (valid if gNumSmi = 1) phy_SMIClk : out std_logic := '0'; phy_SMIDat : inout std_logic := '1'; phy_SMIDat_I : in std_logic := '1'; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; phy_Rst_n : out std_logic := '1'; ---- PHY0 (valid if gNumSmi = 2) phy0_SMIClk : out std_logic := '0'; phy0_SMIDat : inout std_logic := '1'; phy0_SMIDat_I : in std_logic := '1'; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_Rst_n : out std_logic := '1'; phy0_link : in std_logic := '0'; ---- PHY1 (valid if gNumSmi = 2) phy1_SMIClk : out std_logic := '0'; phy1_SMIDat : inout std_logic := '1'; phy1_SMIDat_I : in std_logic := '1'; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_Rst_n : out std_logic := '1'; phy1_link : in std_logic := '0'; --- RMII PORTS phy0_RxDat : in std_logic_vector(1 downto 0); phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy0_TxEn : out std_logic := '0'; phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0'); phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy1_TxEn : out std_logic := '0'; --- MII PORTS phyMii0_RxClk : in std_logic; phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii0_TxEn : out std_logic := '0'; phyMii0_TxEr : out std_logic := '0'; phyMii1_RxClk : in std_logic; phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii1_TxEn : out std_logic := '0'; phyMii1_TxEr : out std_logic := '0'; --- LEDs led_error : out std_logic := '0'; led_status : out std_logic := '0'; led_phyLink : out std_logic_vector(1 downto 0) := (others => '0'); led_phyAct : out std_logic_vector(1 downto 0) := (others => '0'); led_opt : out std_logic_vector(1 downto 0) := (others => '0'); led_gpo : out std_logic_vector(7 downto 0) := (others => '0') ); end powerlink; architecture rtl of powerlink is signal smi_Clk : std_logic := '0'; signal smi_Di : std_logic := '0'; signal smi_Do : std_logic := '0'; signal smi_Doe : std_logic := '0'; signal phy_nResetOut : std_logic := '0'; signal irqToggle : std_logic := '0'; signal ap_chipselect_s : std_logic := '0'; signal ap_read_s : std_logic := '0'; signal ap_write_s : std_logic := '0'; signal ap_byteenable_s : std_logic_vector(ap_byteenable'range) := (others => '0'); signal ap_address_s : std_logic_vector(ap_address'range) := (others => '0'); signal ap_writedata_s : std_logic_vector(ap_writedata'range):= (others => '0'); signal ap_readdata_s : std_logic_vector(ap_readdata'range) := (others => '0'); signal pap_cs_s : std_logic; signal pap_rd_s : std_logic; signal pap_wr_s : std_logic; signal pap_be_s : std_logic_vector(pap_be'range); signal pap_ack_s : std_logic; signal ap_irq_s : std_logic; signal ap_asyncIrq_s : std_logic; signal spi_sel_s : std_logic; signal spi_sel_s1 : std_logic; signal spi_sel_s2 : std_logic; signal spi_clk_s : std_logic; signal spi_clk_s1 : std_logic; signal spi_clk_s2 : std_logic; signal spi_mosi_s : std_logic; signal spi_mosi_s1 : std_logic; signal spi_mosi_s2 : std_logic; signal phyLink, phyAct : std_logic_vector(1 downto 0); signal led_s : std_logic_vector(15 downto 0); signal clkAp_s, rstAp_s : std_logic; --PDI change buffer triggers for hw acc to pdi signal rpdo_change_tog : std_logic_vector(2 downto 0); signal tpdo_change_tog : std_logic; begin --general signals clkAp_s <= clkAp when genOnePdiClkDomain_g = FALSE else clkPcp; rstAp_s <= rstAp when genOnePdiClkDomain_g = FALSE else rstPcp; phyLink <= phy1_link & phy0_link; --LEDs: GPO7, ..., GPO0, O1, O0, PA1, PL1, PA0, PL0, E, S led_error <= led_s(1); led_status <= led_s(0); led_phyLink <= led_s(4) & led_s(2); led_phyAct <= led_s(5) & led_s(3); led_opt <= led_s(7) & led_s(6); led_gpo <= led_s(15 downto 8); ------------------------------------------------------------------------------------------------------------------------ --PCP + AP genPdi : if genPdi_g and genInternalAp_g and not genSpiAp_g generate --sync and async interrupt are driven by only one line -- this gives some effort for Nios II AP ;) ap_irq <= ap_irq_s or ap_asyncIrq_s; theAvalonPdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rstAp_s, ap_clk => clkAp_s, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect, ap_read => ap_read, ap_write => ap_write, ap_byteenable => ap_byteenable, ap_address => ap_address, ap_writedata => ap_writedata, ap_readdata => ap_readdata, ap_waitrequest => ap_waitrequest, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdi; --AP is external connected via parallel interface genPdiPar : if genPdi_g and not genInternalAp_g and not genSpiAp_g generate --only 8 or 16bit data width is allowed ASSERT ( papDataWidth_g = 8 or papDataWidth_g = 16 ) REPORT "External parallel port only allows 8 or 16bit data width!" severity failure; ------------------------------------------------------------------------------------- --convert active low signals to active high - respectively assign active high signals theActiveLowGen : if papLowAct_g generate pap_wr_s <= not pap_wr_n; pap_rd_s <= not pap_rd_n; pap_cs_s <= not pap_cs_n; pap_be_s <= not pap_be_n; end generate; theActiveHighGen : if not papLowAct_g generate pap_wr_s <= pap_wr; pap_rd_s <= pap_rd; pap_cs_s <= pap_cs; pap_be_s <= pap_be; end generate; ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; pap_ack <= pap_ack_s; pap_ack_n <= not pap_ack_s; -- ------------------------------------------------------------------------------------- theParPort : entity work.pdi_par generic map ( papDataWidth_g => papDataWidth_g, papBigEnd_g => papBigEnd_g, papGenIoBuf_g => genIoBuf_g ) port map ( -- 8/16bit parallel pap_cs => pap_cs_s, pap_rd => pap_rd_s, pap_wr => pap_wr_s, pap_be => pap_be_s, pap_addr => pap_addr, pap_data => pap_data, pap_data_I => pap_data_I, pap_data_O => pap_data_O, pap_data_T => pap_data_T, pap_ack => pap_ack_s, pap_gpio => pap_gpio, pap_gpio_I => pap_gpio_I, pap_gpio_O => pap_gpio_O, pap_gpio_T => pap_gpio_T, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiPar; --AP is extern connected via SPI genPdiSpi : if genPdi_g and genSpiAp_g generate ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; spi_clk_s <= spi_clk; spi_sel_s <= not spi_sel_n; spi_mosi_s <= spi_mosi; theSyncProc : process(clk50, rst) begin if rst = '1' then spi_sel_s1 <= '0'; spi_sel_s2 <= '0'; spi_clk_s1 <= '0'; spi_clk_s2 <= '0'; spi_mosi_s1 <= '0'; spi_mosi_s2 <= '0'; elsif clk50 = '1' and clk50'event then spi_sel_s1 <= spi_sel_s; spi_sel_s2 <= spi_sel_s1; spi_clk_s1 <= spi_clk_s; spi_clk_s2 <= spi_clk_s1; spi_mosi_s1 <= spi_mosi_s; spi_mosi_s2 <= spi_mosi_s1; end if; end process; ------------------------------------------------------------------------------------------------------------------------ thePdiSpi : entity work.pdi_spi generic map ( spiSize_g => 8, --fixed value! cpol_g => spiCPOL_g, cpha_g => spiCPHA_g, spiBigEnd_g => spiBigEnd_g ) port map ( -- SPI spi_clk => spi_clk_s2, spi_sel => spi_sel_s2, spi_miso => spi_miso, spi_mosi => spi_mosi_s2, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiSpi; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --SIMPLE I/O CN genSimpleIO : if genSimpleIO_g generate thePortIO : entity work.portio generic map ( pioValLen_g => pioValLen_g, pioGenIoBuf_g => genIoBuf_g ) port map ( s0_address => smp_address, s0_read => smp_read, s0_readdata => smp_readdata, s0_write => smp_write, s0_writedata => smp_writedata, s0_byteenable => smp_byteenable, s0_waitrequest => smp_waitrequest, clk => clkPcp, reset => rstPcp, x_pconfig => pio_pconfig, x_portInLatch => pio_portInLatch, x_portOutValid => pio_portOutValid, x_portio => pio_portio, x_portio_I => pio_portio_I, x_portio_O => pio_portio_O, x_portio_T => pio_portio_T, x_operational => pio_operational ); end generate genSimpleIO; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --OPENMAC (OPENHUB, OPENFILTER, PHY MANAGEMENT) theOpenMac : entity work.openMAC_Ethernet generic map ( endian_g => endian_g, dma_highadr_g => m_address'high, gen2ndCmpTimer_g => use2ndCmpTimer_g, genHub_g => use2ndPhy_g, iPktBufSizeLog2_g => iBufSizeLOG2_g, iPktBufSize_g => iBufSize_g, simulate => false, useIntPktBuf_g => useIntPacketBuf_g, useRmii_g => useRmii_g, useRxIntPktBuf_g => useRxIntPacketBuf_g, m_burstcount_width_g => m_burstcount_width_g, m_burstcount_const_g => m_burstcount_const_g, m_data_width_g => m_data_width_g, m_tx_fifo_size_g => m_tx_fifo_size_g, m_rx_fifo_size_g => m_rx_fifo_size_g, m_tx_burst_size_g => m_tx_burst_size_g, m_rx_burst_size_g => m_rx_burst_size_g, genSmiIO => genSmiIO, gNumSmi => gNumSmi, genPhyActLed_g => genLedGadget_g, gen_dma_observer_g => gen_dma_observer_g ) port map( clk => clk50, clkx2 => clkEth, pkt_clk => pkt_clk, m_clk => m_clk, rst => rst, m_address => m_address, m_burstcount => m_burstcount, m_burstcounter => m_burstcounter, m_byteenable => m_byteenable, m_read => m_read, m_readdata => m_readdata, m_readdatavalid => m_readdatavalid, m_write => m_write, m_writedata => m_writedata, m_waitrequest => m_waitrequest, mac_rx_irq => open, mac_tx_irq => open, act_led => phyAct(0), phy0_rst_n => phy0_Rst_n, phy0_rx_dat => phy0_RxDat, phy0_rx_dv => phy0_RxDv, phy0_rx_err => phy0_RxErr, phy0_smi_clk => phy0_SMICLK, phy0_smi_dio => phy0_SMIDat, phy0_smi_dio_I => phy0_SMIDat_I, phy0_smi_dio_O => phy0_SMIDat_O, phy0_smi_dio_T => phy0_SMIDat_T, phy0_tx_dat => phy0_TxDat, phy0_tx_en => phy0_TxEn, phy1_rst_n => phy1_Rst_n, phy1_rx_dat => phy1_RxDat, phy1_rx_dv => phy1_RxDv, phy1_rx_err => phy1_RxErr, phy1_smi_clk => phy1_SMICLK, phy1_smi_dio => phy1_SMIDat, phy1_smi_dio_I => phy1_SMIDat_I, phy1_smi_dio_O => phy1_SMIDat_O, phy1_smi_dio_T => phy1_SMIDat_T, phy1_tx_dat => phy1_TxDat, phy1_tx_en => phy1_TxEn, phyMii0_rx_clk => phyMii0_RxClk, phyMii0_rx_dat => phyMii0_RxDat, phyMii0_rx_dv => phyMii0_RxDv, phyMii0_rx_err => phyMii0_RxEr, phyMii0_tx_clk => phyMii0_TxClk, phyMii0_tx_dat => phyMii0_TxDat, phyMii0_tx_en => phyMii0_TxEn, phyMii1_rx_clk => phyMii1_RxClk, phyMii1_rx_dat => phyMii1_RxDat, phyMii1_rx_dv => phyMii1_RxDv, phyMii1_rx_err => phyMii1_RxEr, phyMii1_tx_clk => phyMii1_TxClk, phyMii1_tx_dat => phyMii1_TxDat, phyMii1_tx_en => phyMii1_TxEn, phy_rst_n => phy_Rst_n, phy_smi_clk => phy_SMIClk, phy_smi_dio_I => phy_SMIDat_I, phy_smi_dio_O => phy_SMIDat_O, phy_smi_dio_T => phy_SMIDat_T, phy_smi_dio => phy_SMIDat, pkt_address => mbf_address, pkt_byteenable => mbf_byteenable, pkt_chipselect => mbf_chipselect, pkt_read => mbf_read, pkt_readdata => mbf_readdata, pkt_waitrequest => mbf_waitrequest, pkt_write => mbf_write, pkt_writedata => mbf_writedata, s_address => mac_address, s_byteenable => mac_byteenable, s_chipselect => mac_chipselect, s_irq => mac_irq, s_read => mac_read, s_readdata => mac_readdata, s_waitrequest => mac_waitrequest, s_write => mac_write, s_writedata => mac_writedata, t_address => tcp_address, t_byteenable => tcp_byteenable, t_chipselect => tcp_chipselect, t_irq => tcp_irq, t_read => tcp_read, t_readdata => tcp_readdata, t_tog => irqToggle, t_waitrequest => tcp_waitrequest, t_write => tcp_write, t_writedata => tcp_writedata ); phyAct(1) <= phyAct(0); -- ------------------------------------------------------------------------------------------------------------------------ end rtl;
------------------------------------------------------------------------------------------------------------------------ -- POWERLINK IP-Core -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-08-23 V0.01 zelenkaj First version -- 2010-09-13 V0.02 zelenkaj added selection Rmii / Mii -- 2010-10-18 V0.03 zelenkaj added selection Big/Little Endian (pdi_par) -- use bidirectional bus (pdi_par) -- 2010-11-23 V0.04 zelenkaj Added 2 GPIO signals to parallel interface -- Added Operational Flag to simple I/O interface -- Omitted T/RPDO descriptor sections in DPR -- Added generic to set duration of valid assertion (portio) -- 2010-11-29 V0.05 zelenkaj Added Big/Little Endian (pdi_spi) -- 2010-12-06 V0.06 zelenkaj Bugfix: ap_irq was not driven in SPI configuration -- 2011-01-10 V0.07 zelenkaj Added 2-stage sync to SPI input pins -- 2011-02-24 V0.08 zelenkaj minor changes (naming conventions Mii->SMI) -- 2011-03-14 V0.09 zelenkaj minor change, added generic for rx packet buffer location -- 2011-03-21 V0.10 zelenkaj clean up -- 2011-03-28 V0.20 zelenkaj Changed: Structure of Control/Status Register -- Added: LED -- Added: Events -- Added/Changed: Asynchronous buffer 2x Ping-Pong -- 2011-04-04 V0.21 zelenkaj parallel interface, sync moved to pdi_par -- minor: led_status is the official name -- 2011-04-26 V0.22 zelenkaj generic for clock domain selection -- 2011-04-28 V0.23 zelenkaj second cmp timer of openMAC is optinal by generic -- generic for second phy port of openMAC -- 2011-05-06 V0.24 zelenkaj some naming convention changes -- bug fix: use the RX_ER signal, it has important meaning! -- 2011-05-09 V0.25 zelenkaj Hardware Acceleration (HW ACC) added. -- 2011-07-23 V0.26 zelenkaj openFILTER enhanced by RxErr signal -- 2011-07-25 V0.27 zelenkaj LED gadget and asynchronous buffer optional -- 2011-08-08 V0.28 zelenkaj LED gadget enhancement -> added 8 general purpose outputs -- 2011-08-02 V1.00 zelenkaj exchanged Avalon interface with entity openMAC_Ethernet -- 2011-09-05 V1.01 zelenkaj SPI PDI missed to connect async irq to toplevel -- 2011-10-20 V1.02 zelenkaj SMI export of in, out and tristate, endian generic -- 2011-11-07 V1.03 zelenkaj dma generic for PLB/AXI support necessary -- 2011-11-21 V1.04 zelenkaj added time synchronization feature -- 2011-11-28 V1.05 zelenkaj added waitrequest signals to pdi pcp/ap -- 2011-11-29 V1.06 zelenkaj event is optional -- 2011-11-30 V1.07 zelenkaj Added generic for DMA observer -- 2011-12-02 V1.08 zelenkaj Added I, O and T instead of IO ports -- 2012-01-09 V1.09 zelenkaj Added ap_syncIrq for external AP -- 2012-01-26 V1.10 zelenkaj Added generic for SMI generation and one SMI ports -- Omit hwacc options, since we are fast enough! ------------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity powerlink is generic( -- GENERAL GENERICS -- endian_g : string := "little"; genOnePdiClkDomain_g : boolean := false; genPdi_g : boolean := true; genInternalAp_g : boolean := true; genSimpleIO_g : boolean := false; genSpiAp_g : boolean := false; -- OPENMAC GENERICS Simulate : boolean := false; iBufSize_g : integer := 1024; iBufSizeLOG2_g : integer := 10; useRmii_g : boolean := true; --use Rmii useIntPacketBuf_g : boolean := true; --internal packet buffer useRxIntPacketBuf_g : boolean := true; --rx buffer located in internal packet buffer use2ndCmpTimer_g : boolean := true; --use second cmp timer (used in PDI) use2ndPhy_g : boolean := true; --use second phy (introduces openHUB) m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; --hold burst value during transfer m_tx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_rx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; genSmiIO : boolean := true; --drive SMI IO if true gNumSmi : integer range 1 to 2 := 2; --number of SMI used -- PDI GENERICS iRpdos_g : integer := 3; iTpdos_g : integer := 1; genABuf1_g : boolean := true; --if false iABuf1_g must be set to 0! genABuf2_g : boolean := true; --if false iABuf2_g must be set to 0! genLedGadget_g : boolean := false; genTimeSync_g : boolean := false; genEvent_g : boolean := false; --PDO buffer size *3 iTpdoBufSize_g : integer := 100; iRpdo0BufSize_g : integer := 100; iRpdo1BufSize_g : integer := 100; iRpdo2BufSize_g : integer := 100; --asynchronous buffer size iAsyBuf1Size_g : integer := 100; iAsyBuf2Size_g : integer := 100; iPdiRev_g : integer := 16#55AA#; -- 8/16bit PARALLEL PDI GENERICS papDataWidth_g : integer := 8; papLowAct_g : boolean := false; papBigEnd_g : boolean := false; -- SPI GENERICS spiCPOL_g : boolean := false; spiCPHA_g : boolean := false; spiBigEnd_g : boolean := false; -- PORTIO pioValLen_g : integer := 50; --clock ticks of pcp_clk -- GENERAL TARGET DEPENDINGS genIoBuf_g : boolean := true --generates IO buffers ); port( -- CLOCK / RESET PORTS clk50 : in std_logic; --RMII clk rst : in std_logic; --general reset clkEth : in std_logic; --Tx Reg clk m_clk : in std_logic; --openMAC DMA master clock pkt_clk : in std_logic; --openMAC packet buffer clock (don't use pcp..) clkPcp : in std_logic; --pcp clk clkAp : in std_logic; --ap clk rstPcp : in std_logic; --rst from pcp side rstAp : in std_logic; --rst ap -- OPENMAC --- OPENMAC PORTS mac_chipselect : in std_logic; mac_read : in std_logic; mac_write : in std_logic; mac_byteenable : in std_logic_vector(1 downto 0); mac_address : in std_logic_vector(11 downto 0); mac_writedata : in std_logic_vector(15 downto 0); mac_readdata : out std_logic_vector(15 downto 0) := (others => '0'); mac_waitrequest : out std_logic; mac_irq : out std_logic := '0'; --- TIMER COMPARE PORTS tcp_chipselect : in std_logic; tcp_read : in std_logic; tcp_write : in std_logic; tcp_byteenable : in std_logic_vector(3 downto 0); tcp_address : in std_logic_vector(1 downto 0); tcp_writedata : in std_logic_vector(31 downto 0); tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); tcp_waitrequest : out std_logic; tcp_irq : out std_logic := '0'; --- MAC BUFFER PORTS mbf_chipselect : in std_logic; mbf_read : in std_logic; mbf_write : in std_logic; mbf_byteenable : in std_logic_vector(3 downto 0); mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0); mbf_writedata : in std_logic_vector(31 downto 0); mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0'); mbf_waitrequest : out std_logic; --- OPENMAC DMA PORTS m_read : OUT STD_LOGIC := '0'; m_write : OUT STD_LOGIC := '0'; m_byteenable : OUT STD_LOGIC_VECTOR(m_data_width_g/8-1 DOWNTO 0) := (others => '0'); m_address : OUT STD_LOGIC_VECTOR(29 DOWNTO 0) := (others => '0'); m_writedata : OUT STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_readdata : IN STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_waitrequest : IN STD_LOGIC; m_readdatavalid : in STD_LOGIC := '0'; m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); -- PDI --- PCP PORTS pcp_chipselect : in std_logic; pcp_read : in std_logic; pcp_write : in std_logic; pcp_byteenable : in std_logic_vector(3 downto 0); pcp_address : in std_logic_vector(12 downto 0); pcp_writedata : in std_logic_vector(31 downto 0); pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); pcp_waitrequest : out std_logic; --- AP PORTS ap_irq : out std_logic := '0'; ap_irq_n : out std_logic := '1'; ap_syncIrq : out std_logic := '0'; ap_syncIrq_n : out std_logic := '1'; ap_asyncIrq : out std_logic := '0'; ap_asyncIrq_n : out std_logic := '1'; ---- AVALON ap_chipselect : in std_logic; ap_read : in std_logic; ap_write : in std_logic; ap_byteenable : in std_logic_vector(3 downto 0); ap_address : in std_logic_vector(12 downto 0); ap_writedata : in std_logic_vector(31 downto 0); ap_readdata : out std_logic_vector(31 downto 0) := (others => '0'); ap_waitrequest : out std_logic; ---- 8/16bit parallel pap_cs : in std_logic; pap_rd : in std_logic; pap_wr : in std_logic; pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_cs_n : in std_logic; pap_rd_n : in std_logic; pap_wr_n : in std_logic; pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0); pap_data_T : out std_logic; pap_ack : out std_logic := '0'; pap_ack_n : out std_logic := '1'; pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); ---- SPI spi_clk : in std_logic; spi_sel_n : in std_logic; spi_mosi : in std_logic; spi_miso : out std_logic := '0'; ---- simple I/O smp_address : in std_logic; smp_read : in std_logic; smp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); smp_write : in std_logic; smp_writedata : in std_logic_vector(31 downto 0); smp_byteenable : in std_logic_vector(3 downto 0); smp_waitrequest : out std_logic; pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0'); pio_portio : inout std_logic_vector(31 downto 0) := (others => '0'); pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0'); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); pio_operational : out std_logic := '0'; -- EXTERNAL --- PHY MANAGEMENT ---- shared (valid if gNumSmi = 1) phy_SMIClk : out std_logic := '0'; phy_SMIDat : inout std_logic := '1'; phy_SMIDat_I : in std_logic := '1'; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; phy_Rst_n : out std_logic := '1'; ---- PHY0 (valid if gNumSmi = 2) phy0_SMIClk : out std_logic := '0'; phy0_SMIDat : inout std_logic := '1'; phy0_SMIDat_I : in std_logic := '1'; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_Rst_n : out std_logic := '1'; phy0_link : in std_logic := '0'; ---- PHY1 (valid if gNumSmi = 2) phy1_SMIClk : out std_logic := '0'; phy1_SMIDat : inout std_logic := '1'; phy1_SMIDat_I : in std_logic := '1'; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_Rst_n : out std_logic := '1'; phy1_link : in std_logic := '0'; --- RMII PORTS phy0_RxDat : in std_logic_vector(1 downto 0); phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy0_TxEn : out std_logic := '0'; phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0'); phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy1_TxEn : out std_logic := '0'; --- MII PORTS phyMii0_RxClk : in std_logic; phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii0_TxEn : out std_logic := '0'; phyMii0_TxEr : out std_logic := '0'; phyMii1_RxClk : in std_logic; phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii1_TxEn : out std_logic := '0'; phyMii1_TxEr : out std_logic := '0'; --- LEDs led_error : out std_logic := '0'; led_status : out std_logic := '0'; led_phyLink : out std_logic_vector(1 downto 0) := (others => '0'); led_phyAct : out std_logic_vector(1 downto 0) := (others => '0'); led_opt : out std_logic_vector(1 downto 0) := (others => '0'); led_gpo : out std_logic_vector(7 downto 0) := (others => '0') ); end powerlink; architecture rtl of powerlink is signal smi_Clk : std_logic := '0'; signal smi_Di : std_logic := '0'; signal smi_Do : std_logic := '0'; signal smi_Doe : std_logic := '0'; signal phy_nResetOut : std_logic := '0'; signal irqToggle : std_logic := '0'; signal ap_chipselect_s : std_logic := '0'; signal ap_read_s : std_logic := '0'; signal ap_write_s : std_logic := '0'; signal ap_byteenable_s : std_logic_vector(ap_byteenable'range) := (others => '0'); signal ap_address_s : std_logic_vector(ap_address'range) := (others => '0'); signal ap_writedata_s : std_logic_vector(ap_writedata'range):= (others => '0'); signal ap_readdata_s : std_logic_vector(ap_readdata'range) := (others => '0'); signal pap_cs_s : std_logic; signal pap_rd_s : std_logic; signal pap_wr_s : std_logic; signal pap_be_s : std_logic_vector(pap_be'range); signal pap_ack_s : std_logic; signal ap_irq_s : std_logic; signal ap_asyncIrq_s : std_logic; signal spi_sel_s : std_logic; signal spi_sel_s1 : std_logic; signal spi_sel_s2 : std_logic; signal spi_clk_s : std_logic; signal spi_clk_s1 : std_logic; signal spi_clk_s2 : std_logic; signal spi_mosi_s : std_logic; signal spi_mosi_s1 : std_logic; signal spi_mosi_s2 : std_logic; signal phyLink, phyAct : std_logic_vector(1 downto 0); signal led_s : std_logic_vector(15 downto 0); signal clkAp_s, rstAp_s : std_logic; --PDI change buffer triggers for hw acc to pdi signal rpdo_change_tog : std_logic_vector(2 downto 0); signal tpdo_change_tog : std_logic; begin --general signals clkAp_s <= clkAp when genOnePdiClkDomain_g = FALSE else clkPcp; rstAp_s <= rstAp when genOnePdiClkDomain_g = FALSE else rstPcp; phyLink <= phy1_link & phy0_link; --LEDs: GPO7, ..., GPO0, O1, O0, PA1, PL1, PA0, PL0, E, S led_error <= led_s(1); led_status <= led_s(0); led_phyLink <= led_s(4) & led_s(2); led_phyAct <= led_s(5) & led_s(3); led_opt <= led_s(7) & led_s(6); led_gpo <= led_s(15 downto 8); ------------------------------------------------------------------------------------------------------------------------ --PCP + AP genPdi : if genPdi_g and genInternalAp_g and not genSpiAp_g generate --sync and async interrupt are driven by only one line -- this gives some effort for Nios II AP ;) ap_irq <= ap_irq_s or ap_asyncIrq_s; theAvalonPdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rstAp_s, ap_clk => clkAp_s, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect, ap_read => ap_read, ap_write => ap_write, ap_byteenable => ap_byteenable, ap_address => ap_address, ap_writedata => ap_writedata, ap_readdata => ap_readdata, ap_waitrequest => ap_waitrequest, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdi; --AP is external connected via parallel interface genPdiPar : if genPdi_g and not genInternalAp_g and not genSpiAp_g generate --only 8 or 16bit data width is allowed ASSERT ( papDataWidth_g = 8 or papDataWidth_g = 16 ) REPORT "External parallel port only allows 8 or 16bit data width!" severity failure; ------------------------------------------------------------------------------------- --convert active low signals to active high - respectively assign active high signals theActiveLowGen : if papLowAct_g generate pap_wr_s <= not pap_wr_n; pap_rd_s <= not pap_rd_n; pap_cs_s <= not pap_cs_n; pap_be_s <= not pap_be_n; end generate; theActiveHighGen : if not papLowAct_g generate pap_wr_s <= pap_wr; pap_rd_s <= pap_rd; pap_cs_s <= pap_cs; pap_be_s <= pap_be; end generate; ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; pap_ack <= pap_ack_s; pap_ack_n <= not pap_ack_s; -- ------------------------------------------------------------------------------------- theParPort : entity work.pdi_par generic map ( papDataWidth_g => papDataWidth_g, papBigEnd_g => papBigEnd_g, papGenIoBuf_g => genIoBuf_g ) port map ( -- 8/16bit parallel pap_cs => pap_cs_s, pap_rd => pap_rd_s, pap_wr => pap_wr_s, pap_be => pap_be_s, pap_addr => pap_addr, pap_data => pap_data, pap_data_I => pap_data_I, pap_data_O => pap_data_O, pap_data_T => pap_data_T, pap_ack => pap_ack_s, pap_gpio => pap_gpio, pap_gpio_I => pap_gpio_I, pap_gpio_O => pap_gpio_O, pap_gpio_T => pap_gpio_T, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiPar; --AP is extern connected via SPI genPdiSpi : if genPdi_g and genSpiAp_g generate ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; spi_clk_s <= spi_clk; spi_sel_s <= not spi_sel_n; spi_mosi_s <= spi_mosi; theSyncProc : process(clk50, rst) begin if rst = '1' then spi_sel_s1 <= '0'; spi_sel_s2 <= '0'; spi_clk_s1 <= '0'; spi_clk_s2 <= '0'; spi_mosi_s1 <= '0'; spi_mosi_s2 <= '0'; elsif clk50 = '1' and clk50'event then spi_sel_s1 <= spi_sel_s; spi_sel_s2 <= spi_sel_s1; spi_clk_s1 <= spi_clk_s; spi_clk_s2 <= spi_clk_s1; spi_mosi_s1 <= spi_mosi_s; spi_mosi_s2 <= spi_mosi_s1; end if; end process; ------------------------------------------------------------------------------------------------------------------------ thePdiSpi : entity work.pdi_spi generic map ( spiSize_g => 8, --fixed value! cpol_g => spiCPOL_g, cpha_g => spiCPHA_g, spiBigEnd_g => spiBigEnd_g ) port map ( -- SPI spi_clk => spi_clk_s2, spi_sel => spi_sel_s2, spi_miso => spi_miso, spi_mosi => spi_mosi_s2, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiSpi; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --SIMPLE I/O CN genSimpleIO : if genSimpleIO_g generate thePortIO : entity work.portio generic map ( pioValLen_g => pioValLen_g, pioGenIoBuf_g => genIoBuf_g ) port map ( s0_address => smp_address, s0_read => smp_read, s0_readdata => smp_readdata, s0_write => smp_write, s0_writedata => smp_writedata, s0_byteenable => smp_byteenable, s0_waitrequest => smp_waitrequest, clk => clkPcp, reset => rstPcp, x_pconfig => pio_pconfig, x_portInLatch => pio_portInLatch, x_portOutValid => pio_portOutValid, x_portio => pio_portio, x_portio_I => pio_portio_I, x_portio_O => pio_portio_O, x_portio_T => pio_portio_T, x_operational => pio_operational ); end generate genSimpleIO; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --OPENMAC (OPENHUB, OPENFILTER, PHY MANAGEMENT) theOpenMac : entity work.openMAC_Ethernet generic map ( endian_g => endian_g, dma_highadr_g => m_address'high, gen2ndCmpTimer_g => use2ndCmpTimer_g, genHub_g => use2ndPhy_g, iPktBufSizeLog2_g => iBufSizeLOG2_g, iPktBufSize_g => iBufSize_g, simulate => false, useIntPktBuf_g => useIntPacketBuf_g, useRmii_g => useRmii_g, useRxIntPktBuf_g => useRxIntPacketBuf_g, m_burstcount_width_g => m_burstcount_width_g, m_burstcount_const_g => m_burstcount_const_g, m_data_width_g => m_data_width_g, m_tx_fifo_size_g => m_tx_fifo_size_g, m_rx_fifo_size_g => m_rx_fifo_size_g, m_tx_burst_size_g => m_tx_burst_size_g, m_rx_burst_size_g => m_rx_burst_size_g, genSmiIO => genSmiIO, gNumSmi => gNumSmi, genPhyActLed_g => genLedGadget_g, gen_dma_observer_g => gen_dma_observer_g ) port map( clk => clk50, clkx2 => clkEth, pkt_clk => pkt_clk, m_clk => m_clk, rst => rst, m_address => m_address, m_burstcount => m_burstcount, m_burstcounter => m_burstcounter, m_byteenable => m_byteenable, m_read => m_read, m_readdata => m_readdata, m_readdatavalid => m_readdatavalid, m_write => m_write, m_writedata => m_writedata, m_waitrequest => m_waitrequest, mac_rx_irq => open, mac_tx_irq => open, act_led => phyAct(0), phy0_rst_n => phy0_Rst_n, phy0_rx_dat => phy0_RxDat, phy0_rx_dv => phy0_RxDv, phy0_rx_err => phy0_RxErr, phy0_smi_clk => phy0_SMICLK, phy0_smi_dio => phy0_SMIDat, phy0_smi_dio_I => phy0_SMIDat_I, phy0_smi_dio_O => phy0_SMIDat_O, phy0_smi_dio_T => phy0_SMIDat_T, phy0_tx_dat => phy0_TxDat, phy0_tx_en => phy0_TxEn, phy1_rst_n => phy1_Rst_n, phy1_rx_dat => phy1_RxDat, phy1_rx_dv => phy1_RxDv, phy1_rx_err => phy1_RxErr, phy1_smi_clk => phy1_SMICLK, phy1_smi_dio => phy1_SMIDat, phy1_smi_dio_I => phy1_SMIDat_I, phy1_smi_dio_O => phy1_SMIDat_O, phy1_smi_dio_T => phy1_SMIDat_T, phy1_tx_dat => phy1_TxDat, phy1_tx_en => phy1_TxEn, phyMii0_rx_clk => phyMii0_RxClk, phyMii0_rx_dat => phyMii0_RxDat, phyMii0_rx_dv => phyMii0_RxDv, phyMii0_rx_err => phyMii0_RxEr, phyMii0_tx_clk => phyMii0_TxClk, phyMii0_tx_dat => phyMii0_TxDat, phyMii0_tx_en => phyMii0_TxEn, phyMii1_rx_clk => phyMii1_RxClk, phyMii1_rx_dat => phyMii1_RxDat, phyMii1_rx_dv => phyMii1_RxDv, phyMii1_rx_err => phyMii1_RxEr, phyMii1_tx_clk => phyMii1_TxClk, phyMii1_tx_dat => phyMii1_TxDat, phyMii1_tx_en => phyMii1_TxEn, phy_rst_n => phy_Rst_n, phy_smi_clk => phy_SMIClk, phy_smi_dio_I => phy_SMIDat_I, phy_smi_dio_O => phy_SMIDat_O, phy_smi_dio_T => phy_SMIDat_T, phy_smi_dio => phy_SMIDat, pkt_address => mbf_address, pkt_byteenable => mbf_byteenable, pkt_chipselect => mbf_chipselect, pkt_read => mbf_read, pkt_readdata => mbf_readdata, pkt_waitrequest => mbf_waitrequest, pkt_write => mbf_write, pkt_writedata => mbf_writedata, s_address => mac_address, s_byteenable => mac_byteenable, s_chipselect => mac_chipselect, s_irq => mac_irq, s_read => mac_read, s_readdata => mac_readdata, s_waitrequest => mac_waitrequest, s_write => mac_write, s_writedata => mac_writedata, t_address => tcp_address, t_byteenable => tcp_byteenable, t_chipselect => tcp_chipselect, t_irq => tcp_irq, t_read => tcp_read, t_readdata => tcp_readdata, t_tog => irqToggle, t_waitrequest => tcp_waitrequest, t_write => tcp_write, t_writedata => tcp_writedata ); phyAct(1) <= phyAct(0); -- ------------------------------------------------------------------------------------------------------------------------ end rtl;
------------------------------------------------------------------------------------------------------------------------ -- POWERLINK IP-Core -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-08-23 V0.01 zelenkaj First version -- 2010-09-13 V0.02 zelenkaj added selection Rmii / Mii -- 2010-10-18 V0.03 zelenkaj added selection Big/Little Endian (pdi_par) -- use bidirectional bus (pdi_par) -- 2010-11-23 V0.04 zelenkaj Added 2 GPIO signals to parallel interface -- Added Operational Flag to simple I/O interface -- Omitted T/RPDO descriptor sections in DPR -- Added generic to set duration of valid assertion (portio) -- 2010-11-29 V0.05 zelenkaj Added Big/Little Endian (pdi_spi) -- 2010-12-06 V0.06 zelenkaj Bugfix: ap_irq was not driven in SPI configuration -- 2011-01-10 V0.07 zelenkaj Added 2-stage sync to SPI input pins -- 2011-02-24 V0.08 zelenkaj minor changes (naming conventions Mii->SMI) -- 2011-03-14 V0.09 zelenkaj minor change, added generic for rx packet buffer location -- 2011-03-21 V0.10 zelenkaj clean up -- 2011-03-28 V0.20 zelenkaj Changed: Structure of Control/Status Register -- Added: LED -- Added: Events -- Added/Changed: Asynchronous buffer 2x Ping-Pong -- 2011-04-04 V0.21 zelenkaj parallel interface, sync moved to pdi_par -- minor: led_status is the official name -- 2011-04-26 V0.22 zelenkaj generic for clock domain selection -- 2011-04-28 V0.23 zelenkaj second cmp timer of openMAC is optinal by generic -- generic for second phy port of openMAC -- 2011-05-06 V0.24 zelenkaj some naming convention changes -- bug fix: use the RX_ER signal, it has important meaning! -- 2011-05-09 V0.25 zelenkaj Hardware Acceleration (HW ACC) added. -- 2011-07-23 V0.26 zelenkaj openFILTER enhanced by RxErr signal -- 2011-07-25 V0.27 zelenkaj LED gadget and asynchronous buffer optional -- 2011-08-08 V0.28 zelenkaj LED gadget enhancement -> added 8 general purpose outputs -- 2011-08-02 V1.00 zelenkaj exchanged Avalon interface with entity openMAC_Ethernet -- 2011-09-05 V1.01 zelenkaj SPI PDI missed to connect async irq to toplevel -- 2011-10-20 V1.02 zelenkaj SMI export of in, out and tristate, endian generic -- 2011-11-07 V1.03 zelenkaj dma generic for PLB/AXI support necessary -- 2011-11-21 V1.04 zelenkaj added time synchronization feature -- 2011-11-28 V1.05 zelenkaj added waitrequest signals to pdi pcp/ap -- 2011-11-29 V1.06 zelenkaj event is optional -- 2011-11-30 V1.07 zelenkaj Added generic for DMA observer -- 2011-12-02 V1.08 zelenkaj Added I, O and T instead of IO ports -- 2012-01-09 V1.09 zelenkaj Added ap_syncIrq for external AP -- 2012-01-26 V1.10 zelenkaj Added generic for SMI generation and one SMI ports -- Omit hwacc options, since we are fast enough! ------------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity powerlink is generic( -- GENERAL GENERICS -- endian_g : string := "little"; genOnePdiClkDomain_g : boolean := false; genPdi_g : boolean := true; genInternalAp_g : boolean := true; genSimpleIO_g : boolean := false; genSpiAp_g : boolean := false; -- OPENMAC GENERICS Simulate : boolean := false; iBufSize_g : integer := 1024; iBufSizeLOG2_g : integer := 10; useRmii_g : boolean := true; --use Rmii useIntPacketBuf_g : boolean := true; --internal packet buffer useRxIntPacketBuf_g : boolean := true; --rx buffer located in internal packet buffer use2ndCmpTimer_g : boolean := true; --use second cmp timer (used in PDI) use2ndPhy_g : boolean := true; --use second phy (introduces openHUB) m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; --hold burst value during transfer m_tx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_rx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; genSmiIO : boolean := true; --drive SMI IO if true gNumSmi : integer range 1 to 2 := 2; --number of SMI used -- PDI GENERICS iRpdos_g : integer := 3; iTpdos_g : integer := 1; genABuf1_g : boolean := true; --if false iABuf1_g must be set to 0! genABuf2_g : boolean := true; --if false iABuf2_g must be set to 0! genLedGadget_g : boolean := false; genTimeSync_g : boolean := false; genEvent_g : boolean := false; --PDO buffer size *3 iTpdoBufSize_g : integer := 100; iRpdo0BufSize_g : integer := 100; iRpdo1BufSize_g : integer := 100; iRpdo2BufSize_g : integer := 100; --asynchronous buffer size iAsyBuf1Size_g : integer := 100; iAsyBuf2Size_g : integer := 100; iPdiRev_g : integer := 16#55AA#; -- 8/16bit PARALLEL PDI GENERICS papDataWidth_g : integer := 8; papLowAct_g : boolean := false; papBigEnd_g : boolean := false; -- SPI GENERICS spiCPOL_g : boolean := false; spiCPHA_g : boolean := false; spiBigEnd_g : boolean := false; -- PORTIO pioValLen_g : integer := 50; --clock ticks of pcp_clk -- GENERAL TARGET DEPENDINGS genIoBuf_g : boolean := true --generates IO buffers ); port( -- CLOCK / RESET PORTS clk50 : in std_logic; --RMII clk rst : in std_logic; --general reset clkEth : in std_logic; --Tx Reg clk m_clk : in std_logic; --openMAC DMA master clock pkt_clk : in std_logic; --openMAC packet buffer clock (don't use pcp..) clkPcp : in std_logic; --pcp clk clkAp : in std_logic; --ap clk rstPcp : in std_logic; --rst from pcp side rstAp : in std_logic; --rst ap -- OPENMAC --- OPENMAC PORTS mac_chipselect : in std_logic; mac_read : in std_logic; mac_write : in std_logic; mac_byteenable : in std_logic_vector(1 downto 0); mac_address : in std_logic_vector(11 downto 0); mac_writedata : in std_logic_vector(15 downto 0); mac_readdata : out std_logic_vector(15 downto 0) := (others => '0'); mac_waitrequest : out std_logic; mac_irq : out std_logic := '0'; --- TIMER COMPARE PORTS tcp_chipselect : in std_logic; tcp_read : in std_logic; tcp_write : in std_logic; tcp_byteenable : in std_logic_vector(3 downto 0); tcp_address : in std_logic_vector(1 downto 0); tcp_writedata : in std_logic_vector(31 downto 0); tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); tcp_waitrequest : out std_logic; tcp_irq : out std_logic := '0'; --- MAC BUFFER PORTS mbf_chipselect : in std_logic; mbf_read : in std_logic; mbf_write : in std_logic; mbf_byteenable : in std_logic_vector(3 downto 0); mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0); mbf_writedata : in std_logic_vector(31 downto 0); mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0'); mbf_waitrequest : out std_logic; --- OPENMAC DMA PORTS m_read : OUT STD_LOGIC := '0'; m_write : OUT STD_LOGIC := '0'; m_byteenable : OUT STD_LOGIC_VECTOR(m_data_width_g/8-1 DOWNTO 0) := (others => '0'); m_address : OUT STD_LOGIC_VECTOR(29 DOWNTO 0) := (others => '0'); m_writedata : OUT STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_readdata : IN STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_waitrequest : IN STD_LOGIC; m_readdatavalid : in STD_LOGIC := '0'; m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); -- PDI --- PCP PORTS pcp_chipselect : in std_logic; pcp_read : in std_logic; pcp_write : in std_logic; pcp_byteenable : in std_logic_vector(3 downto 0); pcp_address : in std_logic_vector(12 downto 0); pcp_writedata : in std_logic_vector(31 downto 0); pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); pcp_waitrequest : out std_logic; --- AP PORTS ap_irq : out std_logic := '0'; ap_irq_n : out std_logic := '1'; ap_syncIrq : out std_logic := '0'; ap_syncIrq_n : out std_logic := '1'; ap_asyncIrq : out std_logic := '0'; ap_asyncIrq_n : out std_logic := '1'; ---- AVALON ap_chipselect : in std_logic; ap_read : in std_logic; ap_write : in std_logic; ap_byteenable : in std_logic_vector(3 downto 0); ap_address : in std_logic_vector(12 downto 0); ap_writedata : in std_logic_vector(31 downto 0); ap_readdata : out std_logic_vector(31 downto 0) := (others => '0'); ap_waitrequest : out std_logic; ---- 8/16bit parallel pap_cs : in std_logic; pap_rd : in std_logic; pap_wr : in std_logic; pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_cs_n : in std_logic; pap_rd_n : in std_logic; pap_wr_n : in std_logic; pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0); pap_data_T : out std_logic; pap_ack : out std_logic := '0'; pap_ack_n : out std_logic := '1'; pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); ---- SPI spi_clk : in std_logic; spi_sel_n : in std_logic; spi_mosi : in std_logic; spi_miso : out std_logic := '0'; ---- simple I/O smp_address : in std_logic; smp_read : in std_logic; smp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); smp_write : in std_logic; smp_writedata : in std_logic_vector(31 downto 0); smp_byteenable : in std_logic_vector(3 downto 0); smp_waitrequest : out std_logic; pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0'); pio_portio : inout std_logic_vector(31 downto 0) := (others => '0'); pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0'); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); pio_operational : out std_logic := '0'; -- EXTERNAL --- PHY MANAGEMENT ---- shared (valid if gNumSmi = 1) phy_SMIClk : out std_logic := '0'; phy_SMIDat : inout std_logic := '1'; phy_SMIDat_I : in std_logic := '1'; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; phy_Rst_n : out std_logic := '1'; ---- PHY0 (valid if gNumSmi = 2) phy0_SMIClk : out std_logic := '0'; phy0_SMIDat : inout std_logic := '1'; phy0_SMIDat_I : in std_logic := '1'; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_Rst_n : out std_logic := '1'; phy0_link : in std_logic := '0'; ---- PHY1 (valid if gNumSmi = 2) phy1_SMIClk : out std_logic := '0'; phy1_SMIDat : inout std_logic := '1'; phy1_SMIDat_I : in std_logic := '1'; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_Rst_n : out std_logic := '1'; phy1_link : in std_logic := '0'; --- RMII PORTS phy0_RxDat : in std_logic_vector(1 downto 0); phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy0_TxEn : out std_logic := '0'; phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0'); phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy1_TxEn : out std_logic := '0'; --- MII PORTS phyMii0_RxClk : in std_logic; phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii0_TxEn : out std_logic := '0'; phyMii0_TxEr : out std_logic := '0'; phyMii1_RxClk : in std_logic; phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii1_TxEn : out std_logic := '0'; phyMii1_TxEr : out std_logic := '0'; --- LEDs led_error : out std_logic := '0'; led_status : out std_logic := '0'; led_phyLink : out std_logic_vector(1 downto 0) := (others => '0'); led_phyAct : out std_logic_vector(1 downto 0) := (others => '0'); led_opt : out std_logic_vector(1 downto 0) := (others => '0'); led_gpo : out std_logic_vector(7 downto 0) := (others => '0') ); end powerlink; architecture rtl of powerlink is signal smi_Clk : std_logic := '0'; signal smi_Di : std_logic := '0'; signal smi_Do : std_logic := '0'; signal smi_Doe : std_logic := '0'; signal phy_nResetOut : std_logic := '0'; signal irqToggle : std_logic := '0'; signal ap_chipselect_s : std_logic := '0'; signal ap_read_s : std_logic := '0'; signal ap_write_s : std_logic := '0'; signal ap_byteenable_s : std_logic_vector(ap_byteenable'range) := (others => '0'); signal ap_address_s : std_logic_vector(ap_address'range) := (others => '0'); signal ap_writedata_s : std_logic_vector(ap_writedata'range):= (others => '0'); signal ap_readdata_s : std_logic_vector(ap_readdata'range) := (others => '0'); signal pap_cs_s : std_logic; signal pap_rd_s : std_logic; signal pap_wr_s : std_logic; signal pap_be_s : std_logic_vector(pap_be'range); signal pap_ack_s : std_logic; signal ap_irq_s : std_logic; signal ap_asyncIrq_s : std_logic; signal spi_sel_s : std_logic; signal spi_sel_s1 : std_logic; signal spi_sel_s2 : std_logic; signal spi_clk_s : std_logic; signal spi_clk_s1 : std_logic; signal spi_clk_s2 : std_logic; signal spi_mosi_s : std_logic; signal spi_mosi_s1 : std_logic; signal spi_mosi_s2 : std_logic; signal phyLink, phyAct : std_logic_vector(1 downto 0); signal led_s : std_logic_vector(15 downto 0); signal clkAp_s, rstAp_s : std_logic; --PDI change buffer triggers for hw acc to pdi signal rpdo_change_tog : std_logic_vector(2 downto 0); signal tpdo_change_tog : std_logic; begin --general signals clkAp_s <= clkAp when genOnePdiClkDomain_g = FALSE else clkPcp; rstAp_s <= rstAp when genOnePdiClkDomain_g = FALSE else rstPcp; phyLink <= phy1_link & phy0_link; --LEDs: GPO7, ..., GPO0, O1, O0, PA1, PL1, PA0, PL0, E, S led_error <= led_s(1); led_status <= led_s(0); led_phyLink <= led_s(4) & led_s(2); led_phyAct <= led_s(5) & led_s(3); led_opt <= led_s(7) & led_s(6); led_gpo <= led_s(15 downto 8); ------------------------------------------------------------------------------------------------------------------------ --PCP + AP genPdi : if genPdi_g and genInternalAp_g and not genSpiAp_g generate --sync and async interrupt are driven by only one line -- this gives some effort for Nios II AP ;) ap_irq <= ap_irq_s or ap_asyncIrq_s; theAvalonPdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rstAp_s, ap_clk => clkAp_s, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect, ap_read => ap_read, ap_write => ap_write, ap_byteenable => ap_byteenable, ap_address => ap_address, ap_writedata => ap_writedata, ap_readdata => ap_readdata, ap_waitrequest => ap_waitrequest, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdi; --AP is external connected via parallel interface genPdiPar : if genPdi_g and not genInternalAp_g and not genSpiAp_g generate --only 8 or 16bit data width is allowed ASSERT ( papDataWidth_g = 8 or papDataWidth_g = 16 ) REPORT "External parallel port only allows 8 or 16bit data width!" severity failure; ------------------------------------------------------------------------------------- --convert active low signals to active high - respectively assign active high signals theActiveLowGen : if papLowAct_g generate pap_wr_s <= not pap_wr_n; pap_rd_s <= not pap_rd_n; pap_cs_s <= not pap_cs_n; pap_be_s <= not pap_be_n; end generate; theActiveHighGen : if not papLowAct_g generate pap_wr_s <= pap_wr; pap_rd_s <= pap_rd; pap_cs_s <= pap_cs; pap_be_s <= pap_be; end generate; ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; pap_ack <= pap_ack_s; pap_ack_n <= not pap_ack_s; -- ------------------------------------------------------------------------------------- theParPort : entity work.pdi_par generic map ( papDataWidth_g => papDataWidth_g, papBigEnd_g => papBigEnd_g, papGenIoBuf_g => genIoBuf_g ) port map ( -- 8/16bit parallel pap_cs => pap_cs_s, pap_rd => pap_rd_s, pap_wr => pap_wr_s, pap_be => pap_be_s, pap_addr => pap_addr, pap_data => pap_data, pap_data_I => pap_data_I, pap_data_O => pap_data_O, pap_data_T => pap_data_T, pap_ack => pap_ack_s, pap_gpio => pap_gpio, pap_gpio_I => pap_gpio_I, pap_gpio_O => pap_gpio_O, pap_gpio_T => pap_gpio_T, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiPar; --AP is extern connected via SPI genPdiSpi : if genPdi_g and genSpiAp_g generate ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; spi_clk_s <= spi_clk; spi_sel_s <= not spi_sel_n; spi_mosi_s <= spi_mosi; theSyncProc : process(clk50, rst) begin if rst = '1' then spi_sel_s1 <= '0'; spi_sel_s2 <= '0'; spi_clk_s1 <= '0'; spi_clk_s2 <= '0'; spi_mosi_s1 <= '0'; spi_mosi_s2 <= '0'; elsif clk50 = '1' and clk50'event then spi_sel_s1 <= spi_sel_s; spi_sel_s2 <= spi_sel_s1; spi_clk_s1 <= spi_clk_s; spi_clk_s2 <= spi_clk_s1; spi_mosi_s1 <= spi_mosi_s; spi_mosi_s2 <= spi_mosi_s1; end if; end process; ------------------------------------------------------------------------------------------------------------------------ thePdiSpi : entity work.pdi_spi generic map ( spiSize_g => 8, --fixed value! cpol_g => spiCPOL_g, cpha_g => spiCPHA_g, spiBigEnd_g => spiBigEnd_g ) port map ( -- SPI spi_clk => spi_clk_s2, spi_sel => spi_sel_s2, spi_miso => spi_miso, spi_mosi => spi_mosi_s2, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiSpi; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --SIMPLE I/O CN genSimpleIO : if genSimpleIO_g generate thePortIO : entity work.portio generic map ( pioValLen_g => pioValLen_g, pioGenIoBuf_g => genIoBuf_g ) port map ( s0_address => smp_address, s0_read => smp_read, s0_readdata => smp_readdata, s0_write => smp_write, s0_writedata => smp_writedata, s0_byteenable => smp_byteenable, s0_waitrequest => smp_waitrequest, clk => clkPcp, reset => rstPcp, x_pconfig => pio_pconfig, x_portInLatch => pio_portInLatch, x_portOutValid => pio_portOutValid, x_portio => pio_portio, x_portio_I => pio_portio_I, x_portio_O => pio_portio_O, x_portio_T => pio_portio_T, x_operational => pio_operational ); end generate genSimpleIO; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --OPENMAC (OPENHUB, OPENFILTER, PHY MANAGEMENT) theOpenMac : entity work.openMAC_Ethernet generic map ( endian_g => endian_g, dma_highadr_g => m_address'high, gen2ndCmpTimer_g => use2ndCmpTimer_g, genHub_g => use2ndPhy_g, iPktBufSizeLog2_g => iBufSizeLOG2_g, iPktBufSize_g => iBufSize_g, simulate => false, useIntPktBuf_g => useIntPacketBuf_g, useRmii_g => useRmii_g, useRxIntPktBuf_g => useRxIntPacketBuf_g, m_burstcount_width_g => m_burstcount_width_g, m_burstcount_const_g => m_burstcount_const_g, m_data_width_g => m_data_width_g, m_tx_fifo_size_g => m_tx_fifo_size_g, m_rx_fifo_size_g => m_rx_fifo_size_g, m_tx_burst_size_g => m_tx_burst_size_g, m_rx_burst_size_g => m_rx_burst_size_g, genSmiIO => genSmiIO, gNumSmi => gNumSmi, genPhyActLed_g => genLedGadget_g, gen_dma_observer_g => gen_dma_observer_g ) port map( clk => clk50, clkx2 => clkEth, pkt_clk => pkt_clk, m_clk => m_clk, rst => rst, m_address => m_address, m_burstcount => m_burstcount, m_burstcounter => m_burstcounter, m_byteenable => m_byteenable, m_read => m_read, m_readdata => m_readdata, m_readdatavalid => m_readdatavalid, m_write => m_write, m_writedata => m_writedata, m_waitrequest => m_waitrequest, mac_rx_irq => open, mac_tx_irq => open, act_led => phyAct(0), phy0_rst_n => phy0_Rst_n, phy0_rx_dat => phy0_RxDat, phy0_rx_dv => phy0_RxDv, phy0_rx_err => phy0_RxErr, phy0_smi_clk => phy0_SMICLK, phy0_smi_dio => phy0_SMIDat, phy0_smi_dio_I => phy0_SMIDat_I, phy0_smi_dio_O => phy0_SMIDat_O, phy0_smi_dio_T => phy0_SMIDat_T, phy0_tx_dat => phy0_TxDat, phy0_tx_en => phy0_TxEn, phy1_rst_n => phy1_Rst_n, phy1_rx_dat => phy1_RxDat, phy1_rx_dv => phy1_RxDv, phy1_rx_err => phy1_RxErr, phy1_smi_clk => phy1_SMICLK, phy1_smi_dio => phy1_SMIDat, phy1_smi_dio_I => phy1_SMIDat_I, phy1_smi_dio_O => phy1_SMIDat_O, phy1_smi_dio_T => phy1_SMIDat_T, phy1_tx_dat => phy1_TxDat, phy1_tx_en => phy1_TxEn, phyMii0_rx_clk => phyMii0_RxClk, phyMii0_rx_dat => phyMii0_RxDat, phyMii0_rx_dv => phyMii0_RxDv, phyMii0_rx_err => phyMii0_RxEr, phyMii0_tx_clk => phyMii0_TxClk, phyMii0_tx_dat => phyMii0_TxDat, phyMii0_tx_en => phyMii0_TxEn, phyMii1_rx_clk => phyMii1_RxClk, phyMii1_rx_dat => phyMii1_RxDat, phyMii1_rx_dv => phyMii1_RxDv, phyMii1_rx_err => phyMii1_RxEr, phyMii1_tx_clk => phyMii1_TxClk, phyMii1_tx_dat => phyMii1_TxDat, phyMii1_tx_en => phyMii1_TxEn, phy_rst_n => phy_Rst_n, phy_smi_clk => phy_SMIClk, phy_smi_dio_I => phy_SMIDat_I, phy_smi_dio_O => phy_SMIDat_O, phy_smi_dio_T => phy_SMIDat_T, phy_smi_dio => phy_SMIDat, pkt_address => mbf_address, pkt_byteenable => mbf_byteenable, pkt_chipselect => mbf_chipselect, pkt_read => mbf_read, pkt_readdata => mbf_readdata, pkt_waitrequest => mbf_waitrequest, pkt_write => mbf_write, pkt_writedata => mbf_writedata, s_address => mac_address, s_byteenable => mac_byteenable, s_chipselect => mac_chipselect, s_irq => mac_irq, s_read => mac_read, s_readdata => mac_readdata, s_waitrequest => mac_waitrequest, s_write => mac_write, s_writedata => mac_writedata, t_address => tcp_address, t_byteenable => tcp_byteenable, t_chipselect => tcp_chipselect, t_irq => tcp_irq, t_read => tcp_read, t_readdata => tcp_readdata, t_tog => irqToggle, t_waitrequest => tcp_waitrequest, t_write => tcp_write, t_writedata => tcp_writedata ); phyAct(1) <= phyAct(0); -- ------------------------------------------------------------------------------------------------------------------------ end rtl;
------------------------------------------------------------------------------------------------------------------------ -- POWERLINK IP-Core -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-08-23 V0.01 zelenkaj First version -- 2010-09-13 V0.02 zelenkaj added selection Rmii / Mii -- 2010-10-18 V0.03 zelenkaj added selection Big/Little Endian (pdi_par) -- use bidirectional bus (pdi_par) -- 2010-11-23 V0.04 zelenkaj Added 2 GPIO signals to parallel interface -- Added Operational Flag to simple I/O interface -- Omitted T/RPDO descriptor sections in DPR -- Added generic to set duration of valid assertion (portio) -- 2010-11-29 V0.05 zelenkaj Added Big/Little Endian (pdi_spi) -- 2010-12-06 V0.06 zelenkaj Bugfix: ap_irq was not driven in SPI configuration -- 2011-01-10 V0.07 zelenkaj Added 2-stage sync to SPI input pins -- 2011-02-24 V0.08 zelenkaj minor changes (naming conventions Mii->SMI) -- 2011-03-14 V0.09 zelenkaj minor change, added generic for rx packet buffer location -- 2011-03-21 V0.10 zelenkaj clean up -- 2011-03-28 V0.20 zelenkaj Changed: Structure of Control/Status Register -- Added: LED -- Added: Events -- Added/Changed: Asynchronous buffer 2x Ping-Pong -- 2011-04-04 V0.21 zelenkaj parallel interface, sync moved to pdi_par -- minor: led_status is the official name -- 2011-04-26 V0.22 zelenkaj generic for clock domain selection -- 2011-04-28 V0.23 zelenkaj second cmp timer of openMAC is optinal by generic -- generic for second phy port of openMAC -- 2011-05-06 V0.24 zelenkaj some naming convention changes -- bug fix: use the RX_ER signal, it has important meaning! -- 2011-05-09 V0.25 zelenkaj Hardware Acceleration (HW ACC) added. -- 2011-07-23 V0.26 zelenkaj openFILTER enhanced by RxErr signal -- 2011-07-25 V0.27 zelenkaj LED gadget and asynchronous buffer optional -- 2011-08-08 V0.28 zelenkaj LED gadget enhancement -> added 8 general purpose outputs -- 2011-08-02 V1.00 zelenkaj exchanged Avalon interface with entity openMAC_Ethernet -- 2011-09-05 V1.01 zelenkaj SPI PDI missed to connect async irq to toplevel -- 2011-10-20 V1.02 zelenkaj SMI export of in, out and tristate, endian generic -- 2011-11-07 V1.03 zelenkaj dma generic for PLB/AXI support necessary -- 2011-11-21 V1.04 zelenkaj added time synchronization feature -- 2011-11-28 V1.05 zelenkaj added waitrequest signals to pdi pcp/ap -- 2011-11-29 V1.06 zelenkaj event is optional -- 2011-11-30 V1.07 zelenkaj Added generic for DMA observer -- 2011-12-02 V1.08 zelenkaj Added I, O and T instead of IO ports -- 2012-01-09 V1.09 zelenkaj Added ap_syncIrq for external AP -- 2012-01-26 V1.10 zelenkaj Added generic for SMI generation and one SMI ports -- Omit hwacc options, since we are fast enough! ------------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity powerlink is generic( -- GENERAL GENERICS -- endian_g : string := "little"; genOnePdiClkDomain_g : boolean := false; genPdi_g : boolean := true; genInternalAp_g : boolean := true; genSimpleIO_g : boolean := false; genSpiAp_g : boolean := false; -- OPENMAC GENERICS Simulate : boolean := false; iBufSize_g : integer := 1024; iBufSizeLOG2_g : integer := 10; useRmii_g : boolean := true; --use Rmii useIntPacketBuf_g : boolean := true; --internal packet buffer useRxIntPacketBuf_g : boolean := true; --rx buffer located in internal packet buffer use2ndCmpTimer_g : boolean := true; --use second cmp timer (used in PDI) use2ndPhy_g : boolean := true; --use second phy (introduces openHUB) m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; --hold burst value during transfer m_tx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_rx_burst_size_g : integer := 16; --0 < x =< 2**m_burstcount_width_g m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; genSmiIO : boolean := true; --drive SMI IO if true gNumSmi : integer range 1 to 2 := 2; --number of SMI used -- PDI GENERICS iRpdos_g : integer := 3; iTpdos_g : integer := 1; genABuf1_g : boolean := true; --if false iABuf1_g must be set to 0! genABuf2_g : boolean := true; --if false iABuf2_g must be set to 0! genLedGadget_g : boolean := false; genTimeSync_g : boolean := false; genEvent_g : boolean := false; --PDO buffer size *3 iTpdoBufSize_g : integer := 100; iRpdo0BufSize_g : integer := 100; iRpdo1BufSize_g : integer := 100; iRpdo2BufSize_g : integer := 100; --asynchronous buffer size iAsyBuf1Size_g : integer := 100; iAsyBuf2Size_g : integer := 100; iPdiRev_g : integer := 16#55AA#; -- 8/16bit PARALLEL PDI GENERICS papDataWidth_g : integer := 8; papLowAct_g : boolean := false; papBigEnd_g : boolean := false; -- SPI GENERICS spiCPOL_g : boolean := false; spiCPHA_g : boolean := false; spiBigEnd_g : boolean := false; -- PORTIO pioValLen_g : integer := 50; --clock ticks of pcp_clk -- GENERAL TARGET DEPENDINGS genIoBuf_g : boolean := true --generates IO buffers ); port( -- CLOCK / RESET PORTS clk50 : in std_logic; --RMII clk rst : in std_logic; --general reset clkEth : in std_logic; --Tx Reg clk m_clk : in std_logic; --openMAC DMA master clock pkt_clk : in std_logic; --openMAC packet buffer clock (don't use pcp..) clkPcp : in std_logic; --pcp clk clkAp : in std_logic; --ap clk rstPcp : in std_logic; --rst from pcp side rstAp : in std_logic; --rst ap -- OPENMAC --- OPENMAC PORTS mac_chipselect : in std_logic; mac_read : in std_logic; mac_write : in std_logic; mac_byteenable : in std_logic_vector(1 downto 0); mac_address : in std_logic_vector(11 downto 0); mac_writedata : in std_logic_vector(15 downto 0); mac_readdata : out std_logic_vector(15 downto 0) := (others => '0'); mac_waitrequest : out std_logic; mac_irq : out std_logic := '0'; --- TIMER COMPARE PORTS tcp_chipselect : in std_logic; tcp_read : in std_logic; tcp_write : in std_logic; tcp_byteenable : in std_logic_vector(3 downto 0); tcp_address : in std_logic_vector(1 downto 0); tcp_writedata : in std_logic_vector(31 downto 0); tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); tcp_waitrequest : out std_logic; tcp_irq : out std_logic := '0'; --- MAC BUFFER PORTS mbf_chipselect : in std_logic; mbf_read : in std_logic; mbf_write : in std_logic; mbf_byteenable : in std_logic_vector(3 downto 0); mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0); mbf_writedata : in std_logic_vector(31 downto 0); mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0'); mbf_waitrequest : out std_logic; --- OPENMAC DMA PORTS m_read : OUT STD_LOGIC := '0'; m_write : OUT STD_LOGIC := '0'; m_byteenable : OUT STD_LOGIC_VECTOR(m_data_width_g/8-1 DOWNTO 0) := (others => '0'); m_address : OUT STD_LOGIC_VECTOR(29 DOWNTO 0) := (others => '0'); m_writedata : OUT STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_readdata : IN STD_LOGIC_VECTOR(m_data_width_g-1 DOWNTO 0) := (others => '0'); m_waitrequest : IN STD_LOGIC; m_readdatavalid : in STD_LOGIC := '0'; m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); -- PDI --- PCP PORTS pcp_chipselect : in std_logic; pcp_read : in std_logic; pcp_write : in std_logic; pcp_byteenable : in std_logic_vector(3 downto 0); pcp_address : in std_logic_vector(12 downto 0); pcp_writedata : in std_logic_vector(31 downto 0); pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); pcp_waitrequest : out std_logic; --- AP PORTS ap_irq : out std_logic := '0'; ap_irq_n : out std_logic := '1'; ap_syncIrq : out std_logic := '0'; ap_syncIrq_n : out std_logic := '1'; ap_asyncIrq : out std_logic := '0'; ap_asyncIrq_n : out std_logic := '1'; ---- AVALON ap_chipselect : in std_logic; ap_read : in std_logic; ap_write : in std_logic; ap_byteenable : in std_logic_vector(3 downto 0); ap_address : in std_logic_vector(12 downto 0); ap_writedata : in std_logic_vector(31 downto 0); ap_readdata : out std_logic_vector(31 downto 0) := (others => '0'); ap_waitrequest : out std_logic; ---- 8/16bit parallel pap_cs : in std_logic; pap_rd : in std_logic; pap_wr : in std_logic; pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_cs_n : in std_logic; pap_rd_n : in std_logic; pap_wr_n : in std_logic; pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0); pap_data_T : out std_logic; pap_ack : out std_logic := '0'; pap_ack_n : out std_logic := '1'; pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0'); pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); ---- SPI spi_clk : in std_logic; spi_sel_n : in std_logic; spi_mosi : in std_logic; spi_miso : out std_logic := '0'; ---- simple I/O smp_address : in std_logic; smp_read : in std_logic; smp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); smp_write : in std_logic; smp_writedata : in std_logic_vector(31 downto 0); smp_byteenable : in std_logic_vector(3 downto 0); smp_waitrequest : out std_logic; pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0'); pio_portio : inout std_logic_vector(31 downto 0) := (others => '0'); pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0'); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); pio_operational : out std_logic := '0'; -- EXTERNAL --- PHY MANAGEMENT ---- shared (valid if gNumSmi = 1) phy_SMIClk : out std_logic := '0'; phy_SMIDat : inout std_logic := '1'; phy_SMIDat_I : in std_logic := '1'; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; phy_Rst_n : out std_logic := '1'; ---- PHY0 (valid if gNumSmi = 2) phy0_SMIClk : out std_logic := '0'; phy0_SMIDat : inout std_logic := '1'; phy0_SMIDat_I : in std_logic := '1'; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_Rst_n : out std_logic := '1'; phy0_link : in std_logic := '0'; ---- PHY1 (valid if gNumSmi = 2) phy1_SMIClk : out std_logic := '0'; phy1_SMIDat : inout std_logic := '1'; phy1_SMIDat_I : in std_logic := '1'; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_Rst_n : out std_logic := '1'; phy1_link : in std_logic := '0'; --- RMII PORTS phy0_RxDat : in std_logic_vector(1 downto 0); phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy0_TxEn : out std_logic := '0'; phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0'); phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy1_TxEn : out std_logic := '0'; --- MII PORTS phyMii0_RxClk : in std_logic; phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii0_TxEn : out std_logic := '0'; phyMii0_TxEr : out std_logic := '0'; phyMii1_RxClk : in std_logic; phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii1_TxEn : out std_logic := '0'; phyMii1_TxEr : out std_logic := '0'; --- LEDs led_error : out std_logic := '0'; led_status : out std_logic := '0'; led_phyLink : out std_logic_vector(1 downto 0) := (others => '0'); led_phyAct : out std_logic_vector(1 downto 0) := (others => '0'); led_opt : out std_logic_vector(1 downto 0) := (others => '0'); led_gpo : out std_logic_vector(7 downto 0) := (others => '0') ); end powerlink; architecture rtl of powerlink is signal smi_Clk : std_logic := '0'; signal smi_Di : std_logic := '0'; signal smi_Do : std_logic := '0'; signal smi_Doe : std_logic := '0'; signal phy_nResetOut : std_logic := '0'; signal irqToggle : std_logic := '0'; signal ap_chipselect_s : std_logic := '0'; signal ap_read_s : std_logic := '0'; signal ap_write_s : std_logic := '0'; signal ap_byteenable_s : std_logic_vector(ap_byteenable'range) := (others => '0'); signal ap_address_s : std_logic_vector(ap_address'range) := (others => '0'); signal ap_writedata_s : std_logic_vector(ap_writedata'range):= (others => '0'); signal ap_readdata_s : std_logic_vector(ap_readdata'range) := (others => '0'); signal pap_cs_s : std_logic; signal pap_rd_s : std_logic; signal pap_wr_s : std_logic; signal pap_be_s : std_logic_vector(pap_be'range); signal pap_ack_s : std_logic; signal ap_irq_s : std_logic; signal ap_asyncIrq_s : std_logic; signal spi_sel_s : std_logic; signal spi_sel_s1 : std_logic; signal spi_sel_s2 : std_logic; signal spi_clk_s : std_logic; signal spi_clk_s1 : std_logic; signal spi_clk_s2 : std_logic; signal spi_mosi_s : std_logic; signal spi_mosi_s1 : std_logic; signal spi_mosi_s2 : std_logic; signal phyLink, phyAct : std_logic_vector(1 downto 0); signal led_s : std_logic_vector(15 downto 0); signal clkAp_s, rstAp_s : std_logic; --PDI change buffer triggers for hw acc to pdi signal rpdo_change_tog : std_logic_vector(2 downto 0); signal tpdo_change_tog : std_logic; begin --general signals clkAp_s <= clkAp when genOnePdiClkDomain_g = FALSE else clkPcp; rstAp_s <= rstAp when genOnePdiClkDomain_g = FALSE else rstPcp; phyLink <= phy1_link & phy0_link; --LEDs: GPO7, ..., GPO0, O1, O0, PA1, PL1, PA0, PL0, E, S led_error <= led_s(1); led_status <= led_s(0); led_phyLink <= led_s(4) & led_s(2); led_phyAct <= led_s(5) & led_s(3); led_opt <= led_s(7) & led_s(6); led_gpo <= led_s(15 downto 8); ------------------------------------------------------------------------------------------------------------------------ --PCP + AP genPdi : if genPdi_g and genInternalAp_g and not genSpiAp_g generate --sync and async interrupt are driven by only one line -- this gives some effort for Nios II AP ;) ap_irq <= ap_irq_s or ap_asyncIrq_s; theAvalonPdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rstAp_s, ap_clk => clkAp_s, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect, ap_read => ap_read, ap_write => ap_write, ap_byteenable => ap_byteenable, ap_address => ap_address, ap_writedata => ap_writedata, ap_readdata => ap_readdata, ap_waitrequest => ap_waitrequest, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdi; --AP is external connected via parallel interface genPdiPar : if genPdi_g and not genInternalAp_g and not genSpiAp_g generate --only 8 or 16bit data width is allowed ASSERT ( papDataWidth_g = 8 or papDataWidth_g = 16 ) REPORT "External parallel port only allows 8 or 16bit data width!" severity failure; ------------------------------------------------------------------------------------- --convert active low signals to active high - respectively assign active high signals theActiveLowGen : if papLowAct_g generate pap_wr_s <= not pap_wr_n; pap_rd_s <= not pap_rd_n; pap_cs_s <= not pap_cs_n; pap_be_s <= not pap_be_n; end generate; theActiveHighGen : if not papLowAct_g generate pap_wr_s <= pap_wr; pap_rd_s <= pap_rd; pap_cs_s <= pap_cs; pap_be_s <= pap_be; end generate; ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; pap_ack <= pap_ack_s; pap_ack_n <= not pap_ack_s; -- ------------------------------------------------------------------------------------- theParPort : entity work.pdi_par generic map ( papDataWidth_g => papDataWidth_g, papBigEnd_g => papBigEnd_g, papGenIoBuf_g => genIoBuf_g ) port map ( -- 8/16bit parallel pap_cs => pap_cs_s, pap_rd => pap_rd_s, pap_wr => pap_wr_s, pap_be => pap_be_s, pap_addr => pap_addr, pap_data => pap_data, pap_data_I => pap_data_I, pap_data_O => pap_data_O, pap_data_T => pap_data_T, pap_ack => pap_ack_s, pap_gpio => pap_gpio, pap_gpio_I => pap_gpio_I, pap_gpio_O => pap_gpio_O, pap_gpio_T => pap_gpio_T, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiPar; --AP is extern connected via SPI genPdiSpi : if genPdi_g and genSpiAp_g generate ap_syncIrq <= ap_irq_s; ap_syncIrq_n <= not ap_irq_s; ap_asyncIrq <= ap_asyncIrq_s; ap_asyncIrq_n <= not ap_asyncIrq_s; spi_clk_s <= spi_clk; spi_sel_s <= not spi_sel_n; spi_mosi_s <= spi_mosi; theSyncProc : process(clk50, rst) begin if rst = '1' then spi_sel_s1 <= '0'; spi_sel_s2 <= '0'; spi_clk_s1 <= '0'; spi_clk_s2 <= '0'; spi_mosi_s1 <= '0'; spi_mosi_s2 <= '0'; elsif clk50 = '1' and clk50'event then spi_sel_s1 <= spi_sel_s; spi_sel_s2 <= spi_sel_s1; spi_clk_s1 <= spi_clk_s; spi_clk_s2 <= spi_clk_s1; spi_mosi_s1 <= spi_mosi_s; spi_mosi_s2 <= spi_mosi_s1; end if; end process; ------------------------------------------------------------------------------------------------------------------------ thePdiSpi : entity work.pdi_spi generic map ( spiSize_g => 8, --fixed value! cpol_g => spiCPOL_g, cpha_g => spiCPHA_g, spiBigEnd_g => spiBigEnd_g ) port map ( -- SPI spi_clk => spi_clk_s2, spi_sel => spi_sel_s2, spi_miso => spi_miso, spi_mosi => spi_mosi_s2, -- clock for AP side ap_reset => rstPcp, ap_clk => clk50, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s ); thePdi : entity work.pdi generic map ( genOnePdiClkDomain_g => genOnePdiClkDomain_g, iPdiRev_g => iPdiRev_g, iRpdos_g => iRpdos_g, iTpdos_g => iTpdos_g, genABuf1_g => genABuf1_g, genABuf2_g => genABuf2_g, genLedGadget_g => genLedGadget_g, genTimeSync_g => genTimeSync_g, genEvent_g => genEvent_g, --PDO buffer size *3 iTpdoBufSize_g => iTpdoBufSize_g, iRpdo0BufSize_g => iRpdo0BufSize_g, iRpdo1BufSize_g => iRpdo1BufSize_g, iRpdo2BufSize_g => iRpdo2BufSize_g, --asynchronous buffer size iABuf1_g => iAsyBuf1Size_g, iABuf2_g => iAsyBuf2Size_g ) port map ( pcp_reset => rstPcp, pcp_clk => clkPcp, ap_reset => rst, ap_clk => clk50, -- Avalon Slave Interface for PCP pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_write => pcp_write, pcp_byteenable => pcp_byteenable, pcp_address => pcp_address, pcp_writedata => pcp_writedata, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_irq => irqToggle, -- Avalon Slave Interface for AP ap_chipselect => ap_chipselect_s, ap_read => ap_read_s, ap_write => ap_write_s, ap_byteenable => ap_byteenable_s, ap_address => ap_address_s, ap_writedata => ap_writedata_s, ap_readdata => ap_readdata_s, ap_waitrequest => open, ap_irq => ap_irq_s, -- async interrupt ap_asyncIrq => ap_asyncIrq_s, -- LED ledsOut => led_s, phyLink => phyLink, phyAct => phyAct, --PDI change buffer triggers rpdo_change_tog => rpdo_change_tog, tpdo_change_tog => tpdo_change_tog ); end generate genPdiSpi; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --SIMPLE I/O CN genSimpleIO : if genSimpleIO_g generate thePortIO : entity work.portio generic map ( pioValLen_g => pioValLen_g, pioGenIoBuf_g => genIoBuf_g ) port map ( s0_address => smp_address, s0_read => smp_read, s0_readdata => smp_readdata, s0_write => smp_write, s0_writedata => smp_writedata, s0_byteenable => smp_byteenable, s0_waitrequest => smp_waitrequest, clk => clkPcp, reset => rstPcp, x_pconfig => pio_pconfig, x_portInLatch => pio_portInLatch, x_portOutValid => pio_portOutValid, x_portio => pio_portio, x_portio_I => pio_portio_I, x_portio_O => pio_portio_O, x_portio_T => pio_portio_T, x_operational => pio_operational ); end generate genSimpleIO; -- ------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------ --OPENMAC (OPENHUB, OPENFILTER, PHY MANAGEMENT) theOpenMac : entity work.openMAC_Ethernet generic map ( endian_g => endian_g, dma_highadr_g => m_address'high, gen2ndCmpTimer_g => use2ndCmpTimer_g, genHub_g => use2ndPhy_g, iPktBufSizeLog2_g => iBufSizeLOG2_g, iPktBufSize_g => iBufSize_g, simulate => false, useIntPktBuf_g => useIntPacketBuf_g, useRmii_g => useRmii_g, useRxIntPktBuf_g => useRxIntPacketBuf_g, m_burstcount_width_g => m_burstcount_width_g, m_burstcount_const_g => m_burstcount_const_g, m_data_width_g => m_data_width_g, m_tx_fifo_size_g => m_tx_fifo_size_g, m_rx_fifo_size_g => m_rx_fifo_size_g, m_tx_burst_size_g => m_tx_burst_size_g, m_rx_burst_size_g => m_rx_burst_size_g, genSmiIO => genSmiIO, gNumSmi => gNumSmi, genPhyActLed_g => genLedGadget_g, gen_dma_observer_g => gen_dma_observer_g ) port map( clk => clk50, clkx2 => clkEth, pkt_clk => pkt_clk, m_clk => m_clk, rst => rst, m_address => m_address, m_burstcount => m_burstcount, m_burstcounter => m_burstcounter, m_byteenable => m_byteenable, m_read => m_read, m_readdata => m_readdata, m_readdatavalid => m_readdatavalid, m_write => m_write, m_writedata => m_writedata, m_waitrequest => m_waitrequest, mac_rx_irq => open, mac_tx_irq => open, act_led => phyAct(0), phy0_rst_n => phy0_Rst_n, phy0_rx_dat => phy0_RxDat, phy0_rx_dv => phy0_RxDv, phy0_rx_err => phy0_RxErr, phy0_smi_clk => phy0_SMICLK, phy0_smi_dio => phy0_SMIDat, phy0_smi_dio_I => phy0_SMIDat_I, phy0_smi_dio_O => phy0_SMIDat_O, phy0_smi_dio_T => phy0_SMIDat_T, phy0_tx_dat => phy0_TxDat, phy0_tx_en => phy0_TxEn, phy1_rst_n => phy1_Rst_n, phy1_rx_dat => phy1_RxDat, phy1_rx_dv => phy1_RxDv, phy1_rx_err => phy1_RxErr, phy1_smi_clk => phy1_SMICLK, phy1_smi_dio => phy1_SMIDat, phy1_smi_dio_I => phy1_SMIDat_I, phy1_smi_dio_O => phy1_SMIDat_O, phy1_smi_dio_T => phy1_SMIDat_T, phy1_tx_dat => phy1_TxDat, phy1_tx_en => phy1_TxEn, phyMii0_rx_clk => phyMii0_RxClk, phyMii0_rx_dat => phyMii0_RxDat, phyMii0_rx_dv => phyMii0_RxDv, phyMii0_rx_err => phyMii0_RxEr, phyMii0_tx_clk => phyMii0_TxClk, phyMii0_tx_dat => phyMii0_TxDat, phyMii0_tx_en => phyMii0_TxEn, phyMii1_rx_clk => phyMii1_RxClk, phyMii1_rx_dat => phyMii1_RxDat, phyMii1_rx_dv => phyMii1_RxDv, phyMii1_rx_err => phyMii1_RxEr, phyMii1_tx_clk => phyMii1_TxClk, phyMii1_tx_dat => phyMii1_TxDat, phyMii1_tx_en => phyMii1_TxEn, phy_rst_n => phy_Rst_n, phy_smi_clk => phy_SMIClk, phy_smi_dio_I => phy_SMIDat_I, phy_smi_dio_O => phy_SMIDat_O, phy_smi_dio_T => phy_SMIDat_T, phy_smi_dio => phy_SMIDat, pkt_address => mbf_address, pkt_byteenable => mbf_byteenable, pkt_chipselect => mbf_chipselect, pkt_read => mbf_read, pkt_readdata => mbf_readdata, pkt_waitrequest => mbf_waitrequest, pkt_write => mbf_write, pkt_writedata => mbf_writedata, s_address => mac_address, s_byteenable => mac_byteenable, s_chipselect => mac_chipselect, s_irq => mac_irq, s_read => mac_read, s_readdata => mac_readdata, s_waitrequest => mac_waitrequest, s_write => mac_write, s_writedata => mac_writedata, t_address => tcp_address, t_byteenable => tcp_byteenable, t_chipselect => tcp_chipselect, t_irq => tcp_irq, t_read => tcp_read, t_readdata => tcp_readdata, t_tog => irqToggle, t_waitrequest => tcp_waitrequest, t_write => tcp_write, t_writedata => tcp_writedata ); phyAct(1) <= phyAct(0); -- ------------------------------------------------------------------------------------------------------------------------ end rtl;
-- median_filter.vhd -- Jan Viktorin <[email protected]> -- Copyright (C) 2011, 2012 Jan Viktorin library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library utils_v1_00_a; use utils_v1_00_a.ctl_bypass; --- -- Performs median filtering on RGB window bus. The window -- must be create by another unit. Median filter sorts the -- values in the window and outputs its median. --- entity median_filter is generic ( MATRIX_SIZE : integer := 3 ); port ( CLK : in std_logic; CE : in std_logic; WIN_R : in std_logic_vector((MATRIX_SIZE ** 2) * 8 - 1 downto 0); WIN_G : in std_logic_vector((MATRIX_SIZE ** 2) * 8 - 1 downto 0); WIN_B : in std_logic_vector((MATRIX_SIZE ** 2) * 8 - 1 downto 0); WIN_DE : in std_logic_vector((MATRIX_SIZE ** 2) - 1 downto 0); WIN_HS : in std_logic_vector((MATRIX_SIZE ** 2) - 1 downto 0); WIN_VS : in std_logic_vector((MATRIX_SIZE ** 2) - 1 downto 0); OUT_R : out std_logic_vector(7 downto 0); OUT_G : out std_logic_vector(7 downto 0); OUT_B : out std_logic_vector(7 downto 0); OUT_DE : out std_logic; OUT_HS : out std_logic; OUT_VS : out std_logic ); end entity; --- -- Implementation uses unit median9 with bitonic sorter. -- It does not care about the borders it just uses the -- incoming values. Thus the unit can be improved by -- using eg. zero values on window fields with DE = '0'. -- -- This implementation is for 3x3 windows only. --- architecture median9_filter of median_filter is signal median_r : std_logic_vector(7 downto 0); signal median_g : std_logic_vector(7 downto 0); signal median_b : std_logic_vector(7 downto 0); begin median_r_i : entity work.median9 port map ( CLK => CLK, CE => CE, DI => WIN_R, DO => median_r ); median_g_i : entity work.median9 port map ( CLK => CLK, CE => CE, DI => WIN_G, DO => median_g ); median_b_i : entity work.median9 port map ( CLK => CLK, CE => CE, DI => WIN_B, DO => median_b ); ctl_bypass_i : entity utils_v1_00_a.ctl_bypass generic map ( DWIDTH => 3, DEPTH => 8 ) port map ( CLK => CLK, CE => CE, DI(0) => WIN_DE(4), DI(1) => WIN_HS(4), DI(2) => WIN_VS(4), DO(0) => OUT_DE, DO(1) => OUT_HS, DO(2) => OUT_VS ); OUT_R <= median_r; OUT_G <= median_g; OUT_B <= median_b; end architecture;
entity array1 is end entity; architecture test of array1 is type matrix_t is array (integer range <>, integer range <>) of integer; constant c : matrix_t(0 to 1, 0 to 1) := ( ( 1, 2 ), ( 3, 4 ) ); begin process is variable m : matrix_t(1 to 3, 1 to 3) := ( ( 1, 2, 3 ), ( 4, 5, 6 ), ( 7, 8, 9 ) ); begin report integer'image(m(1, 3)); report integer'image(m(2, 2)); assert m(2, 2) = 5; assert m(3, 1) = 7; report integer'image(c(1, 0)); assert c(1, 0) = 3; wait; end process; end architecture;
entity array1 is end entity; architecture test of array1 is type matrix_t is array (integer range <>, integer range <>) of integer; constant c : matrix_t(0 to 1, 0 to 1) := ( ( 1, 2 ), ( 3, 4 ) ); begin process is variable m : matrix_t(1 to 3, 1 to 3) := ( ( 1, 2, 3 ), ( 4, 5, 6 ), ( 7, 8, 9 ) ); begin report integer'image(m(1, 3)); report integer'image(m(2, 2)); assert m(2, 2) = 5; assert m(3, 1) = 7; report integer'image(c(1, 0)); assert c(1, 0) = 3; wait; end process; end architecture;
entity array1 is end entity; architecture test of array1 is type matrix_t is array (integer range <>, integer range <>) of integer; constant c : matrix_t(0 to 1, 0 to 1) := ( ( 1, 2 ), ( 3, 4 ) ); begin process is variable m : matrix_t(1 to 3, 1 to 3) := ( ( 1, 2, 3 ), ( 4, 5, 6 ), ( 7, 8, 9 ) ); begin report integer'image(m(1, 3)); report integer'image(m(2, 2)); assert m(2, 2) = 5; assert m(3, 1) = 7; report integer'image(c(1, 0)); assert c(1, 0) = 3; wait; end process; end architecture;
entity array1 is end entity; architecture test of array1 is type matrix_t is array (integer range <>, integer range <>) of integer; constant c : matrix_t(0 to 1, 0 to 1) := ( ( 1, 2 ), ( 3, 4 ) ); begin process is variable m : matrix_t(1 to 3, 1 to 3) := ( ( 1, 2, 3 ), ( 4, 5, 6 ), ( 7, 8, 9 ) ); begin report integer'image(m(1, 3)); report integer'image(m(2, 2)); assert m(2, 2) = 5; assert m(3, 1) = 7; report integer'image(c(1, 0)); assert c(1, 0) = 3; wait; end process; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1348.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s04b01x00p07n01i01348ent IS END c08s04b01x00p07n01i01348ent; ARCHITECTURE c08s04b01x00p07n01i01348arch OF c08s04b01x00p07n01i01348ent IS -- Local signals. signal S : BIT := '0'; BEGIN TESTING: PROCESS -- local variables. variable S_INITIAL : BIT; variable ShouldBeTime : TIME; variable k : integer := 0; BEGIN -- 0. Keep around the initial value of S. S_INITIAL := S; -- 1. When no preemption necessary, verify the results. S <= transport (not S) after 10 ns, (S) after 20 ns; -- a. Wait for first transaction. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Wait for second transaction. ShouldBeTime := NOW + 10 ns; wait on S; assert (ShouldBeTime = NOW); assert (S = S_INITIAL); -- 2. Preempt a transaction which is to occur at the same time as second one. S_INITIAL := S; S <= transport (S) after 10 ns; S <= transport (not S) after 10 ns; -- Should preempt first transaction. -- a. Verify that the second transaction comes as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Verify that the first transaction has been preempted. ShouldBeTime := NOW + 10 ns; wait on S for 10 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); -- 3. Preempt a transaction which is to occur at a later time than second one. S_INITIAL := S; S <= transport (S) after 15 ns; S <= transport (not S) after 10 ns; -- Should preempt first transaction. -- a. Verify that the second transaction comes as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Verify that the first transaction has been preempted. ShouldBeTime := NOW + 10 ns; wait on S for 10 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); -- 4. Preempt multiple transactions. S_INITIAL := S; S <= transport (S) after 15 ns, (not S) after 30 ns; S <= transport (not S) after 10 ns, (S) after 20 ns; -- a. Verify that the second transactions come as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = S_INITIAL); -- b. Verify that the first transactions have been preempted. ShouldBeTime := NOW + 40 ns; wait on S for 40 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); assert NOT( k=0 ) report "***PASSED TEST: c08s04b01x00p07n01i01348" severity NOTE; assert ( k=0 ) report "***FAILED TEST: c08s04b01x00p07n01i01348 - The sequence of transactions is used to update the projected output waveform representing the current and future values of the driver associated with the signal assignment statement." severity ERROR; wait; END PROCESS TESTING; END c08s04b01x00p07n01i01348arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1348.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s04b01x00p07n01i01348ent IS END c08s04b01x00p07n01i01348ent; ARCHITECTURE c08s04b01x00p07n01i01348arch OF c08s04b01x00p07n01i01348ent IS -- Local signals. signal S : BIT := '0'; BEGIN TESTING: PROCESS -- local variables. variable S_INITIAL : BIT; variable ShouldBeTime : TIME; variable k : integer := 0; BEGIN -- 0. Keep around the initial value of S. S_INITIAL := S; -- 1. When no preemption necessary, verify the results. S <= transport (not S) after 10 ns, (S) after 20 ns; -- a. Wait for first transaction. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Wait for second transaction. ShouldBeTime := NOW + 10 ns; wait on S; assert (ShouldBeTime = NOW); assert (S = S_INITIAL); -- 2. Preempt a transaction which is to occur at the same time as second one. S_INITIAL := S; S <= transport (S) after 10 ns; S <= transport (not S) after 10 ns; -- Should preempt first transaction. -- a. Verify that the second transaction comes as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Verify that the first transaction has been preempted. ShouldBeTime := NOW + 10 ns; wait on S for 10 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); -- 3. Preempt a transaction which is to occur at a later time than second one. S_INITIAL := S; S <= transport (S) after 15 ns; S <= transport (not S) after 10 ns; -- Should preempt first transaction. -- a. Verify that the second transaction comes as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Verify that the first transaction has been preempted. ShouldBeTime := NOW + 10 ns; wait on S for 10 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); -- 4. Preempt multiple transactions. S_INITIAL := S; S <= transport (S) after 15 ns, (not S) after 30 ns; S <= transport (not S) after 10 ns, (S) after 20 ns; -- a. Verify that the second transactions come as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = S_INITIAL); -- b. Verify that the first transactions have been preempted. ShouldBeTime := NOW + 40 ns; wait on S for 40 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); assert NOT( k=0 ) report "***PASSED TEST: c08s04b01x00p07n01i01348" severity NOTE; assert ( k=0 ) report "***FAILED TEST: c08s04b01x00p07n01i01348 - The sequence of transactions is used to update the projected output waveform representing the current and future values of the driver associated with the signal assignment statement." severity ERROR; wait; END PROCESS TESTING; END c08s04b01x00p07n01i01348arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1348.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s04b01x00p07n01i01348ent IS END c08s04b01x00p07n01i01348ent; ARCHITECTURE c08s04b01x00p07n01i01348arch OF c08s04b01x00p07n01i01348ent IS -- Local signals. signal S : BIT := '0'; BEGIN TESTING: PROCESS -- local variables. variable S_INITIAL : BIT; variable ShouldBeTime : TIME; variable k : integer := 0; BEGIN -- 0. Keep around the initial value of S. S_INITIAL := S; -- 1. When no preemption necessary, verify the results. S <= transport (not S) after 10 ns, (S) after 20 ns; -- a. Wait for first transaction. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Wait for second transaction. ShouldBeTime := NOW + 10 ns; wait on S; assert (ShouldBeTime = NOW); assert (S = S_INITIAL); -- 2. Preempt a transaction which is to occur at the same time as second one. S_INITIAL := S; S <= transport (S) after 10 ns; S <= transport (not S) after 10 ns; -- Should preempt first transaction. -- a. Verify that the second transaction comes as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Verify that the first transaction has been preempted. ShouldBeTime := NOW + 10 ns; wait on S for 10 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); -- 3. Preempt a transaction which is to occur at a later time than second one. S_INITIAL := S; S <= transport (S) after 15 ns; S <= transport (not S) after 10 ns; -- Should preempt first transaction. -- a. Verify that the second transaction comes as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); -- b. Verify that the first transaction has been preempted. ShouldBeTime := NOW + 10 ns; wait on S for 10 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); -- 4. Preempt multiple transactions. S_INITIAL := S; S <= transport (S) after 15 ns, (not S) after 30 ns; S <= transport (not S) after 10 ns, (S) after 20 ns; -- a. Verify that the second transactions come as expected. ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= not S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = (not S_INITIAL)); ShouldBeTime := NOW + 10 ns; wait on S; if (ShouldBeTime /= now or S /= S_INITIAL) then k := 1; end if; assert (ShouldBeTime = NOW); assert (S = S_INITIAL); -- b. Verify that the first transactions have been preempted. ShouldBeTime := NOW + 40 ns; wait on S for 40 ns; if (ShouldBeTime /= now) then k := 1; end if; assert (ShouldBeTime = NOW); assert NOT( k=0 ) report "***PASSED TEST: c08s04b01x00p07n01i01348" severity NOTE; assert ( k=0 ) report "***FAILED TEST: c08s04b01x00p07n01i01348 - The sequence of transactions is used to update the projected output waveform representing the current and future values of the driver associated with the signal assignment statement." severity ERROR; wait; END PROCESS TESTING; END c08s04b01x00p07n01i01348arch;
-- Projeto gerado via script. -- Data: Sex,30/12/2011-23:36:18 -- Autor: rogerio -- Comentario: Descrição da Entidade: inversor. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity inversor is port (a: in std_logic; y: out std_logic); end inversor; architecture logica of inversor is begin -- Comandos. y <= not a; end logica;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2013 Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library micron; use micron.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := true; USE_MIG_INTERFACE_MODEL : boolean := false ); end; architecture behav of testbench is -- DDR3 Simulation parameters constant SIM_BYPASS_INIT_CAL : string := "FAST"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence constant SIMULATION : string := "TRUE"; -- Should be TRUE during design simulations and -- FALSE during implementations constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; signal address : std_logic_vector(25 downto 0); signal data : std_logic_vector(15 downto 0); signal button : std_logic_vector(3 downto 0) := "0000"; signal genio : std_logic_vector(59 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal adv : std_logic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal txd1 , rxd1 , dsurx : std_logic; signal txd2 , rxd2 , dsutx : std_logic; signal ctsn1 , rtsn1 , dsuctsn : std_ulogic; signal ctsn2 , rtsn2 , dsurtsn : std_ulogic; signal phy_gtxclk : std_logic := '0'; signal phy_txer : std_ulogic; signal phy_txd : std_logic_vector(7 downto 0); signal phy_txctl_txen : std_ulogic; signal phy_txclk : std_ulogic; signal phy_rxer : std_ulogic; signal phy_rxd : std_logic_vector(7 downto 0); signal phy_rxctl_rxdv : std_ulogic; signal phy_rxclk : std_ulogic; signal phy_reset : std_ulogic; signal phy_mdio : std_logic; signal phy_mdc : std_ulogic; signal phy_crs : std_ulogic; signal phy_col : std_ulogic; signal phy_int : std_ulogic; signal phy_rxdl : std_logic_vector(7 downto 0); signal phy_txdl : std_logic_vector(7 downto 0); signal clk27 : std_ulogic := '0'; signal clk200p : std_ulogic := '0'; signal clk200n : std_ulogic := '1'; signal clk33 : std_ulogic := '0'; signal clkethp : std_ulogic := '0'; signal clkethn : std_ulogic := '1'; signal txp1 : std_logic; signal txn : std_logic; signal rxp : std_logic := '1'; signal rxn : std_logic := '0'; signal iic_scl : std_ulogic; signal iic_sda : std_ulogic; signal ddc_scl : std_ulogic; signal ddc_sda : std_ulogic; signal dvi_iic_scl : std_logic; signal dvi_iic_sda : std_logic; signal tft_lcd_data : std_logic_vector(11 downto 0); signal tft_lcd_clk_p : std_ulogic; signal tft_lcd_clk_n : std_ulogic; signal tft_lcd_hsync : std_ulogic; signal tft_lcd_vsync : std_ulogic; signal tft_lcd_de : std_ulogic; signal tft_lcd_reset_b : std_ulogic; -- DDR3 memory signal ddr3_dq : std_logic_vector(63 downto 0); signal ddr3_dqs_p : std_logic_vector(7 downto 0); signal ddr3_dqs_n : std_logic_vector(7 downto 0); signal ddr3_addr : std_logic_vector(13 downto 0); signal ddr3_ba : std_logic_vector(2 downto 0); signal ddr3_ras_n : std_logic; signal ddr3_cas_n : std_logic; signal ddr3_we_n : std_logic; signal ddr3_reset_n : std_logic; signal ddr3_ck_p : std_logic_vector(0 downto 0); signal ddr3_ck_n : std_logic_vector(0 downto 0); signal ddr3_cke : std_logic_vector(0 downto 0); signal ddr3_cs_n : std_logic_vector(0 downto 0); signal ddr3_dm : std_logic_vector(7 downto 0); signal ddr3_odt : std_logic_vector(0 downto 0); -- SPI flash signal spi_sel_n : std_ulogic; signal spi_clk : std_ulogic; signal spi_mosi : std_ulogic; signal dsurst : std_ulogic; signal errorn : std_logic; signal switch : std_logic_vector(3 downto 0); -- I/O port signal led : std_logic_vector(6 downto 0); -- I/O port constant lresp : boolean := false; signal tdqs_n : std_logic; signal gmii_tx_clk : std_logic; signal gmii_rx_clk : std_logic; signal gmii_txd : std_logic_vector(7 downto 0); signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_rxd : std_logic_vector(7 downto 0); signal gmii_rx_dv : std_logic; signal gmii_rx_er : std_logic; component leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := false; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false ); port ( reset : in std_ulogic; clk200p : in std_ulogic; -- 200 MHz clock clk200n : in std_ulogic; -- 200 MHz clock address : out std_logic_vector(25 downto 0); data : inout std_logic_vector(15 downto 0); oen : out std_ulogic; writen : out std_ulogic; romsn : out std_logic; adv : out std_logic; ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); dsurx : in std_ulogic; dsutx : out std_ulogic; dsuctsn : in std_ulogic; dsurtsn : out std_ulogic; button : in std_logic_vector(3 downto 0); switch : inout std_logic_vector(3 downto 0); led : out std_logic_vector(6 downto 0); iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; gtrefclk_p : in std_logic; gtrefclk_n : in std_logic; phy_gtxclk : out std_logic; --phy_txer : out std_ulogic; phy_txd : out std_logic_vector(3 downto 0); phy_txctl_txen : out std_ulogic; --phy_txclk : in std_ulogic; --phy_rxer : in std_ulogic; phy_rxd : in std_logic_vector(3 downto 0); phy_rxctl_rxdv : in std_ulogic; phy_rxclk : in std_ulogic; phy_reset : out std_ulogic; phy_mdio : inout std_logic; phy_mdc : out std_ulogic; phy_int : in std_ulogic ); end component; component ddr3_model generic( ADDR_BITS : integer := 14; BA_BITS : integer := 3; DM_BITS : integer := 1; DQ_BITS : integer := 8; DQS_BITS : integer := 1 ); port( rst_n : in std_logic; ck : in std_logic; ck_n : in std_logic; cke : in std_logic; cs_n : in std_logic; ras_n : in std_logic; cas_n : in std_logic; we_n : in std_logic; dm_tdqs : inout std_logic; ba : in std_logic_vector(2 downto 0); addr : in std_logic_vector(13 downto 0); dq : inout std_logic_vector(7 downto 0); dqs : inout std_logic; dqs_n : inout std_logic; tdqs_n : out std_logic_vector(0 to 0); odt : in std_logic ); end component; begin -- clock and reset clk200p <= not clk200p after 2.5 ns; clk200n <= not clk200n after 2.5 ns; clkethp <= not clkethp after 4 ns; clkethn <= not clkethp after 4 ns; rst <= not dsurst; rxd1 <= 'H'; ctsn1 <= '0'; rxd2 <= 'H'; ctsn2 <= '0'; button <= "0000"; switch(2 downto 0) <= "000"; cpu : leon3mp generic map ( fabtech => fabtech, memtech => memtech, padtech => padtech, clktech => clktech, disas => disas, dbguart => dbguart, pclow => pclow, testahb => testahb, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL ) port map ( reset => rst, clk200p => clk200p, clk200n => clk200n, address => address, data => data, oen => oen, writen => writen, romsn => romsn, adv => adv, ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, dsurx => dsurx, dsutx => dsutx, dsuctsn => dsuctsn, dsurtsn => dsurtsn, button => button, switch => switch, led => led, iic_scl => iic_scl, iic_sda => iic_sda, gtrefclk_p => clkethp, gtrefclk_n => clkethn, phy_gtxclk => phy_gtxclk, --phy_txer => phy_txer, phy_txd => phy_txd(3 downto 0), phy_txctl_txen => phy_txctl_txen, --phy_txclk => phy_txclk, --phy_rxer => phy_rxer, phy_rxd => phy_rxd(3 downto 0), phy_rxctl_rxdv => phy_rxctl_rxdv, phy_rxclk => phy_rxclk'delayed(1 ns), phy_reset => phy_reset, phy_mdio => phy_mdio, phy_mdc => phy_mdc, phy_int => phy_int ); prom0 : for i in 0 to 1 generate sr0 : sram generic map (index => i+4, abits => 22, fname => promfile) port map (address(21 downto 0), data(15-i*8 downto 8-i*8), romsn, writen, oen); end generate; -- Memory Models instantiations gen_mem_model : if (USE_MIG_INTERFACE_MODEL /= true) generate ddr3mem : if (CFG_MIG_SERIES7 = 1) generate gen_mem: for i in 0 to 7 generate u1: ddr3_model generic map( ADDR_BITS => 14, BA_BITS => 3, DM_BITS => 1, DQ_BITS => 8, DQS_BITS => 1 ) port map ( rst_n => ddr3_reset_n, ck => ddr3_ck_p(0), ck_n => ddr3_ck_n(0), cke => ddr3_cke(0), cs_n => ddr3_cs_n(0), ras_n => ddr3_ras_n, cas_n => ddr3_cas_n, we_n => ddr3_we_n, dm_tdqs => ddr3_dm(i), ba => ddr3_ba, addr => ddr3_addr, dq => ddr3_dq((8*i+7) downto (8*i)), dqs => ddr3_dqs_p(i), dqs_n => ddr3_dqs_n(i), tdqs_n => open, odt => ddr3_odt(0) ); end generate gen_mem; end generate ddr3mem; end generate gen_mem_model; mig_mem_model : if (USE_MIG_INTERFACE_MODEL = true) generate ddr3_dq <= (others => 'Z'); ddr3_dqs_p <= (others => 'Z'); ddr3_dqs_n <= (others => 'Z'); end generate mig_mem_model; errorn <= led(1); errorn <= 'H'; -- ERROR pull-up phy0 : if (CFG_GRETH = 1) generate phy_mdio <= 'H'; phy_int <= '0'; p0: phy generic map (address => 7) port map(phy_reset, phy_mdio, phy_txclk, phy_rxclk, phy_rxd, phy_rxctl_rxdv, phy_rxer, phy_col, phy_crs, phy_txd, phy_txctl_txen, phy_txer, phy_mdc, phy_gtxclk); end generate; iuerr : process begin wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation wait on led(3); -- DDR3 Memory Init ready wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; -- this should be a failure end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; switch(3) <= '0'; wait for 2500 ns; wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation dsurst <= '1'; switch(3) <= '1'; if (USE_MIG_INTERFACE_MODEL /= true) then wait on led(3); -- Wait for DDR3 Memory Init ready end if; report "Start DSU transfer"; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- Reads from memory and DSU register to mimic GRMON during simulation l1 : loop txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU read memory " & tost(w32); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU Break and Single Step register" & tost(w32); end loop l1; wait; -- ** This is only kept for reference -- -- do test read and writes to DDR3 to check status -- Write txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#01#, 16#23#, 16#45#, 16#67#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); txa(dsutx, 16#89#, 16#AB#, 16#CD#, 16#EF#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); txa(dsutx, 16#08#, 16#19#, 16#2A#, 16#3B#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); txa(dsutx, 16#4C#, 16#5D#, 16#6E#, 16#7F#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); report "* Read " & tost(w32); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); rxi(dsurx, w32, txp, lresp); wait; -- Register 0x90000000 (DSU Control Register) -- Data 0x0000202e (b0010 0000 0010 1110) -- [0] - Trace Enable -- [1] - Break On Error -- [2] - Break on IU watchpoint -- [3] - Break on s/w break points -- -- [4] - (Break on trap) -- [5] - Break on error traps -- [6] - Debug mode (Read mode only) -- [7] - DSUEN (read mode) -- -- [8] - DSUBRE (read mode) -- [9] - Processor mode error (clears error) -- [10] - processor halt (returns 1 if processor halted) -- [11] - power down mode (return 1 if processor in power down mode) txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#80#, 16#02#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; end; begin dsuctsn <= '0'; dsucfg(dsutx, dsurx); wait; end process; end ;
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Volume_Pregain_Top_Module is generic( INTBIT_WIDTH : integer; FRACBIT_WIDTH : integer ); port( OUT_VOLCTRL_L : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_VOLCTRL_R : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_RDY : out STD_LOGIC; IN_SIG_L : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_SIG_R : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF_L : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 IN_COEF_R : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 CLK_100mhz : in STD_LOGIC; RESET : in STD_LOGIC ); end Volume_Pregain_Top_Module; architecture Behavioral of Volume_Pregain_Top_Module is component AmplifierFP generic ( INTBIT_WIDTH : integer; FRACBIT_WIDTH : integer); port( CLK : in std_logic; RESET : in std_logic; IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 OUT_AMP : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifier output OUT_RDY : out std_logic ); end component; signal AMP_OUT_L, AMP_OUT_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal VOLCTRL_L, VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal volctrl_ready_l : std_logic := '0'; signal volctrl_ready_r : std_logic := '0'; begin AmplifierFP_L : AmplifierFP generic map( INTBIT_WIDTH => INTBIT_WIDTH, FRACBIT_WIDTH => FRACBIT_WIDTH )port map( CLK => CLK_100mhz, RESET => RESET, IN_SIG => IN_SIG_L, IN_COEF => IN_COEF_L, OUT_AMP => AMP_OUT_L, OUT_RDY => volctrl_ready_l ); AmplifierFP_R : AmplifierFP generic map( INTBIT_WIDTH => INTBIT_WIDTH, FRACBIT_WIDTH => FRACBIT_WIDTH )port map( CLK => CLK_100mhz, RESET => RESET, IN_SIG => IN_SIG_R, IN_COEF => IN_COEF_R, OUT_AMP => AMP_OUT_R, OUT_RDY => volctrl_ready_r ); seq_proc : process(CLK_100mhz) begin if (CLK_100mhz'event and CLK_100mhz = '1') then -- update the ready signal when new values gets written to the buffer if (volctrl_ready_l = '1') then VOLCTRL_L <= AMP_OUT_L; end if; if (volctrl_ready_r = '1') then VOLCTRL_R <= AMP_OUT_R; end if; end if; end process; OUT_RDY <= volctrl_ready_l or volctrl_ready_r; OUT_VOLCTRL_L <= VOLCTRL_L; OUT_VOLCTRL_R <= VOLCTRL_R; end Behavioral;
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Volume_Pregain_Top_Module is generic( INTBIT_WIDTH : integer; FRACBIT_WIDTH : integer ); port( OUT_VOLCTRL_L : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_VOLCTRL_R : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_RDY : out STD_LOGIC; IN_SIG_L : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_SIG_R : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF_L : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 IN_COEF_R : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 CLK_100mhz : in STD_LOGIC; RESET : in STD_LOGIC ); end Volume_Pregain_Top_Module; architecture Behavioral of Volume_Pregain_Top_Module is component AmplifierFP generic ( INTBIT_WIDTH : integer; FRACBIT_WIDTH : integer); port( CLK : in std_logic; RESET : in std_logic; IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 OUT_AMP : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifier output OUT_RDY : out std_logic ); end component; signal AMP_OUT_L, AMP_OUT_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal VOLCTRL_L, VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal volctrl_ready_l : std_logic := '0'; signal volctrl_ready_r : std_logic := '0'; begin AmplifierFP_L : AmplifierFP generic map( INTBIT_WIDTH => INTBIT_WIDTH, FRACBIT_WIDTH => FRACBIT_WIDTH )port map( CLK => CLK_100mhz, RESET => RESET, IN_SIG => IN_SIG_L, IN_COEF => IN_COEF_L, OUT_AMP => AMP_OUT_L, OUT_RDY => volctrl_ready_l ); AmplifierFP_R : AmplifierFP generic map( INTBIT_WIDTH => INTBIT_WIDTH, FRACBIT_WIDTH => FRACBIT_WIDTH )port map( CLK => CLK_100mhz, RESET => RESET, IN_SIG => IN_SIG_R, IN_COEF => IN_COEF_R, OUT_AMP => AMP_OUT_R, OUT_RDY => volctrl_ready_r ); seq_proc : process(CLK_100mhz) begin if (CLK_100mhz'event and CLK_100mhz = '1') then -- update the ready signal when new values gets written to the buffer if (volctrl_ready_l = '1') then VOLCTRL_L <= AMP_OUT_L; end if; if (volctrl_ready_r = '1') then VOLCTRL_R <= AMP_OUT_R; end if; end if; end process; OUT_RDY <= volctrl_ready_l or volctrl_ready_r; OUT_VOLCTRL_L <= VOLCTRL_L; OUT_VOLCTRL_R <= VOLCTRL_R; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; use work.cart_slot_pkg.all; use work.io_bus_pkg.all; use work.io_bus_bfm_pkg.all; use work.command_if_pkg.all; library std; use std.textio.all; entity harness_logic_32 is end entity; architecture tb of harness_logic_32 is constant c_uart_divisor : natural := 50; signal PHI2 : std_logic := '0'; signal RSTn : std_logic := 'H'; signal DOTCLK : std_logic := '1'; signal BUFFER_ENn : std_logic := '1'; signal BA : std_logic := '0'; signal DMAn : std_logic := '1'; signal EXROMn : std_logic; signal GAMEn : std_logic; signal ROMHn : std_logic := '1'; signal ROMLn : std_logic := '1'; signal IO1n : std_logic := '1'; signal IO2n : std_logic := '1'; signal IRQn : std_logic := '1'; signal NMIn : std_logic := '1'; signal PWM_OUT : std_logic_vector(1 downto 0); signal IEC_ATN : std_logic := '1'; signal IEC_DATA : std_logic := '1'; signal IEC_CLOCK : std_logic := '1'; signal IEC_RESET : std_logic := '1'; signal IEC_SRQ_IN : std_logic := '1'; signal iec_atn_o : std_logic := '1'; signal iec_data_o : std_logic := '1'; signal iec_clock_o : std_logic := '1'; signal iec_reset_o : std_logic := '1'; signal iec_srq_o : std_logic := '1'; signal DISK_ACTn : std_logic; -- activity LED signal CART_LEDn : std_logic; signal SDACT_LEDn : std_logic; signal MOTOR_LEDn : std_logic; signal UART_TXD : std_logic; signal UART_RXD : std_logic := '1'; signal SD_SSn : std_logic; signal SD_CLK : std_logic; signal SD_MOSI : std_logic; signal SD_MISO : std_logic := '1'; signal SD_WP : std_logic := '1'; signal SD_CARDDETn : std_logic := '1'; signal SD_DATA : std_logic_vector(2 downto 1) := "HH"; signal BUTTON : std_logic_vector(2 downto 0) := "000"; signal SLOT_ADDR : std_logic_vector(15 downto 0); signal SLOT_DATA : std_logic_vector(7 downto 0); signal RWn : std_logic := '1'; signal CAS_MOTOR : std_logic := '1'; signal CAS_SENSE : std_logic := '0'; signal CAS_READ : std_logic := '0'; signal CAS_WRITE : std_logic := '0'; signal RTC_CS : std_logic; signal RTC_SCK : std_logic; signal RTC_MOSI : std_logic; signal RTC_MISO : std_logic := '1'; signal FLASH_CSn : std_logic; signal FLASH_SCK : std_logic; signal FLASH_MOSI : std_logic; signal FLASH_MISO : std_logic := '1'; signal ULPI_CLOCK : std_logic := '0'; signal ULPI_RESET : std_logic := '0'; signal ULPI_NXT : std_logic := '0'; signal ULPI_STP : std_logic; signal ULPI_DIR : std_logic := '0'; signal ULPI_DATA : std_logic_vector(7 downto 0) := (others => 'H'); signal sys_clock : std_logic := '1'; signal sys_reset : std_logic := '1'; signal sys_clock_2x : std_logic := '1'; signal rx_char : std_logic_vector(7 downto 0); signal rx_char_d : std_logic_vector(7 downto 0); signal rx_ack : std_logic; signal tx_char : std_logic_vector(7 downto 0) := X"00"; signal tx_done : std_logic; signal do_tx : std_logic := '0'; -- memory controller interconnect signal mem_inhibit : std_logic := '0'; signal mem_req : t_mem_req_32; signal mem_resp : t_mem_resp_32; signal io_req : t_io_req; signal io_resp : t_io_resp; signal CLOCK_50 : std_logic := '0'; signal SDRAM_CLK : std_logic; signal SDRAM_CKE : std_logic; signal SDRAM_CSn : std_logic := '1'; signal SDRAM_RASn : std_logic := '1'; signal SDRAM_CASn : std_logic := '1'; signal SDRAM_WEn : std_logic := '1'; signal SDRAM_DQM : std_logic := '0'; signal SDRAM_A : std_logic_vector(12 downto 0); signal SDRAM_BA : std_logic_vector(1 downto 0); signal SDRAM_DQ : std_logic_vector(7 downto 0) := (others => 'Z'); begin sys_clock <= not sys_clock after 10 ns; sys_clock_2x <= not sys_clock_2x after 5 ns; sys_reset <= '1', '0' after 100 ns; mut: entity work.ultimate_logic_32 generic map ( g_version => X"02", g_simulation => true, g_clock_freq => 50_000_000, g_baud_rate => 1_000_000, g_timer_rate => 200_000, g_boot_rom => false, g_video_overlay => false, g_icap => false, g_uart => true, g_drive_1541 => true, g_drive_1541_2 => false, g_hardware_gcr => true, g_cartridge => true, g_command_intf => true, g_stereo_sid => false, g_ram_expansion => true, g_extended_reu => false, g_hardware_iec => false, g_iec_prog_tim => false, g_c2n_streamer => false, g_c2n_recorder => false, g_drive_sound => true, g_rtc_chip => false, g_rtc_timer => false, g_usb_host => false, g_usb_host2 => true, g_spi_flash => true, g_vic_copper => false, g_sampler => false, g_profiler => true, g_analyzer => false ) port map ( sys_clock => sys_clock, sys_reset => sys_reset, ulpi_clock => ulpi_clock, ulpi_reset => ulpi_reset, PHI2 => PHI2, DOTCLK => DOTCLK, RSTn => RSTn, BUFFER_ENn => BUFFER_ENn, SLOT_ADDR => SLOT_ADDR, SLOT_DATA => SLOT_DATA, RWn => RWn, BA => BA, DMAn => DMAn, EXROMn => EXROMn, GAMEn => GAMEn, ROMHn => ROMHn, ROMLn => ROMLn, IO1n => IO1n, IO2n => IO2n, IRQn => IRQn, NMIn => NMIn, mem_inhibit => mem_inhibit, mem_req => mem_req, mem_resp => mem_resp, PWM_OUT => PWM_OUT, iec_reset_i => IEC_RESET, iec_atn_i => IEC_ATN, iec_data_i => IEC_DATA, iec_clock_i => IEC_CLOCK, iec_srq_i => IEC_SRQ_IN, iec_reset_o => iec_reset_o, iec_atn_o => iec_atn_o, iec_data_o => iec_data_o, iec_clock_o => iec_clock_o, iec_srq_o => iec_srq_o, BUTTON => BUTTON, DISK_ACTn => DISK_ACTn, CART_LEDn => CART_LEDn, SDACT_LEDn => SDACT_LEDn, MOTOR_LEDn => MOTOR_LEDn, UART_TXD => UART_TXD, UART_RXD => UART_RXD, SD_SSn => SD_SSn, SD_CLK => SD_CLK, SD_MOSI => SD_MOSI, SD_MISO => SD_MISO, SD_CARDDETn => SD_CARDDETn, SD_DATA => SD_DATA, RTC_CS => RTC_CS, RTC_SCK => RTC_SCK, RTC_MOSI => RTC_MOSI, RTC_MISO => RTC_MISO, FLASH_CSn => FLASH_CSn, FLASH_SCK => FLASH_SCK, FLASH_MOSI => FLASH_MOSI, FLASH_MISO => FLASH_MISO, ULPI_NXT => ULPI_NXT, ULPI_STP => ULPI_STP, ULPI_DIR => ULPI_DIR, ULPI_DATA => ULPI_DATA, CAS_MOTOR => CAS_MOTOR, CAS_SENSE => CAS_SENSE, CAS_READ => CAS_READ, CAS_WRITE => CAS_WRITE, sim_io_req => io_req, sim_io_resp => io_resp ); i_mem_ctrl: entity work.ext_mem_ctrl_v5 generic map ( g_simulation => false ) port map ( clock => sys_clock, clk_2x => sys_clock_2x, reset => sys_reset, inhibit => mem_inhibit, is_idle => open, req => mem_req, resp => mem_resp, SDRAM_CLK => SDRAM_CLK, SDRAM_CKE => SDRAM_CKE, SDRAM_CSn => SDRAM_CSn, SDRAM_RASn => SDRAM_RASn, SDRAM_CASn => SDRAM_CASn, SDRAM_WEn => SDRAM_WEn, SDRAM_DQM => SDRAM_DQM, SDRAM_BA => SDRAM_BA, SDRAM_A => SDRAM_A, SDRAM_DQ => SDRAM_DQ ); ULPI_CLOCK <= not ULPI_CLOCK after 8.333 ns; -- 60 MHz ULPI_RESET <= '1', '0' after 100 ns; PHI2 <= not PHI2 after 507.5 ns; -- 0.98525 MHz RSTn <= '0', 'H' after 6 us, '0' after 100 us, 'H' after 105 us; i_io_bfm: entity work.io_bus_bfm generic map ( g_name => "io_bfm" ) port map ( clock => sys_clock, req => io_req, resp => io_resp ); SLOT_DATA <= (others => 'H'); ROMHn <= '1'; ROMLn <= not PHI2 after 50 ns; IO1n <= '1'; IO2n <= '1'; process begin SLOT_ADDR <= X"7F00"; RWn <= '1'; while true loop wait until PHI2 = '0'; --SLOT_ADDR(8 downto 0) <= std_logic_vector(unsigned(SLOT_ADDR(8 downto 0)) + 1); SLOT_ADDR <= std_logic_vector(unsigned(SLOT_ADDR) + 1); RWn <= '1'; wait until PHI2 = '0'; RWn <= '0'; end loop; end process; process begin BA <= '1'; for i in 0 to 100 loop wait until PHI2='0'; end loop; BA <= '0'; for i in 0 to 10 loop wait until PHI2='0'; end loop; end process; ram: entity work.dram_8 generic map( g_cas_latency => 3, g_burst_len_r => 4, g_burst_len_w => 4, g_column_bits => 10, g_row_bits => 13, g_bank_bits => 2 ) port map( CLK => SDRAM_CLK, CKE => SDRAM_CKE, A => SDRAM_A, BA => SDRAM_BA, CSn => SDRAM_CSn, RASn => SDRAM_RASn, CASn => SDRAM_CASn, WEn => SDRAM_WEn, DQM => SDRAM_DQM, DQ => SDRAM_DQ ); -- i_ulpi_phy: entity work.ulpi_master_bfm -- generic map ( -- g_given_name => "device" ) -- -- port map ( -- clock => ULPI_CLOCK, -- reset => ULPI_RESET, -- ulpi_nxt => ulpi_nxt, -- ulpi_stp => ulpi_stp, -- ulpi_dir => ulpi_dir, -- ulpi_data => ulpi_data ); -- -- i_device: entity work.usb_device_model; i_rx: entity work.rx generic map (c_uart_divisor) port map ( clk => sys_clock, reset => sys_reset, rxd => UART_TXD, rxchar => rx_char, rx_ack => rx_ack ); i_tx: entity work.tx generic map (c_uart_divisor) port map ( clk => sys_clock, reset => sys_reset, dotx => do_tx, txchar => tx_char, done => tx_done, txd => UART_RXD ); process(sys_clock) variable s : line; variable char : character; begin if rising_edge(sys_clock) then if rx_ack='1' then rx_char_d <= rx_char; char := character'val(to_integer(unsigned(rx_char))); if rx_char = X"0D" then -- Ignore character 13 elsif rx_char = X"0A" then -- Writeline on character 10 (newline) writeline(output, s); else -- Write to buffer write(s, char); end if; end if; if mem_resp.rack = '1' and mem_req.address < 16 then report "Access to address " & integer'image(to_integer(mem_req.address)) severity error; end if; end if; end process; process variable io : p_io_bus_bfm_object; begin wait until sys_reset='0'; wait until sys_clock='1'; bind_io_bus_bfm("io_bfm", io); io_write(io, X"40000" + c_cart_c64_mode, X"04"); -- reset io_write(io, X"40000" + c_cart_cartridge_type, X"06"); -- retro io_write(io, X"40000" + c_cart_c64_mode, X"08"); -- unreset io_write(io, X"44000" + c_cif_io_slot_base, X"7E"); io_write(io, X"44000" + c_cif_io_slot_enable, X"01"); wait for 6 us; wait until sys_clock='1'; --io_write(io, X"42002", X"42"); wait; end process; process procedure send_char(i: std_logic_vector(7 downto 0)) is begin if tx_done /= '1' then wait until tx_done = '1'; end if; wait until sys_clock='1'; tx_char <= i; do_tx <= '1'; wait until tx_done = '0'; wait until sys_clock='1'; do_tx <= '0'; end procedure; procedure send_string(i : string) is variable b : std_logic_vector(7 downto 0); begin for n in i'range loop b := std_logic_vector(to_unsigned(character'pos(i(n)), 8)); send_char(b); end loop; send_char(X"0d"); send_char(X"0a"); end procedure; begin wait for 2 ms; --send_string("wd 4005000 12345678"); send_string("run"); -- send_string("m 100000"); -- send_string("w 400000F 4"); wait; end process; -- check timing data process(PHI2) begin if falling_edge(PHI2) then assert SLOT_DATA'last_event >= 189 ns report "Timing error on C64 bus." severity error; end if; end process; end tb;
architecture rtl of fifo is begin process begin var1 := '0' when rd_en = '1' else '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0' when rd_en = '1' else '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0'when rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
--------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:42:09 02/09/2013 -- Design Name: -- Module Name: Top - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.all; use IEEE.STD_LOGIC_UNSIGNED.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity TopRoland is port ( -- Standard 6847 signals -- -- expept DA which is now input only -- except nRP which re-purposed as a nWR DD : inout std_logic_vector (7 downto 0); DA : in std_logic_vector (12 downto 0); nMS : in std_logic; CSS : in std_logic; nFS : out std_logic; nWR : in std_logic; -- Was nRP AG : in std_logic; GM : in std_logic_vector (2 downto 0); -- 5 bit VGA Output R : out std_logic_vector (0 downto 0); G : out std_logic_vector (1 downto 0); B : out std_logic_vector (0 downto 0); HSYNC : out std_logic; VSYNC : out std_logic; -- 1 bit AUDIO Output AUDIO : out std_logic; -- Other GODIL specific pins clock49 : in std_logic; nRST : in std_logic; nBXXX : in std_logic; -- Jumpers -- Enabled SID Audio SIDEN : in std_logic; -- Moves SID from 9FE0 to BDC0 nSIDD : in std_logic; -- charSet charSet : in std_logic; -- Active low version of the SID Select Signal for disabling the external bus buffers -- nSIDSEL : out std_logic; -- PS/2 Mouse PS2_CLK : inout std_logic; PS2_DATA : inout std_logic; -- UART uart_TxD : out std_logic; uart_RxD : in std_logic; -- LEDs led8 : out std_logic; -- MISC CSO_B : out std_logic ); end TopRoland; architecture BEHAVIORAL of TopRoland is -- clock32 is the main clock signal clock32 : std_logic; -- clock25 is a full speed VGA clock signal clock25 : std_logic; -- clock15 is just used between two DCMs signal clock15 : std_logic; -- clock59 is just used between two DCMs signal clock59 : std_logic; -- Reset signal (active high) signal reset : std_logic; -- Reset signal to 6847 (active high), not currently used signal reset_vid : std_logic; -- pipelined versions of the address/data/write signals signal nWR1 : std_logic; signal nWR2 : std_logic; signal nMS1 : std_logic; signal nMS2 : std_logic; signal nWRMS1 : std_logic; signal nWRMS2 : std_logic; signal nBXXX1 : std_logic; signal nBXXX2 : std_logic; signal DA1 : std_logic_vector (12 downto 0); signal DA2 : std_logic_vector (12 downto 0); signal DD1 : std_logic_vector (7 downto 0); signal DD2 : std_logic_vector (7 downto 0); signal DD3 : std_logic_vector (7 downto 0); signal ram_we : std_logic; signal addr : std_logic_vector (12 downto 0); signal din : std_logic_vector (7 downto 0); -- Dout back to the Atom, that is either VRAM or SID signal dout : std_logic_vector (7 downto 0); -- SID sigmals signal sid_cs : std_logic; signal sid_we : std_logic; signal sid_audio : std_logic; -- UART sigmals signal uart_cs : std_logic; signal uart_we : std_logic; -- Atom extension register signals signal reg_cs : std_logic; signal reg_we : std_logic; signal final_red : std_logic; signal final_green1 : std_logic; signal final_green0 : std_logic; signal final_blue : std_logic; signal final_vsync : std_logic; signal final_hsync : std_logic; signal final_blank : std_logic; signal final_char_a : std_logic_vector (10 downto 0); signal locked1 : std_logic; signal locked2 : std_logic; signal locked3 : std_logic; signal locked4 : std_logic; -- Palette Signals signal palette_cs : std_logic; -- enable for #BD0x -- Colour palette registers signal palette_data : std_logic_vector(7 downto 0); signal logical_colour : std_logic_vector(3 downto 0); signal physical_colour : std_logic_vector(5 downto 0); type palette_type is array (0 to 15) of std_logic_vector(5 downto 0); signal palette : palette_type := ( 0 => "000000", 1 => "000011", 2 => "000100", 3 => "000111", 4 => "001000", 5 => "001011", 6 => "001100", 7 => "001111", 8 => "110000", 9 => "110011", 10 => "110100", 11 => "110111", 12 => "111000", 13 => "111011", 14 => "111100", 15 => "111111" ); begin reset <= not nRST; reset_vid <= '0'; -- Currently set at 49.152 * (31/26) * (3/7) = 25.1161318637MHz Inst_DCM1 : entity work.DCM1 port map ( CLKIN_IN => clock49, RST => '0', CLK0_OUT => clock59, CLK0_OUT1 => open, CLK2X_OUT => open, LOCKED => locked1 ); Inst_DCM2 : entity work.DCM2 port map ( CLKIN_IN => clock59, RST => not locked1, CLK0_OUT => clock25, CLK0_OUT1 => open, CLK2X_OUT => open, LOCKED => locked2 ); Inst_DCM3 : entity work.DCMSID0 port map ( CLKIN_IN => clock49, RST => '0', CLK0_OUT => clock15, CLK0_OUT1 => open, CLK2X_OUT => open, LOCKED => locked3 ); Inst_DCM4 : entity work.DCMSID1 port map ( CLKIN_IN => clock15, RST => not locked3, CLK0_OUT => clock32, CLK0_OUT1 => open, CLK2X_OUT => open, LOCKED => locked4 ); led8 <= not (locked1 and locked2 and locked3 and locked4); Inst_AtomGodilVideo : entity work.AtomGodilVideo generic map ( CImplGraphicsExt => true, CImplSoftChar => true, CImplSID => true, CImplVGA80x40 => true, CImplHWScrolling => true, CImplMouse => true, CImplUart => true, CImplDoubleVideo => true, MainClockSpeed => 32000000, DefaultBaud => 115200 ) port map ( clock_vga => clock25, clock_main => clock32, clock_sid_32Mhz => clock32, clock_sid_dac => clock49, reset => reset, reset_vid => reset_vid, din => din, dout => dout, addr => addr, CSS => CSS, AG => AG, GM => GM, nFS => nFS, ram_we => ram_we, reg_cs => reg_cs, reg_we => reg_we, sid_cs => sid_cs, sid_we => sid_we, sid_audio => sid_audio, sid_audio_d => open, PS2_CLK => PS2_CLK, PS2_DATA => PS2_DATA, uart_cs => uart_cs, uart_we => uart_we, uart_RxD => uart_RxD, uart_TxD => uart_TxD, uart_escape => open, uart_break => open, final_red => final_red, final_green1 => final_green1, final_green0 => final_green0, final_blue => final_blue, final_vsync => final_vsync, final_hsync => final_hsync, final_blank => final_blank, charSet => charSet ); -- Pipelined version of address/data/write signals process (clock32) begin if rising_edge(clock32) then nBXXX2 <= nBXXX1; nBXXX1 <= nBXXX; nMS2 <= nMS1; nMS1 <= nMS; nWRMS2 <= nWRMS1; nWRMS1 <= nWR or nMS; nWR2 <= nWR1; nWR1 <= nWR; DD3 <= DD2; DD2 <= DD1; DD1 <= DD; DA2 <= DA1; DA1 <= DA; end if; end process; -- Signals driving the VRAM -- Write just before the rising edge of nWR ram_we <= '1' when (nWRMS1 = '1' and nWRMS2 = '0' and nBXXX2 = '1') else '0'; din <= DD2; addr <= DA2; -- Signals driving the internal registers -- When nSIDD=0 the registers are mapped to BDE0-BDFF -- When nSIDD=1 the registers are mapped to 9FE0-9FFF reg_cs <= '1' when (nSIDD = '1' and nMS2 = '0' and DA2(12 downto 5) = "11111111") or (nSIDD = '0' and nBXXX2 = '0' and DA2(11 downto 5) = "1101111") else '0'; reg_we <= '1' when (nSIDD = '1' and nWRMS1 = '1' and nWRMS2 = '0') or (nSIDD = '0' and nWR1 = '1' and nWR2 = '0') else '0'; -- Signals driving the SID -- When nSIDD=0 the SID is mapped to BDC0-BDDF -- When nSIDD=1 the SID is mapped to 9FC0-9FDF sid_cs <= '1' when (nSIDD = '1' and nMS2 = '0' and DA2(12 downto 5) = "11111110") or (nSIDD = '0' and nBXXX2 = '0' and DA2(11 downto 5) = "1101110") else '0'; sid_we <= '1' when (nSIDD = '1' and nWRMS1 = '1' and nWRMS2 = '0') or (nSIDD = '0' and nWR1 = '1' and nWR2 = '0') else '0'; -- Signals driving the UART -- When nSIDD=0 the UART is mapped to BDB0-BDBF -- When nSIDD=1 the UART is mapped to 9FB0-9FBF uart_cs <= '1' when (nSIDD = '1' and nMS2 = '0' and DA2(12 downto 4) = "111111011") or (nSIDD = '0' and nBXXX2 = '0' and DA2(11 downto 4) = "11011011") else '0'; uart_we <= '1' when (nSIDD = '1' and nWRMS1 = '1' and nWRMS2 = '0') or (nSIDD = '0' and nWR1 = '1' and nWR2 = '0') else '0'; AUDIO <= sid_audio when SIDEN = '1' else '0'; -- Output the SID Select Signal so it can be used to disable the bus buffers -- TODO: this looks incorrect -- nSIDSEL <= not sid_cs; -- Tri-state data back to the Atom DD <= palette_data when nMS = '0' and nWR = '1' and palette_cs = '1' else dout when nMS = '0' and nWR = '1' and palette_cs = '0' else (others => 'Z'); CSO_B <= '1'; -------------------------------------------------------- -- Colour palette control -------------------------------------------------------- palette_cs <= '1' when nBXXX2 = '0' and DA2(11 downto 4) = x"D0" else '0'; process (clock32) begin if rising_edge(clock32) then if nRST = '0' then -- initializing like this mean the palette will be -- implemented with LUTs rather than as a block RAM palette(0) <= "000000"; palette(1) <= "000011"; palette(2) <= "000100"; palette(3) <= "000111"; palette(4) <= "001000"; palette(5) <= "001011"; palette(6) <= "001100"; palette(7) <= "001111"; palette(8) <= "110000"; palette(9) <= "110011"; palette(10) <= "110100"; palette(11) <= "110111"; palette(12) <= "111000"; palette(13) <= "111011"; palette(14) <= "111100"; palette(15) <= "111111"; else -- write colour palette registers if palette_cs = '1' and nWR1 = '1' and nWR2 = '0' then palette(conv_integer(DA2(3 downto 0))) <= DD2(7 downto 2); end if; end if; end if; end process; logical_colour <= final_red & final_green1 & final_green0 & final_blue; -- Making this a synchronous process should improve the timing -- and potentially make the pixels more defined process (clock25) begin if rising_edge(clock25) then if final_blank = '1' then physical_colour <= (others => '0'); else physical_colour <= palette(conv_integer(logical_colour)); end if; -- Also register hsync/vsync so they are correctly -- aligned with the colour changes HSYNC <= final_hsync; VSYNC <= final_vsync; end if; end process; -- Use the same bits as AtomFpga_Atom2K18, ignoring bits 4 and 0 R(0) <= physical_colour(5); G(1) <= physical_colour(3); G(0) <= physical_colour(2); B(0) <= physical_colour(1); palette_data <= palette(conv_integer(DA2(3 downto 0))) & "00"; end BEHAVIORAL;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity FA is Port ( A : in STD_LOGIC; B : in STD_LOGIC; Cin : in STD_LOGIC; Sout : out STD_LOGIC; Cout : out STD_LOGIC); end FA; architecture FA_arch of FA is component HA port(A,B: in STD_LOGIC; Sout,Cout: out STD_LOGIC); end component; signal S1,C1,C2: STD_LOGIC; begin H1: HA port map(A,B,S1,C1); H2: HA port map(S1,Cin,Sout,C2); Cout <= C1 OR C2; end FA_arch;
entity attr10 is end entity; architecture test of attr10 is begin process is constant s : string := "1234"; constant n : integer := 1234; begin for i in 1 to 4 loop report character'image(integer'image(n)(i)); assert integer'image(n)(i) = s(i); end loop; wait; end process; end architecture;
entity attr10 is end entity; architecture test of attr10 is begin process is constant s : string := "1234"; constant n : integer := 1234; begin for i in 1 to 4 loop report character'image(integer'image(n)(i)); assert integer'image(n)(i) = s(i); end loop; wait; end process; end architecture;
entity attr10 is end entity; architecture test of attr10 is begin process is constant s : string := "1234"; constant n : integer := 1234; begin for i in 1 to 4 loop report character'image(integer'image(n)(i)); assert integer'image(n)(i) = s(i); end loop; wait; end process; end architecture;
entity attr10 is end entity; architecture test of attr10 is begin process is constant s : string := "1234"; constant n : integer := 1234; begin for i in 1 to 4 loop report character'image(integer'image(n)(i)); assert integer'image(n)(i) = s(i); end loop; wait; end process; end architecture;
entity attr10 is end entity; architecture test of attr10 is begin process is constant s : string := "1234"; constant n : integer := 1234; begin for i in 1 to 4 loop report character'image(integer'image(n)(i)); assert integer'image(n)(i) = s(i); end loop; wait; end process; end architecture;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Jun 05 11:21:36 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_util_ds_buf_0_0 -prefix -- system_util_ds_buf_0_0_ system_util_ds_buf_0_0_sim_netlist.vhdl -- Design : system_util_ds_buf_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_util_ds_buf_0_0_util_ds_buf is port ( IBUF_DS_P : in STD_LOGIC_VECTOR ( 0 to 0 ); IBUF_DS_N : in STD_LOGIC_VECTOR ( 0 to 0 ); IBUF_OUT : out STD_LOGIC_VECTOR ( 0 to 0 ); IBUF_DS_ODIV2 : out STD_LOGIC_VECTOR ( 0 to 0 ); OBUF_IN : in STD_LOGIC_VECTOR ( 0 to 0 ); OBUF_DS_P : out STD_LOGIC_VECTOR ( 0 to 0 ); OBUF_DS_N : out STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_DS_P : inout STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_DS_N : inout STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_IO_T : in STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_IO_I : in STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_IO_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFGCE_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFGCE_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFGCE_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFH_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFH_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFHCE_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFHCE_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFHCE_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CEMASK : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CLR : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CLRMASK : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_DIV : in STD_LOGIC_VECTOR ( 2 downto 0 ); BUFG_GT_O : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_BUF_TYPE : string; attribute C_BUF_TYPE of system_util_ds_buf_0_0_util_ds_buf : entity is "BUFG"; attribute C_SIZE : integer; attribute C_SIZE of system_util_ds_buf_0_0_util_ds_buf : entity is 1; end system_util_ds_buf_0_0_util_ds_buf; architecture STRUCTURE of system_util_ds_buf_0_0_util_ds_buf is signal \<const0>\ : STD_LOGIC; attribute box_type : string; attribute box_type of \USE_BUFG.GEN_BUFG[0].BUFG_U\ : label is "PRIMITIVE"; begin BUFGCE_O(0) <= \<const0>\; BUFG_GT_O(0) <= \<const0>\; BUFHCE_O(0) <= \<const0>\; BUFH_O(0) <= \<const0>\; IBUF_DS_ODIV2(0) <= \<const0>\; IBUF_OUT(0) <= \<const0>\; IOBUF_IO_O(0) <= \<const0>\; OBUF_DS_N(0) <= \<const0>\; OBUF_DS_P(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \USE_BUFG.GEN_BUFG[0].BUFG_U\: unisim.vcomponents.BUFG port map ( I => BUFG_I(0), O => BUFG_O(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_util_ds_buf_0_0 is port ( BUFG_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_O : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_util_ds_buf_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_util_ds_buf_0_0 : entity is "system_util_ds_buf_0_0,util_ds_buf,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_util_ds_buf_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_util_ds_buf_0_0 : entity is "util_ds_buf,Vivado 2016.4"; end system_util_ds_buf_0_0; architecture STRUCTURE of system_util_ds_buf_0_0 is signal NLW_U0_BUFGCE_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_BUFG_GT_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_BUFHCE_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_BUFH_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IBUF_DS_ODIV2_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IBUF_OUT_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IOBUF_DS_N_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IOBUF_DS_P_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IOBUF_IO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_OBUF_DS_N_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_OBUF_DS_P_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_BUF_TYPE : string; attribute C_BUF_TYPE of U0 : label is "BUFG"; attribute C_SIZE : integer; attribute C_SIZE of U0 : label is 1; begin U0: entity work.system_util_ds_buf_0_0_util_ds_buf port map ( BUFGCE_CE(0) => '0', BUFGCE_I(0) => '0', BUFGCE_O(0) => NLW_U0_BUFGCE_O_UNCONNECTED(0), BUFG_GT_CE(0) => '0', BUFG_GT_CEMASK(0) => '0', BUFG_GT_CLR(0) => '0', BUFG_GT_CLRMASK(0) => '0', BUFG_GT_DIV(2 downto 0) => B"000", BUFG_GT_I(0) => '0', BUFG_GT_O(0) => NLW_U0_BUFG_GT_O_UNCONNECTED(0), BUFG_I(0) => BUFG_I(0), BUFG_O(0) => BUFG_O(0), BUFHCE_CE(0) => '0', BUFHCE_I(0) => '0', BUFHCE_O(0) => NLW_U0_BUFHCE_O_UNCONNECTED(0), BUFH_I(0) => '0', BUFH_O(0) => NLW_U0_BUFH_O_UNCONNECTED(0), IBUF_DS_N(0) => '0', IBUF_DS_ODIV2(0) => NLW_U0_IBUF_DS_ODIV2_UNCONNECTED(0), IBUF_DS_P(0) => '0', IBUF_OUT(0) => NLW_U0_IBUF_OUT_UNCONNECTED(0), IOBUF_DS_N(0) => NLW_U0_IOBUF_DS_N_UNCONNECTED(0), IOBUF_DS_P(0) => NLW_U0_IOBUF_DS_P_UNCONNECTED(0), IOBUF_IO_I(0) => '0', IOBUF_IO_O(0) => NLW_U0_IOBUF_IO_O_UNCONNECTED(0), IOBUF_IO_T(0) => '0', OBUF_DS_N(0) => NLW_U0_OBUF_DS_N_UNCONNECTED(0), OBUF_DS_P(0) => NLW_U0_OBUF_DS_P_UNCONNECTED(0), OBUF_IN(0) => '0' ); end STRUCTURE;
library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.all; use UNISIM.vcomponents.all; entity eightdiv is port( clk : in std_logic; clk_out : out std_logic; clkdiv_out : out std_logic ); end eightdiv; architecture Behavioral of eightdiv is constant low : std_logic := '0'; constant high : std_logic := '1'; begin -- DCM_CLKGEN: Frequency Aligned Digital Clock Manager -- Spartan-6 -- Xilinx HDL Libraries Guide, version 13.1 DCM_CLKGEN_inst : DCM_CLKGEN generic map ( CLKFXDV_DIVIDE => 8, -- CLKFXDV divide value (2, 4, 8, 16, 32) CLKFX_DIVIDE => 2, -- Divide value - D - (1-256) --CLKFX_MD_MAX => 0.0, -- Specify maximum M/D ratio for timing anlysis CLKFX_MULTIPLY => 2, -- Multiply value - M - (2-256) CLKIN_PERIOD => 10.0, -- Input clock period specified in nS SPREAD_SPECTRUM => "NONE", -- Spread Spectrum mode "NONE", "CENTER_LOW_SPREAD", "CENTER_HIGH_SPREAD", -- "VIDEO_LINK_M0", "VIDEO_LINK_M1" or "VIDEO_LINK_M2" STARTUP_WAIT => FALSE -- Delay config DONE until DCM_CLKGEN LOCKED (TRUE/FALSE) ) port map ( CLKFX => clk_out, -- 1-bit output: Generated clock output --CLKFX180 => CLKFX180, -- 1-bit output: Generated clock output 180 degree out of phase from CLKFX. CLKFXDV => clkdiv_out, -- 1-bit output: Divided clock output --LOCKED => LOCKED, -- 1-bit output: Locked output --PROGDONE => PROGDONE, -- 1-bit output: Active high output to indicate the successful re-programming --STATUS => STATUS, -- 2-bit output: DCM_CLKGEN status CLKIN => clk, -- 1-bit input: Input clock FREEZEDCM => low, -- 1-bit input: Prevents frequency adjustments to input clock PROGCLK => low, -- 1-bit input: Clock input for M/D reconfiguration PROGDATA => low, -- 1-bit input: Serial data input for M/D reconfiguration PROGEN => low, -- 1-bit input: Active high program enable RST => low -- 1-bit input: Reset input pin ); end Behavioral;
-- Simple sin/cos LUT -- Register input/output to allow usual quarter-wave symmetry -- -- Original author Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity SinCosLUT is generic ( PHASE_WIDTH : natural := 14; OUTPUT_WIDTH : natural := 14 ); port ( clk : in std_logic; rst : in std_logic; phase_tdata : in std_logic_vector(PHASE_WIDTH-1 downto 0); phase_tvalid : in std_logic; sin_tdata : out std_logic_vector(OUTPUT_WIDTH-1 downto 0); cos_tdata : out std_logic_vector(OUTPUT_WIDTH-1 downto 0); sincos_tvalid : out std_logic ); end entity; architecture arch of SinCosLUT is --0 to pi/2 sin look up table constant LUT_SIZE : natural := 2**(PHASE_WIDTH-2); type lut_array is array(LUT_SIZE-1 downto 0) of signed(OUTPUT_WIDTH-1 downto 0); function fill_lut return lut_array is variable lut : lut_array; variable tmp : integer; constant SCALE : real := real(2**(OUTPUT_WIDTH-1)) - 1.0; begin for ct in 0 to LUT_SIZE-1 loop tmp := integer( SCALE * sin((MATH_PI/2.0)*real(ct)/real(LUT_SIZE)) ); lut(ct) := to_signed(tmp, OUTPUT_WIDTH); end loop; return lut; end function; --seems this should be constant but then rom_style requires signal signal lut : lut_array := fill_lut; attribute rom_style : string; attribute rom_style of lut : signal is "block"; signal sin_addr, cos_addr : natural range 0 to 2**(PHASE_WIDTH-2)-1; subtype ADDR_SLICE is natural range PHASE_WIDTH-3 downto 0; signal sin_tdata_reg, cos_tdata_reg : signed(OUTPUT_WIDTH-1 downto 0); signal sign_bit : std_logic; signal ones_complement_addr_bit : std_logic; signal sin_sign_bit_d : std_logic := '0'; signal cos_sign_bit, cos_sign_bit_d : std_logic := '0'; begin sign_bit <= phase_tdata(phase_tdata'high); ones_complement_addr_bit <= phase_tdata(phase_tdata'high - 1); sin_port : process(clk) variable lut_data : signed(OUTPUT_WIDTH-1 downto 0); begin if rising_edge(clk) then --register addr with possible ones complement if ones_complement_addr_bit = '0' then sin_addr <= to_integer(unsigned(phase_tdata(ADDR_SLICE))); else sin_addr <= to_integer(unsigned(not phase_tdata(ADDR_SLICE))); end if; --Register output data from BRAM sin_tdata_reg <= lut_data; lut_data := lut(sin_addr); end if; end process; sin_sign_bit_delay : entity work.DelayLine generic map ( DELAY_TAPS => 3) port map( clk => clk, rst => rst, data_in(0) => sign_bit, data_out(0) => sin_sign_bit_d); -- should be sin_tdata <= std_logic_vector(sin_tdata_reg) when sin_sign_bit_d = '0' else std_logic_vector(-sin_tdata_reg); -- instead sign inversion as one's complement -- could be off by 1 bit but just make OUTPUT_WIDTH wider to compensate -- TODO: investigate skewing phase and LUT by 1/2 LSB see sin_tdata <= std_logic_vector(sin_tdata_reg) when sin_sign_bit_d = '0' else not std_logic_vector(sin_tdata_reg); -- cos(\theta) = sin(\pi/2 - \theta) = sin(\pi/2 + \theta) -- pi/2 shift just adds 01 to sign/address inversion bits 00 -> 01; 01 -> 10; 10 -> 11; 11 -> 11 -- cos address inversion = not sin address inversion and cos sign inversion = sin sign inversion xor sin address inversion cos_port : process(clk) variable lut_data : signed(OUTPUT_WIDTH-1 downto 0); begin if rising_edge(clk) then --register addr with possible ones complement if ones_complement_addr_bit = '1' then cos_addr <= to_integer(unsigned(phase_tdata(ADDR_SLICE))); else cos_addr <= to_integer(unsigned(not phase_tdata(ADDR_SLICE))); end if; --Register output data from BRAM cos_tdata_reg <= lut_data; lut_data := lut(cos_addr); end if; end process; --sign inversion as ones complement cos_sign_bit <= sign_bit xor ones_complement_addr_bit; cos_sign_bit_delay : entity work.DelayLine generic map ( DELAY_TAPS => 3) port map( clk => clk, rst => rst, data_in(0) => cos_sign_bit, data_out(0) => cos_sign_bit_d); cos_tdata <= std_logic_vector(cos_tdata_reg) when cos_sign_bit_d = '0' else not std_logic_vector(cos_tdata_reg); end architecture;
-- niosii_system_width_adapter.vhd -- Generated using ACDS version 13.0sp1 232 at 2016.04.06.21:13:30 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity niosii_system_width_adapter is generic ( IN_PKT_ADDR_H : integer := 60; IN_PKT_ADDR_L : integer := 36; IN_PKT_DATA_H : integer := 31; IN_PKT_DATA_L : integer := 0; IN_PKT_BYTEEN_H : integer := 35; IN_PKT_BYTEEN_L : integer := 32; IN_PKT_BYTE_CNT_H : integer := 69; IN_PKT_BYTE_CNT_L : integer := 67; IN_PKT_TRANS_COMPRESSED_READ : integer := 61; IN_PKT_BURSTWRAP_H : integer := 72; IN_PKT_BURSTWRAP_L : integer := 70; IN_PKT_BURST_SIZE_H : integer := 75; IN_PKT_BURST_SIZE_L : integer := 73; IN_PKT_RESPONSE_STATUS_H : integer := 99; IN_PKT_RESPONSE_STATUS_L : integer := 98; IN_PKT_TRANS_EXCLUSIVE : integer := 66; IN_PKT_BURST_TYPE_H : integer := 77; IN_PKT_BURST_TYPE_L : integer := 76; IN_ST_DATA_W : integer := 100; OUT_PKT_ADDR_H : integer := 42; OUT_PKT_ADDR_L : integer := 18; OUT_PKT_DATA_H : integer := 15; OUT_PKT_DATA_L : integer := 0; OUT_PKT_BYTEEN_H : integer := 17; OUT_PKT_BYTEEN_L : integer := 16; OUT_PKT_BYTE_CNT_H : integer := 51; OUT_PKT_BYTE_CNT_L : integer := 49; OUT_PKT_TRANS_COMPRESSED_READ : integer := 43; OUT_PKT_BURST_SIZE_H : integer := 57; OUT_PKT_BURST_SIZE_L : integer := 55; OUT_PKT_RESPONSE_STATUS_H : integer := 81; OUT_PKT_RESPONSE_STATUS_L : integer := 80; OUT_PKT_TRANS_EXCLUSIVE : integer := 48; OUT_PKT_BURST_TYPE_H : integer := 59; OUT_PKT_BURST_TYPE_L : integer := 58; OUT_ST_DATA_W : integer := 82; ST_CHANNEL_W : integer := 13; OPTIMIZE_FOR_RSP : integer := 0; RESPONSE_PATH : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- clk_reset.reset in_valid : in std_logic := '0'; -- sink.valid in_channel : in std_logic_vector(12 downto 0) := (others => '0'); -- .channel in_startofpacket : in std_logic := '0'; -- .startofpacket in_endofpacket : in std_logic := '0'; -- .endofpacket in_ready : out std_logic; -- .ready in_data : in std_logic_vector(99 downto 0) := (others => '0'); -- .data out_endofpacket : out std_logic; -- src.endofpacket out_data : out std_logic_vector(81 downto 0); -- .data out_channel : out std_logic_vector(12 downto 0); -- .channel out_valid : out std_logic; -- .valid out_ready : in std_logic := '0'; -- .ready out_startofpacket : out std_logic; -- .startofpacket in_command_size_data : in std_logic_vector(2 downto 0) := (others => '0') ); end entity niosii_system_width_adapter; architecture rtl of niosii_system_width_adapter is component altera_merlin_width_adapter is generic ( IN_PKT_ADDR_H : integer := 60; IN_PKT_ADDR_L : integer := 36; IN_PKT_DATA_H : integer := 31; IN_PKT_DATA_L : integer := 0; IN_PKT_BYTEEN_H : integer := 35; IN_PKT_BYTEEN_L : integer := 32; IN_PKT_BYTE_CNT_H : integer := 63; IN_PKT_BYTE_CNT_L : integer := 61; IN_PKT_TRANS_COMPRESSED_READ : integer := 65; IN_PKT_BURSTWRAP_H : integer := 67; IN_PKT_BURSTWRAP_L : integer := 66; IN_PKT_BURST_SIZE_H : integer := 70; IN_PKT_BURST_SIZE_L : integer := 68; IN_PKT_RESPONSE_STATUS_H : integer := 72; IN_PKT_RESPONSE_STATUS_L : integer := 71; IN_PKT_TRANS_EXCLUSIVE : integer := 73; IN_PKT_BURST_TYPE_H : integer := 75; IN_PKT_BURST_TYPE_L : integer := 74; IN_ST_DATA_W : integer := 76; OUT_PKT_ADDR_H : integer := 60; OUT_PKT_ADDR_L : integer := 36; OUT_PKT_DATA_H : integer := 31; OUT_PKT_DATA_L : integer := 0; OUT_PKT_BYTEEN_H : integer := 35; OUT_PKT_BYTEEN_L : integer := 32; OUT_PKT_BYTE_CNT_H : integer := 63; OUT_PKT_BYTE_CNT_L : integer := 61; OUT_PKT_TRANS_COMPRESSED_READ : integer := 65; OUT_PKT_BURST_SIZE_H : integer := 68; OUT_PKT_BURST_SIZE_L : integer := 66; OUT_PKT_RESPONSE_STATUS_H : integer := 70; OUT_PKT_RESPONSE_STATUS_L : integer := 69; OUT_PKT_TRANS_EXCLUSIVE : integer := 71; OUT_PKT_BURST_TYPE_H : integer := 73; OUT_PKT_BURST_TYPE_L : integer := 72; OUT_ST_DATA_W : integer := 74; ST_CHANNEL_W : integer := 32; OPTIMIZE_FOR_RSP : integer := 0; RESPONSE_PATH : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(12 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(99 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(81 downto 0); -- data out_channel : out std_logic_vector(12 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket in_command_size_data : in std_logic_vector(2 downto 0) := (others => 'X') -- data ); end component altera_merlin_width_adapter; begin width_adapter : component altera_merlin_width_adapter generic map ( IN_PKT_ADDR_H => IN_PKT_ADDR_H, IN_PKT_ADDR_L => IN_PKT_ADDR_L, IN_PKT_DATA_H => IN_PKT_DATA_H, IN_PKT_DATA_L => IN_PKT_DATA_L, IN_PKT_BYTEEN_H => IN_PKT_BYTEEN_H, IN_PKT_BYTEEN_L => IN_PKT_BYTEEN_L, IN_PKT_BYTE_CNT_H => IN_PKT_BYTE_CNT_H, IN_PKT_BYTE_CNT_L => IN_PKT_BYTE_CNT_L, IN_PKT_TRANS_COMPRESSED_READ => IN_PKT_TRANS_COMPRESSED_READ, IN_PKT_BURSTWRAP_H => IN_PKT_BURSTWRAP_H, IN_PKT_BURSTWRAP_L => IN_PKT_BURSTWRAP_L, IN_PKT_BURST_SIZE_H => IN_PKT_BURST_SIZE_H, IN_PKT_BURST_SIZE_L => IN_PKT_BURST_SIZE_L, IN_PKT_RESPONSE_STATUS_H => IN_PKT_RESPONSE_STATUS_H, IN_PKT_RESPONSE_STATUS_L => IN_PKT_RESPONSE_STATUS_L, IN_PKT_TRANS_EXCLUSIVE => IN_PKT_TRANS_EXCLUSIVE, IN_PKT_BURST_TYPE_H => IN_PKT_BURST_TYPE_H, IN_PKT_BURST_TYPE_L => IN_PKT_BURST_TYPE_L, IN_ST_DATA_W => IN_ST_DATA_W, OUT_PKT_ADDR_H => OUT_PKT_ADDR_H, OUT_PKT_ADDR_L => OUT_PKT_ADDR_L, OUT_PKT_DATA_H => OUT_PKT_DATA_H, OUT_PKT_DATA_L => OUT_PKT_DATA_L, OUT_PKT_BYTEEN_H => OUT_PKT_BYTEEN_H, OUT_PKT_BYTEEN_L => OUT_PKT_BYTEEN_L, OUT_PKT_BYTE_CNT_H => OUT_PKT_BYTE_CNT_H, OUT_PKT_BYTE_CNT_L => OUT_PKT_BYTE_CNT_L, OUT_PKT_TRANS_COMPRESSED_READ => OUT_PKT_TRANS_COMPRESSED_READ, OUT_PKT_BURST_SIZE_H => OUT_PKT_BURST_SIZE_H, OUT_PKT_BURST_SIZE_L => OUT_PKT_BURST_SIZE_L, OUT_PKT_RESPONSE_STATUS_H => OUT_PKT_RESPONSE_STATUS_H, OUT_PKT_RESPONSE_STATUS_L => OUT_PKT_RESPONSE_STATUS_L, OUT_PKT_TRANS_EXCLUSIVE => OUT_PKT_TRANS_EXCLUSIVE, OUT_PKT_BURST_TYPE_H => OUT_PKT_BURST_TYPE_H, OUT_PKT_BURST_TYPE_L => OUT_PKT_BURST_TYPE_L, OUT_ST_DATA_W => OUT_ST_DATA_W, ST_CHANNEL_W => ST_CHANNEL_W, OPTIMIZE_FOR_RSP => OPTIMIZE_FOR_RSP, RESPONSE_PATH => RESPONSE_PATH ) port map ( clk => clk, -- clk.clk reset => reset, -- clk_reset.reset in_valid => in_valid, -- sink.valid in_channel => in_channel, -- .channel in_startofpacket => in_startofpacket, -- .startofpacket in_endofpacket => in_endofpacket, -- .endofpacket in_ready => in_ready, -- .ready in_data => in_data, -- .data out_endofpacket => out_endofpacket, -- src.endofpacket out_data => out_data, -- .data out_channel => out_channel, -- .channel out_valid => out_valid, -- .valid out_ready => out_ready, -- .ready out_startofpacket => out_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); end architecture rtl; -- of niosii_system_width_adapter
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EEpkeRyv68cmXBgNTfLO+mYJ25WVbrEwBbppOaWeK6RMl/IG7L6/LrSP7dIMRjhs3qnRYnrzNKmk bEBa7SYyCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YbGVmcB5GmKAD/Csxzgliw3PWPy264E7kJSrLM/qBD9S/D49iIvSOD7joJDCqmp+kE2WKcpITB7/ Z86b6JKBRfhlVUKKopSqb1JCVKjO2fVJ78YD5Y+gDNqdxsrvTpbGNnopF2Hcjohs/xa41filVhEb 3IU4w1bxbZsXoHvcwws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V0DB/xii2yFJNDHw4f5cdmh55yNtA0H3hcacj+N9zuyvBG5Y6Kl8wsK+MftB5Nc0Y4dmjfuYCXyA bw/Kf9d3oy63o2aU6nJkpFX9sjmcqDx/C0GvQZCpKYchsaljXxKm09D6m6Rl6NAepkTkNhvOA6FB D3aMCBKVcTMb3raMRB1jVuaBmII1fOPeDjwHkraoaJNDWWnTRvoJ+ooM5YM3zytBCO7T6QW1MhZF 11svYlkRaCljZPoxBB3SSf/OsQfJ930WVQaTnXoezl+cDy0QggBF4z1RTcR5arXzU8KSOJrOSURM nN9dsQCrpAsn4azbGoN50/DFM+bgPLFKhII5Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dCuFS27q8ROwMaO3SWXnCgs3XZXadA0pZTO5QXcce1j35xhabMOgpTvOzvBraB3mlKS6f6FJPm8C 14G+6depgIyjv7V2GXfXGAkF9fOGGXLbwahWdCN9SwNTFBUGJO4O3CmdCP0MA1nLxoZf3d1Ca/F7 B4sPu5L0CbKc2DaeM40= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iK1uLuoWHgfibO2O7nsPODcTxmxxRrAKbpWmTAgnUO7tyIS6cnDsN1SM/TgBHFBRytsawhOj+jyY ZF1Fcsb0IP6i4S4ZYHVdY+L5vX0kURw0isTmoJUzxYa/ac0AX5q7XRpzHVrVe0QzKavIN1wBfvxY XaOyX5iHe/F94SHHaPPZIzWp0xpwVTISztpCXs8pjIxkVxYU4HrBCiGAjIjGfSJio3spFhyf8OJV rlq3VR2r/GMwXwhs4thGlF3kIdHpTKKMY3Nf8bJG+JVwPg6mLz8wUh8Uj74gV5kWn495W9IDFxZ2 EMXuYvxXkjXwx5e3CX2Vjf8EHQTpet0k8WS9rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 249456) `protect data_block PR7VmtbZRSPKlcPBP6TUZVpCcB2Fe7LcrUWgfBKeSUBvX1UrTKOftjxdlKSjj/FD1We0jkmh1BXQ /s/LSZR71GYyy8yhxAPQnY2X+nQK5cTU90vsI16X4R/qIPo6FIO/q7yKfXf11v+iEVGYpng2DzWX jHz4x5Ohmjtb9hlcR7jn9++lWysX5DLpOExX0JYJ6eFPOZmeNZAp4qV6GUlYcWw1U2H52JCzSUp1 nP98lNTTPdJDQ4OkARF1vsNrlbLjIcAzPmhaQJn9VC8/hg//LIdYAtIBl3nQWi9R8cnFHOUd/SsU T4rRpABQRkYTkSiBtUanERTKLUWjNDORTqhMyPiZYvwnAixPCe7A16ye+jFJyMwnmimKJLmv4dKh ePEoUJm2dYVwns+VwuqrwjgPaqHQURD5vi1RnVbkJcaauGZ+/slh5K97YR2R2DJ0C5RDeljJBf0Z IeXzRCLyXgZoTA2UPXw65lm4vT/8SnDEd8ulXgEY3cjGNvWFMETBBAcgrCllD4UzTTVXKjpt1VW8 5cYAWDiLvG1eD7AZ+f7BGjyQU5iXtpZhQsMqe/LcuD19yCTiGonhTtDbDEPfp9rj1jdBpCdiTpwy RcBeStCgBDL2cFZuYKjUB79IGc35s5hXFFqYKJ78I5KMdurgRD7ay9s2V9zw4C8JmPaLDhHhGjn5 PJD6sapFp9Fa042das+GTLcYZ1jAyma7EMtsBL4vmKe78T/hOo0Q1JR/T6EcsFoLKK8P3n7/Pyrv m75suQRAX2QqnigsgXWrJAKNVIUGN174/7ywpsRL7433SRtM6xZQkKkhmfjk7WCNJ77KoQtTOzWw i/ODOUJSKzBILoKywtk02ftkmHE41/Gnl2ASNXSZ/tP0Yj9CSs/GjSIbJBpF9OSlGPN8WIVVnpuD Z5z+YKXdgqymcepEXp1UyjHGYTwfLpdpjBFpdjiaxj49noecw2NnZdTx0aQCvOQXCnau6RZ2/jti +/2SSYKHOagCRKApHOLaBu/U4jA3/sUN/6q/MXevuBRcl21PbHZiySx/FLEjS7Q+CctY6C8imjWT JDCdsZW+YpV0vBKHkVbVo99UAh3sJjOy+SP2G10H0JIWkshpjdsB/Llfu/vKpT3vw3jdD3z+9Ag7 UJ+YcyPyElPComPRfDd+bkTuxTPsAqvtxTclOF27fv4DL4H4y4XUlbmZ1FUSu1/fCY2ml5FZ8EI+ qlNXRe3KOw9301KMCSV9LDOOw9/0ebPRPsdfHkBq+IRppXqXe6pix7PcSH5bT9hQu3E1wimsRo61 9mZSCtL+4C9HS6U8ovOwNxHL9WWJKRLFFd2N5MgklqMc/fMKiaLeD5WKJlfYSE+AWMf93lAzjl4f zwSnqRcszM1A7PT48FZzuDB9Dx7thOoyXrpDfemmQv56bXP+GVoUYLh0ypdkJ4zWpwsjT5TKKh85 BLn4RU1qsC6gitmzjReOlbmXBCNsFlcpjNZc1P8QUrmENVRSeT+MLRSJcO4mK9b5G/adc5eJEDhv dtGRnKWT0obgWsTo0ilsp3j9BOgR7apvY4qVO/LUp8eEVZHGR/pjPxU4OLNVSHLVDfNcpOgyxWok TSycvR3JJAL5MTjqTeKHZPwyA4lruh4mcGagZENVzOssjsVePgb0XYOizmG4rq2AO9SbpZUoAP1d CYn4uL4UPucYlEr1r16uCOwND/00aMruQCChwVY7X95pxTmJ/c195g6OXO6nG/22lJLTeTee5T7k S3BKS0VdIZ0ZhOE/+jJMmjQKbcUeGEmIJoDUzUb+4Xl4mw6A3oWs7p1OEVsof+iFBitOuBB37/r4 wu9sddmjU0LSj4C30WYOcmXhGHLPoSospr9pizYiqhsoqmx79RlNHSu8ombil/lNwo32XKv4VoFs XwyCo85UNvOuEv4p425rSCLREsK4fxTth15kBa/Gy1Jr8zNaMQiuCDZQLUiUh2JugEy2en/DNTeA iKzMsMXRot1xKg5japYcZ+oPcWdfKTfQO2emO8uKAcoIuJelGrhSFnJi6iknLJTJHTHui4DkogRW 9RqgSbfBXc36V41HJ84arfkI8C51jrQ6qwN9bpHFTGAQ193qdxf81JVn+72mc8Y9XFA6NhXD4EPp B/GG0ZIepLlivchA09MG1mvvLo0HsgOuPOvxX0qMJtSJU544jqB1Q0rbRDllrTMoRoJyYc9atDEB 7XLGzo28m1emmICMARS+J+Lrb7G4kA7twSHEgLnBh9G7NQ1FjkLh1xr5d4CaNvqn7nfgHd8eK631 yYVwtk5UZ+EKi+vLEBs4yMO9D7oiqHl3rdJXTcIgFpmMx/4NYaDoaOMqxIEGQtTBcbU3TJjk+S3l P1l3ix+St2Xflf95x4qTgXxzp+9fuMz01/sBY7cwUwXf3sG/TyC/cNnmamEGXj0dAkGHhndeaSXF j888nBwUtp7QsR+r62J1Ps8728Qb684JGToXkODk1FWryw06cACp1E8tydOZfdSeKgJpjaN8ymxI 9s4887eVGSS8G44Ham+sWywJ50NVuxvGLWyc285AGfXYnYNw/eYYdkpYyaz97zzeGeLy6uJ84527 gYK+FmonjintWzbRu8yQKQK/zxJj3hyhGSx9SU9I/GefzS4E82ILfmlYDYxhGYEntAEPszWVQOXy krwhP74o4QxYEowQHPdnYGQ7mfvlDDzC03knF5EM9h/c9PaUnAmJsQva64jv9L1PQWOwRwMEkHo4 tMYTxsJt/tZ8TTYJwIOccL3AuZmKNyFZTReeJZU1Zgy5HxjfBkErabikY1M/KMWbPkKbYM+9At2Z 5DwT7RfAhR+5Un7QNNp75iCjsb3lBjlEOD673sewpoNCptac1zI5V1tkOwh90E+Xr3HQqM+bdeC7 vY+IkqVo7ndKwCQ9COORZbGf68GKpwJ3h3IEr1WM8BKX0N2JAe2Ji+kx23HyK3S8rOJ0VGBndx78 JiFMOIiQZbFOQoNIJ7URsNy1X//+/5Y4dCem6HBUhZ7LsBpbEIzUIxcBn9YC1uzoMcpBq9pE8Xpl AWDcNVrHGP0OfwSaFemEaZYpwbnMIykCP3Gg2Ohh2YGbIx7pLs9lZ7O90HcEyW+99c4gUvutcT0W iUVmi6njcTJ8TYihnS0eqrdnKvaYQExtCTGWRVOTOSyRewPKlHfz7rYgtI9Jh729TPhYXqwdlFy0 /nGuvhN6ieE/Gu1vrNJD+ibxbdokO3jwtF5B+Z1XI2d+NK3C4MfsxaFeHi/pkWK9BYrhW9UsDZzj vyDmSp6m1TzcyUr29nHmh2mrcklmu29KndJLJInzZxk1GovLJPAV3FWltQPIrLZSTPb3NZOSnarI 59MbCl3wmn4U5JRuN3mjeuIsxk8bFQfdFl9J49Lw0fJ8NoPGQMQs4Tlih3tMORoFBmWTXB6Az1D0 aX+xsksGhYv926Tym2L1hIfckiVXp7FX4UcuyYpjDTAWWi5w3geFZ7idiTSlxFhPrhcGytjgLmfq E3hUK7YRB4ND8XOjhnaDPg6tNL1z6ON1WxYQvh40E4HLoDlZpTTkVftvsHME4/m8IRS0VtZRSMKI TiAiFrtl4LUqoVi2ZVVPj2+DBT+DHvniYJ1CZfiwC338jSwDYZRHKDFWO0nl0EZRtdkDfp4oNeRt 8EHXyTESjP8ItY852hciM4eil3UojHP72S3W+BYZ7Y1j5CEJJnhFO8ybPuPMBveaa0LxF6wT1yM+ utgiWsxsM9r/kNajgUVWohM6PpzRkc/DkvcLcjXxzEqWTbvODbQKYlQ74QHq+rD6fBRDYtMsfgDi meH4hVBotftMZB7tomVbzCKGktmI6kC/PQZarCle/PuZ8boYnWFwBo63jJ8MCcS/RMNz+3uOU9M4 V1dJVdfHp6e5NLmYY+GDcaJwVMy5XRMwZ1gjeedp7nVq9fT8YDhIXs6EW19WBlDfaIaj3+/ydFqq 8G241yZBPUIJ1eNzCA1uD1fcjZz8AOA9G/eeMdcy3sfLHUvOWEkDC+WbE+/wXc5aAJyTwuvIfU0f 58YXNrX/CSb53TAGenEhI7bySfEDY21rWFPE/6CcgqcIDKyBwie21PGux4dA3OyxkdpvBtBEis5+ hnpPpResHayKcN1cCyZ0MfNWDyL9noLqeL/xiIC7O/E2C4ToVhFv/k0Zmxgw8OyZl3FzcE8gr8rM oVybwSQlajVpGxvHYTIpyzPD0UtCDVYONKConQDFFzvaOrxodryPWLrQMafm6TlwK81WYJyyJ1So dRyrY6KlNSJAjgAoHJMS8tLag6xIlWjUkafw7mck34pWjUvA7825InJ0bU8JxD7Kc1ldwdT5ohpV xAgw7/Z6E9CWPxN8lPUHfwnc6rs9R9JmhX3f7JIZa7qGP/XJ/f8tcRWhsikNl4po37A1DHEwhqOK fiOras5BFeyyM46VS+6UTSEefSS+s89h51Xh71SgMls3xghJDsbUCihlh+s56SbD6SLXBF7IkkPn 2faGg9G5uKchiZnkJV1kQ2SB+FIPLE6GedknWwepXDOb+3vA1KeNm8gwZf2WGGIZShvBLScIQ4vx ekvFYBvPArW+YfM+gqYPzm6evNKRjpKeBufEHdPPpRdEMmj69L5rxPRSWlvx5KgNAkiJ1hQn1kme BfEG1JtTb8XWQfcD/UzLC8b18b8Br/x2Gf5cw0VMc0zDxtsOP6DjZ6i8UAMKqSjQzLsicHOI+7W7 O/+jPp2pHq+olNlGAJEYADHmAx9Pj8XO6b8YpSe6SHOByQ6RU08EN7Qzqg8JC3fCFiVWW/hdjnKS 7pglgSyHeeT6rw+6vFqGGsYzgFnDuF2k4ysE4Lck1AeT2JC98YvKhwMkgtf+4IhfKekeyGDX7pOf Yx1ClvfOfHaqxq3V8uX9xpp+KShJxS3PadPXM7oeA3c6ptUVoivfiW/1AEO40SUAmfUw1bae6s44 GYhq90xvwid8I4KviwIAEqYalpZszbvVG/EGHxwBCNjqujQQH5X4MzDURywhtW/XYxbEdJlom6k2 DEzJ2Y7hKwyULlJTol+yjLDGXQ7Ql9eL68uMIgaFT59EHLxuqdB1h9Brt3r/PGV12ZX9wzLAoKGj OmduyM7LVU/FJ5c5tnvwcGjSjxR1/yGjnP2UvGzTsuhGp7/oDafp92yz0Rsze2wgrMKGWyVhrFE7 Akb7ORcSjB6Im7KSUumL+59obHdYIif6ISTAtD3jcbVw+97zf4KWAlYcePCCAUDzX1y0yuqF31G+ PNnWtcQWqBgp501Q4RuiI+JMe69dUa45v1rbWK9bQ86I+0GiyKBW34cVZpTGpbaWhYl0kvg2QfcH 0skCGmFBikYh1VvS6dlHOxeLzWv9Sv+badqEg+FmrIlVVJZZyUnHgDwpPxzd3FRuyIg1jcy9iQ4b +kQcRLb/t4W/c+WWOAOx5vd85hdpK83FfJBxSVwl9lOLyrNeByGqwyy2Bq+07+gU2NhNeb0ObKwv GxpGJ3y6DRdbqOuA4mqKQ+GVBsQBTk94B0/4Tj45nQGN18ZPXhYs/hnVMj8aGyBFFfIHvoAbfvXs xC6QApgKHa309aUYJPrEpw3p+nOXbuAOm2PJAK8hrktkki8CKoZVlF29HEwfzObaNSg+L5jkukfU E2FxAooSKw/PTJVRwdI1stAnG+HjPNQ3B2AlHvH6doT7SbRpiI/EIU8BXi6fl3p5yx2IvgYeXDzq GU4p0iZdavat/Eueowhh9EtY7UkUweDNQJI1Kzie507BB81GS8AuSTKFYzhx4NqgPyxA5YvVxLSA d/smmgCmJYq84RRbhdevPLgo9QfHSXhHGE5yGZic6Q9E2ovx63Wi2OZEi+eBVPR00LYN1CTwGz0C H7PJ2M+ZKOTB4ephUACYTvJsna1ah1KDPSr9ICx8CuT5x+tM4fX00PBJb5Me0nyIjPlucczI058I WyrjhGRqQ9WE46eTrgyYti6LrMMpt0wAFGI86uWyias4qu5h30NHD0bnj1v7j5NCvG6BuIIjs6sL zyGNIyk41ZLZ38gH25O6T7uJDpXdi60AcWfUhwylWjob6mYSehK5iBBtJ2oXuLilDzGwzlpqDMXB NNLPauBF2hZ+St8zCAQEtZnB3KSOe/dpGc/Jc4DLQdWSGYXWyHLv3FbmHHa3qSvLnhVGFDFs9KPB L4w2nGe1itIBR2MmdzbNilv4D3ucWtXeWgoHpJbMTXVLwLGnGH1P/5Xej5RtNqvm1xHcNWdgR6U9 D2wEfGpatMFv0+WHu1DJ86B0GGVc/lxGplAnE23utWl8bUFfvScnyqXJvETveh9s9o/Vu5loC24G CY+ZdGXJA/JnKQ5BGOa2tRISKMz34c+gudfE42nPpGbXK/+04pQzYSJds44H/ee2fY7c7+krhqQm J9fA3bgQk8Dx9+J0gI41d1kLNWSbVbRQaJDJvo+71/ZBGyHSaw64RUWhiMRzwjAEXpcHWxOLfeRj 1pASdGac7tUJX1eM6fFvus9V2h2uR1kuZOYvQTeJi6KAfVBQIeqoOBWOIEIV5HQ1R1kJ+wCJm+Xt lIKj0ckMcvfIMSJoyLTtHKmD6laskv61ig7QWWo5Vq/uIxP6Stk6pLjnVUJBqycENps5XHPRDpCj W41qTAIwz5BTi52VinJ/cmp3H/Qm6cHhbVufsE2PAx0BcibrxQgTkI3C9CaanegHEqlADZXrIH9p ct9sw69EwPyad6GPkG+D2uzQOK6Zdxf1pm6JxZt91dvkIJdAaR0B06W7yXiihJeOfA8xSJrSJ25Y ss8G99tLlE2oFk9qlshyyiz4ArwxOJgBEp97Vcl4lFcRKONCbrdrnvlYT6gYV1RIUB9Rn16s/I6b arKPYsoPfgCVRsIjCx9b6Ysu0POU1DAVBPvp1AovQe658TjNbAZ/i9YbhwOCUFazoD84d4Xy5a7o 1M+guiL659JpOSod/oKa7A8PtPzV4yrYRexNUcR++OQyoyswbBCNPC9rHuhFFO5x8QcIIE/OXXZJ 3jhMqztGlWOADOt5ybl6ENxVyL7qcJYhZh9mxwvK4wdDUMpXEtK0aXAOnShBel99HT2BxPOp4kG1 tcfibTzkSHCyIu00UMvusmQZ05NgGHTFka9QNhefTYmCtP6eOvZXwJPkv0DjC7vlyXmzKrbMbO9z LiJ7Ds9AncxuXeqWuiPuF1vAqCnzmHlNsl1XJNruqczZ93+pXzXWhLvKK0bwz3nGcLetqBu/qLd5 3ndCLnr1AnUYipcrBPFTbh5am8lofmj16ICyG76LufnRKGn7sF/e3oxMp6AAimpwNiXH8ySw8K0l aS4KpDB4gewdcGuBnP3+s4LP3hIGV6zroihKmSDBLjhURMW5yukoE/pLkgqSG1YYvz1Cz/OA1/RV n+cB5plWQ135zw0PXZTVAqY9JzEU5zaR85byTXVhF/8ncwA+J7BDF/ZwE4EB3EdEXygVI7ckilys g8b+8cKUyqVeaCc3wKV4LxAe0UNIZLZkC7I0oKuxK/vwja8S+gFn6nUoMLNvYhEQN2dr3HNKF6j/ EzH1GYYNSRUnP7KKerYrhvWM0dHcbIErDYglLrZFRCknWG13bmxUvX0zcFUYcXx8Bv8H2Co7RNtr 0GYC+Nh1rKGOYerqOhWqTPWj8Gpah7HSE0ZM/Qti4qbMFMsfHaTSogt8Kj+rGAl0gYbZXQ4uDx8a C+py1BpZA28mprALQoYA3iL25kBHzsZODFD9YABCWK/RM1NJv3cHhdIMBg2/q/OwOQ9QTAh8PCO3 1MrwZd501mob/E2SoNjxU9goSfHc4om9YNZxBLE4YCJlgW2TPKTL01CYm7wSHJKelHHin/pI2gXH bDla9ECiGv+MsFaKY4VD5cRmrnxmiOCUYmU4JBiiVw6DVF/AKgHXEvIbKAC+WdOtBvAqT9x+79QD vCV1p6q/UL7D3qfQdNRDqLpMyDwxK//Cjkj/u4yLLhvkQ86W1UC313wdzrfv5hb8nSPMJNj1GDSs cK1qrxfNMo0SAqAXii1TwLaY3R5fLoT/WUlTtQX7QbkwZSMVoHJzjSFgnL+W2lKGRu4anvC80GAq ASOYreiwbotUsIBJx2GR32dBfbkwXOwacCXQkrGfdhkUxuNlUwn9GGI2AtrR5HB2unjoikkbzbBQ I+PjjcOS4SkASHzJoNLgRS5eWYck42rg1OB9Wg2hAO0u1ZNYvA/Wac7yoqb2pm+YffwAtAe7vCYY 3OCL8wUdcfY3lPLLlepQ99aNUN3lNT/cSJZC559XEyP69v3t5JYs6+Qq0r+EZv143/3M/5HOhSaU G1MuviDHSBnq5IBo/GCsHxofYPafB9LCtNmjgi8WiOvAhSaF6HHdu8+P/hDo/H2QhKkVo15sydAh LuZJQOjxJt0Yf9PUVy6WqMZrPoDlvPpnzyiUvRYwsDwQvtVGexgaP6Kf4BISF3JXzaXZXbQYj7lv vNyvyx9Our5dMmSCb99VRi9fNSJ3ZpxZanX0nq6DhBqeNMTfVg8x1qi0cF0jeYPKSqPIL2hiTBNV yFA+wI5eluxY8bfIQkZXEq7Xxb46ACJldEwnLny0oDoUJKOtUEBhIGw9mdFNZb+iy/TfctQMRSm/ 2N6YyY8083L6Cru8SeQ0oiZf1qh6KzLq8jJZKyWgsmRFxh87AWWWJOkXCoyW9ivibln4Xs2QM+TN 5j06gxiGsK7a8Bk6/x9Wtkt6qZr7jAgxK66V7++mYGFOdre5X7rVwNHlbDhokMT89E6KfyYDevuu uKSrJ07kdGoYtsvb4WqC8SSoJGbc3g+dUtrkS+y5TSnJ1ETrURI1WYI4RVZMpPnugSiYtpq5S8kd ha3pMcw9bmrpC0RflwQ1iyvrpn8NtpyL3ZLGH7xiVDwlDS6Rb/3X5l5qOA82Y/k7bKR1/ROnnKU0 YweL1vVYy0+cUoiEMQan+dQwsbyy1l/L8jHoA3R+swIqfRf/MMXHpzt/B0UpyIYcu+DXUiahdgLc 6GSQjdz5bZCndGMLN1vjhfF4mA7o4EJmcwSc/sraLRXPjzsaxnPQrc5dzwaUeSVWsC1C5jtJG2Mr +QdFo1fU13ma9Br56cbdnQ8QnzDwNLqVmc8gFkookIIC/G/332Rix/V7MYqemvXR/oXwDzLHAZcE CC9WhjQCJs48YCQcJFdiA0MczU1Bu95t11YTKuNh6ZI3Bx73+gezmvZeZboKqLRxpXKY6vT/PFLv rhDmhx8vV81G2VnkwqGUewBIDwnMHdh9/zRtVWx0PJKU8t3VZpu0IAZjtYGWKlUywMZ3G0rpHpr+ v6O32/yOT4p+dP2UnxzX238KASrBQ6danMLfNo1gM4sEzgDdNX23OgCbIAgTp77BwfadjdXK+iit QuvLkbIvN9VmzxsjU7w4v9IndE8DmXnK7y7ZJPPNQ+pgoUV1pZdsoI78uHqBj7NWEV+NCKLn3cZR Bkr9URU/L7/ukS4IRzuFLhnEDFdKdAK+26tqThQxRRmKERWdERH+7NyrTFKa8raxQ+YFHEcgaGYM 4gFQ4sgqLERWZ1MCgyLEU65/7avMAZQLradsdC/ZAzDT0dmKes/9SQJmGH1c3Z2v5mI059TGedbB D3/L8tErrAvlL+E6YSFPIASN1xMqhpCPaE4S+D93u6gyCe7tuOncRCPYuLr8gJcI3y5PyekZrHD0 Pjk9eiic1inTTsmjTgAuu5o6wvsbE+wl6PZcDsTJ0wh/pAW1Y5usQnnQEgS9r7JO2wfLRQviZ3IG YePaSN3XbB7wFCwjd/lI8uc4DQUALxoJSWEs3xOfdcOCQq+fGp9NKphoKwyGraAph/pkaPWIQ+cM 7p4K9S6zGyWjBwaDoMDWohpxq/p5Ae3xUxN1LSEonJkANn7BMvhdko2xlRLz5Q0ridT6YuiP85uZ lZwiO3IdLwHa2J6Df8UOe02Hk0nlfOGqS9kisz1VbSa+OocKba/QRo9g4GgMXF/5aHVULSjzxbCg KDNYhALaL6iG7F37c3vPEgZpKQQ8zMGBVpHj1oUON986TMb27y5pAZtrtXADehW7R/G/0gDUmQHD dgXEJ23themS5sCKjuv5atGDK9Jq+tL2HYme7QfAW2f2YPDrEN+ymuOn1WpPoRJBIskFrW9gxHzA R+BoxmwCqPyDVKJnBnlbUa6Gy/A39+PAiX+rQqdfoWrJ4q00vcpwt7hnd/XH9aa+rOQWtgIbIONV UIuj7OkyAdk3ETpf4Cx/w/YRrDEzEfgi7pjUNRlwLsfwgl+1R3Wjb1bE4B7LGnHn/8Lcbu4+lxlA mJwDyvMg3woViG2pwzA20EUheDn/UDiuXGIQ1nbSEfd71jB+K+KdGh/DuWBDCdQT6L/azyvgLWts heqNFivOU+efB41C/f5Jy1JpJd+iU9BbHT2kxvoqHCfeQ/ZPc0AyGAx0fAAWpXYYXSs3FQNv/Cjb v9GFdNfOWWzeL4vpA1j7R7AIKerEYLAIImoNmq4ncbF+VyarGVPbDAGPcg74A8tFJEdAlg/+cWTQ 4XpRGCMj2oBzcljR7+RQJIeEzdrCajx7/ml7DWHlB81SoISacYgq/oVwEpTn7LzSbJqm9q9+aJFQ epS7w8oiD6Q6DfhHpoHd4MS7zQSJVqMtk3bfrwo4jypIUaRj9Hthskr/Q/4o6PH//4/13Tgjn8p9 hDAVsf71i0YuR4K3L8AkNnZO7cXdaInoNm902JH/U2Q4b2eO6w/rVufIEmgsdjEQZEF3jFJbq7bK lnfJ3Kd9znyrJV/4tJG30vgtTHlDzhovEfW9fagMdi9KxIkKC0xuV32gc/r479MllslMqU8PRX6m T678oAOPoiOn6GFd83rRbM1Qu8BRKOw9kZx7xeq1CpBff6o5LQlFjBRnvTqDlH3a7+ZbVK48HgUq yuHcB39boU9m4LYKhlt7os1HQtjmHnoJ3/lXVbLO5gBY6D5izX809to9noPWqK82IFsvHKZlH14o 3s1WnQh3vLI03me0c8H9X4itJLJY/ayFeEjdKji7ipDMERHuQ7bP3nqFCkoxssozR8QnQEqWJHC/ BK9kUI6H7sajgRvMFPi8XuJuIiwDpLZ8HM0jRCbKftECkZsieKMl9TaayDHNqMHL3QonfXGilGUh hTQOgNuCY8jFyFmA/IhdgQ1gzsomXtA+1f6g9le5pywzu7GB0LO0tPTIinQWvdMiL7Rz0IuDOJVj HlbVCqCI//RBNCKvfllkzsm/kKQI+XRGUJsNjUT1YPNA4oLh9iVNhcW2GRZ3hWRB18A5zPh9p7jJ lxDuFRDmUBaaLq+lJ5M82PCgDM2pvUILHHCTmYzr5mnx0Av1NJ2BoYhlBMPRB1uXQoOlTGNEdEbw TVZ08S2VxtWkz1jakJNJNm/K+2SGcBHX+Fk/0+n8uZpWa6ebK3bwGcChkv5EA/LIT32HENPsw/Ku QO6LyBDw4uXfCg3DAYHoYU3RtPRFuU3DefPz3Nw5OmSNF1Vquv+y3WYvPumakUixjxybmdXLUug5 pfQTGWbTa2t3TV4p9DmkRGmDH65QcjAS8touD7FwdP99UCxcUWEqa+by703h5l1KctQVPyBLXH7y ysFqU+HKs6yzPR1bK/z49udUHnr4+BtOTZMgohnEUgUSBmxHGcTbwKKCQKIycCBstaJaYY4MHYEu XkjK0dOKXxeR2ZTJtswN9z36hTfhEWWVG9nfu+NjOPW2GgxR62muFKaLDwAt+cnkXJv63EQozKiE 8LrqnTjfSHIHvhWdu+ZgYImULih3+/9G645e3CGWrrIjBdq/bDcUUqf/OcJWkmJvQS7ozfSCcZmx Em2OqRGCj+zmhw0Ibn8EpvzUkqcRKATa6IRnOY9z1swf0WLM3KXJfo2o1LGYa9eVmN4rc2FJqZkW fbRmlzf9sGZlrFdEgVV0Yz0ZuA9FuPafTqusg1/u85hDHXFQv59sp7JvxUTIsrPR7eBmnJJgi6J+ YvWWmU2yA6IszJJzNahknRzFp2sDn48QeeDEiDwampfryTKkCRn5q1FQRgCp8zk2esyYzoMM2zNB y70YH6ZTJHhYV2uHrO6lJAiXq7PjupfcNJqUazY6DdLYSOJ0Ml47AF7ar4lfdCQnKLaWCuwQZnKF UIWiEa1N3OaoDxIjjfW3UFQHIkHnLz22AZ4TSE/7//manVDgHKKHYWzzQOY0OvnCFGZzeQWOhLq1 h08cIdJsvoB8GVmfxr6GsZjRe9wSMiMfTi6gzi4htnMkkNcDMNXw+l/LAudnwsbE75gJ76aQ0tvt 3dxgruYXwP2LwvymNFVsw4JpC+0RnlEzCrkuU1a4BkrsaRve0FtmlmnJRPfksxWMNwBDqV1sDIFY Bbf2Wq4z5VqL/iwbl7d5PgV2D5HUWOpNfWgFVyoKmIfFcVqkvQa9DffU6u8IIOadGuDQkiFIh5F0 4y1CTfsy/I1Avx9FFXSQeUZlirvZf30U4CtcCOFL3/J/o3VCmO6ddGoLxOaA7JTagyA2DeB0/1Zz LDav0Iw51nSE0lyW/8qMODrZkvx1T5+51e5U7mlFBPzY4mIW4VeKbr7RCuOwrX2wD5qPs8Kt4yBz 7JRV3QDQQIb4T6100oc8UeQkjdJyQb7aG4ApWLTiApjW4MahjLTjW0Xk7EI7xbVtAC7747XUlp2O rRuIwtNqCw/XZv+SkamrQ1Dim6b5lwn30vDnVChLXZ5AZrG6a1mFWrC/RDeXCiQcS4XwJ0y0wb9Q 0iYrIkO2PgHvXj5bj93Yca1Q33vDtyqLZzUb3pvw2gbALkQsIEpTslpXrsl5QPSrDa9JwusT6InP A5I7D+WtQZzsLEEk1KmGM2aIpaVQX01i/0Nb5QZ0xqivY3rrAKVDaQOZDZaktqJ97tCuQHUeAwaf NI8/acP1yy8rHMXBmmremvnSh4ZizpfdkDOfeTFkjTQIGyAhUSEpKqZKB4BGk9VOsnZ3LvfyekSO aiR6q/XcPgETSjhh686Umnn1Aro/4rw475sQStHvEX8W4JBkRf57/Mqykh/q8bsLQ9rdj57fzYR7 MKn7sQLOOL1CE5ZGAnJ77mQLD7fQf62gya+JSJu95sdUyoc98ZFR1OEDIb0brnCtCjpQ5qNSlBDg K5bi5d43Ws1ACRGQx1SaPUrgikkls1YgKnLsx+zBEOluLOiy6WtjkY+sEyOYj4ZfI/kjB2U5lopW 07Dirj9WiOV7xE0Sx44dwjOgRD0r2v1I2R9OBgEMpuPT0TMedaZyhp1A0VUL4SKu9kFPKE2p/1en m70LCRlAkP8dgfbFD9zDul2QD/eNAElowPqibGo/soN19Guepp8At6Bu6Y+meQBVAvQvav7SUUS3 LVFAFp2OzyX+HDn3XAidoYKW0+fXHLHBiNXsYgwyJbSfVmbiJh5Pw9P/ZxNJokmV3ZyzjZsywIm+ KWw015biNdf6FU8zEv/4PhNvaaEkAkA+aN2Q90zRMUbQLE3UEB3bjctSCSM5vb6XI/UARVgVPIgZ acOOVuo5FJSr94eIGeUdNm/5plpiSLezHKuG6x9hD74wfeBNmLec2aD4KhZMUNOFvE1Mizclis7O 0b6Nf+wHuQ006HIt1c+Byi5qVF8JZxdiij5NQt8/qewBnCZcAD86ODZQPuCe+6kVgF/uPlleF55y q8sF5+TU9C5eFfE/oyAMbzZwB2f62dB6y0FKiZMS4DaTvYzHsaBnmHnViVojPq8VDq9vCoyIgVHG Y2qLv+k4jfl3a1QMsZ4gB058dR0uhXuRO9bJoZeX9oYNBd24WtMQXidJFxv6oYodmWDFzMCnB0cI 7UGAeXOdN6PpE821XjD6E3LiBBsQ6cnBYY0RMEFs2kwpaDfjcLqCVSCGzhF9V2KejtgIIP+Uk/UD 0D77Et0/Z8dvI3L+zRlGsEztYlLs8Q5FzPjkjdci7MR0ws7sbxCWXnL0ack4PV8xhmqoVHQ6RmZY fxVgcMpxshgxTTck5G3JO3vyeEaegyI1l+uFGcO7FbkVDIsSL4uq0MSJY+lm6MkCbUmS6Wt2I6PQ WencohC34Ipn24jbqyOQeNv/GUA+cG1V1oCcSdJtEJ9ofz6cBPBs0ls+aj0xO86c1oQFdeRoQklS vslqc7+hl0yKquZihRRhwVRa8+y/V2Spps6062kwvwAbld9Elnrv4e8YvSdHEVSxSVIWhglTtki4 GKtdk1+ErnQbnafJy59/NWVQ+9j2nZ9jTSbB/h4TGFezlwBFEQjRBnVq8/HHON46y6qG0LHQKXdU HkXWgyBhTS61jnjNuiraL2nefm4p1rNIA69s2JBCWkcbPMrr+12O3q09H5A5eI6FNPwgkm2HUYLE IoGLFrkW+Gd9E7gMCpR45gj1Fv6uY3bWJI0o3znm+Z2w0TJ6Nn7d1BMS0m123CYIz0o/jGsht15o mx/GJJT67XsMryEYWSLvTTWK97NWtKQOJEogeEEobR/wgAA7+2x+akQJ6MsuzF/D75GqphRoRr1p NEUNQsCOCqJFFCI36uxhfu+u7SiZQ+1tcKbObmioCyocV3AYqpuChs09YfX54ou25Zkc30PfG+sO J7weJbbV8UneeVEVOeb6cq18dzzLqBuxjOf9o8PIRmm/UFrut9lOfo+a8onGgRzAn/w1np5UCV+I cVn8zUHC1ykNqQKkkp6an0TBU/07Ep+GlrxyH2Nnpvhsm0oyrNrm7ROdeLHOFuwRUysmfyZnq5ED hmUHNyCGTyDwY+Y5q3dz94XbI+BeR793owp53tgTD92jt8K3disiUq5GEuCfUa2g0Yjra/6lH4TA 9YBTkfj/dnDY5CRxk4Pg0Cc6oGUjTa1tV+1k6KwZnMYKoqvhDuG7mXRqJsK1x11QSL51og6oVcUk hSq1jlMM9eMxQXHikWvnxQ/b7J9KPLvWkLezgPpydIkhUi736IctkbA9YkgSlmk5zxoV9HpDy2Ns Ev8otIQqXZ0TDgkWAFdDe3a13VXZamUdwhP0yujUxtY+2PNlujEwrjRfQ6rW0yGe4EC+IKKouKWC nVvmS6CyzS48ldETGhl292gYjnEgC6UtdDHoo56ujLqxGJdFUDOJP2gILy/lzP7kYXaaUPbStzu5 8/MOipv/WD5RaH+5Kxk3xxFb51Dn2lN0siF/RZes27FVer1FWMvaM+Tsjr7h7fTMZmpUu/fU0RPx mM5fPoo00n2m3YfTeXBHnZ8UksBF6q+LNT8a2RUmx2ooqEqiUs11AaduPbxrf6sewwyYgn89IVFK ADXnUKQ14rXbQwwTYHKsUv2AUW4BMZ2pMGyIcIDpjDM0JeupuxZkLWqyZeOEaMalu4gHWIGPpFcq vgkyqxDzR32r49sEtOg4Mn5iU2NFiPE/+i9ePKN9nqJd/jAiouM2jOJVrHofaSlhcV7fo+3gm+RM RaZs8y/03IwJmzx7BCq6f1VjddIFJLJXmoLzPNFEqMlSojZzwKFcescsgznYD3qh/latDXAN6JE6 Xzdrz13jyAQ+UDMMegZTM/RXJEUOlfD9h21FWy51461lxIy8BkP4u+/fdGNEf53Mu1SC2aa7XynZ cCY4T0f59o1vRX0lHhCGQzvoxvjfOX7ZaLFJzguFmooZSOyZEzGvrrGxM7ZDbgMn+bOPSxL5+TQJ J8QOyTfC7NSTo9MkBpYgaWyYvpprMeIEqiQWUBO9mKMs6eAhEuG55N6vTKfHRFebgHk1WQRpsJKr hVHC32nBfJ92lS5cKKviXlq+tEZKPQCeHHcnNdSSaUHVeeKzVjISxlE6i4GkhJncTnFpHzRCRsu2 we8Vl1HF1l1IE2VS70tKX5q2TH/OJBuEg/XRLxgVzzA4TSH6lNAPS/+YzLEgicNYUmUnzx5ibHo6 gBcZ+YvrS+GL1Sv9zGuZ5r2VtOTbBTN/cXsy0Kpj1se9VeWNPVE0ubCQIT8BT4bxO8by188Ic5jF NCPsVScmfqJEYClgwhrcKed7zWHKJk0PQIs5+z9ostF3jn7SKPJ9ASf23l5loWxNHCMKHq+IJOoP Iiy2wM4QzWRXE1R8M8ggDej1WQCO8UWr2drvUE5y7fWVl14KwbpxiAhc80hJTAvznbGbbMAZVhH2 vuNa2z8BDBjOrxbNv2FWZX2og2A5M2Xlmk2vLnDcY9olwpMHYGDXqncl6XxuElQJRyqhK3kQnOis oK52C4+MYIZHUFjC7H6hEi+dPZcH09e8nVGVgFlDAV94IWXUdVmdCjqg597dkKS9kjr+bTm5dnls ZIwNooFqCZxnPvoQJPvDnfs5C3WsvIVsUP+qKS4z2oukZLvH0HBDBXI1Aw7uR6FLv41i8/6/w9aO Y9Cl626fs6e7Oz3Yv7ENc9PXYZolMRNmBxLZdugcmhZvTjVqSznfarLkpqklinlINLMFp977T9lR CELwGDbnbEnOCQX3op8/3mQYisYx6GOieIj7M/efYo3yHtddXFeZOdhuHk3NZQxxE8nBvkUW8OFJ R3srlN/qLZP3owLy6Tp2I7QQNhUqAfFT1IRZuxfTkjTDeHTCKbQCQI9YOJggUDktyhppgn3Nr+dw mRnXr/AcCGf3L9zG58ldvEJkIzFq+OAss3fL2VZsFg6u+eMFemUyv9i4abeAtYfht0iBfnf1kLAW 8MUtcc9f+NSYJSJ3B5VXKB2q/XY+K0zF7f9Jqj9EjtbbuujSJQF08xac7H/z00w+BPEDpcpr8nUl PhRfU+f3WTo639ffuWmHg3LfiHA5wsgR6tkNEFklVjb5htnKEiircPbW+PM5G/0oOf+qS3BTqHKw t22qRwSIKLKj60QEINiJDDhbbtTeXStIc/N4Uo7AXlP68Ouf8IXfG4m5LPT/z/eOpPn9Y81zzK9h /nL+1/vj/P5yQ7GuQiL99WzMMGJTnC5NeMR2cO427VcQkqrOTqTtg1PvmRKVfXGM4q1ajPOPBZQB aDSff6h+P16nF3zI8qGYDqrq+7059QJGDDtPvClf6UQ+uqwQEGlnT77ckEnadz4tBbuuwYxW+CTR uHwvNeeH3mhTGvapt2IjV5x7vLsMFNf3HlyjBG88VJgXcTvl7E1jYlXT/ksxxdp3PXlMxe19/VBx c24rp11XVt4XtdPxw3Pecz+K507n5fHCrkLyzEKcJAc1VLlwU86mmxRCq4IiNreH+LqDicVW85Ml 6x1JBoUeF4MiJLGCSb15pFuFlknif5AMltWuZgjqCJIiw3jkuRwtZezxLTfMzzHjjkOm2Zj74Yxe aiDrVl12IKSei93MQ/hLx/UzjSzEdFYQe6uexi4D7VIkPotW4IcllvfWNgMQekknUn5UtRcKDGY3 PIHWiEZ39YtETA9jjdFpWQOFz96OqL7bLmb++n/BqJjq7yGDhR9l7hP8VtRde70//3bc8Ikxntfv RrbTtSlzVXanzJc8PKgha55xFOJcmMX33X/MKhKfJbeyEWL2fg6lryBB+uzJa0edUuIS4p0v8S6R XsVsEnFmBURgRx5Ff9sfa++HDoWsU3W5x/F85u1sxRD+li3vZD69yYBs4B2DiGfzNus3Ddlcq1XW RjJ/6DIxxHP+2sqSHWXEzywfNEfaKLdmVwGlwtl/ahmf/WjAk+BB15MpCcaW8ZxiieVDDhIcnhW9 sR9PfWpwt+jF2yUPeQmUjCfZtZt7eGEcGa4H8BZM/qokekZiWDZqHrqJELNshyzVQEzU7IofGlTN /UyyArKZkp8KrWSGNP++kG99XJSU9elF5I5ygDmjeX48+MU7kgV99ia9my9FQingFmkGUknA15VU 0PL15rj+/Lj4KF2JKir8S+lLCKlEDAQD8L3m1rJZ1tGUbU7Huv2eDgRPLKhyK9W7U+BYl/yeoTWS KjaOwld+n3sCT9FVgU+66zTwWkeec0eCbTAmpVkCNE8To8TxLD/QEaoBHGQVOWhRXA+NFaDB7KZF 5kKWxFxRgoNzq7syQow+t8rdPzLf1kLTKnagKokp43pWzER7u8I7MHFl0SniB4nlYN/nWaTgtTXN pK8uPuyQfDsYl9smPF8aySLItAqAD5nqdPqpvUiS/xUNZw7GHEr/VbHAvyhLUZ28a1or2Bh0MMJm EL9VlhsPB1lDyG9FyPJ5Cfw2ddWnUGWQVy0P68jeqyRVpZNgOCMKHRFCmX1nGc3FqKebQaDPu14E rsNMFxqhR9S93c1l7Bxpg2cDJOamWAQhuZkGV8596e0XTcovaF6w5QWoSE3wXThtJ0Sw6KD7BDab OGUf5Zg+HOcteZdlWs6bVBdA6uuvBklZSCOh/aV5jIQ4BK6+taD1qfKx78xaDlIQ4Udox4p9BFdV lb+3lfgPySNCtkf0DsTpF097ajHPsPvL3kIjdueABIIz+wbHzGQ80+TMCDLCL99SIPm5n00aT3lg tad02zIuTYlvUk+eZ2Lgc+hbubTHo5HFbR+NHEXJLSTHc1l85h8VYogkYUlhluj/WCoIlN6K6kTE ltt02UhDX4VzcL+MQVIwkWI/7Jfk7g9BdCUJge+XZXCSTfqCBmlSSyoGnilvGlDmSkuaGxSmzLHM C/noQ783+nw6aPpBy/Y7pWF6WcAi8LFw1MA5dKs1zdRLZe7oGvVr+yz14X+deLOIrUPx4P4PcmO9 qWuLaTz+A+oy604AeoMYZ+Mlsv5xuDwacRAmWxVXpfik11y98W57nE5fXbzMAawVtEQz4yI1ofl8 KRprnsPFWXGvkB+zC5Nsy7Xv+YFhzbOxc7JaPFr2xDtHAWVQOMVmERz/rz4m++uSNqQ+/jkZdO9B NO3TjkKwP2XnBDiDxT5m34NVKCoPk7ZqRj5OKwRYidRTvn+WgGHoBUzRNvy8p5xLOIHUJbWBZDus 6aNKoI0eleBRZAPqtuIPw8pmCp3YuCBHx/ORVBtoSRbB7+QV/VHtKCTLnhhkXe+VMmhMgt6t8C06 rcinI9pWTTSYjU0T2bzV/Vus2rb6+0yRL9TKkiTb8GkrzXp/780O8SBO7j8XZe086kf6/ViMmWZo cJiuURxKuiIbRqD0FXn1Lx3X6GJtiZz+ZQwji87+Ydltk5N8LXqAWcL7BYZLz0m8ZmlbS17PwoSY kp3WyBrQJQQ60PaCmxOYNvIuR8mDE3XgkleqaRSErx2v7yNJoiRoSPWaID9DAfSHc2LgpJ2banAx LoAui82ynn6/PtjNMY9+Ar29JGIGTjAOAl9IwuZfAQlwIb7uDXv2q0wSpX6nOluDNQ25p5u9gbtT N6DQv898GXZUm6uApAhso6nUdkWh4Yxd32uvIsiwKisS6c99eFwayQSmHhGiAsJG3z5Wkkp5lnYh 8BOsqPSiBgw1zS0LICS/UfF2U3aJK3lSDhuo8ONAH4Hev67ubcSBrmrPpwJoKQKWUcnlKOGlDrTb iQAzrV6RhfzISWO3UGCDDfOS/gx16Jk6wKywaBJ+dxjdpPZgqMdmu2yF6qR4I8iYIxsbBvOWfjyB 5k0S7UPdQ4SuF7io2YFbk7C3dJxDESTCn3gxDbyYQ9NzczM23d7SqQB2new7cHdaHY9V/St8ESPi wliOg9I7N7siU7hdXO6CA7mZYeKLx1iiidlAvzJj5OFGbLe0ntYwISWnY25JDnGjpitWMvzpnWQ/ cbMcAmzAvoTu379l8btpDB3Jl27I/sebeYiXBtjMM5KWPbZdqH2/yBeoElE1n/9Rt8q7T7QWlnoc RLg+mBkwu0oLYpjjE5nuQG4wOlwaXFON7DsIittei7XInDjT5Y6T3WWvX5/hbfDfUu2SRlxEjars elXM9uQTohlhRKg51s/MHBGn3URIgbbxX/Nw8wnVGaZhzXMcFJZ85sobXj/TbfoAP1w91vaxi6vC 3Ot5YqwxVdotKQWqUc8lidFXCN+eKnk4gqSK1hZyzAkv9gqt32uUp5y7J9NzfypS6sRm9uEEIlaw pZhnh1QT79RqZ56D2OGX8bdMvvYZLIO+XaP0pvUQnr7vcLJ6albLDdVd4C6k48EElJVVFziFMjud LHoVuN7K/utkG2oqzrSld47QAh34Tq0YAReD9CjH9GRBVnqvYbgsAc3b8POLqWN9YWeIJN7GkC7N owvNTQs+X86a/B4pR4BrBIIcJK9IuUdyekI3XvO+Cjy74T4ZCLHANTFk+ZaBtgaM4zj0eA9ywtkG /+I9CfnFUFdBPrVY55dpctEyIfR9oACb1Av476TFulThfhheuG6U1C9Nk3iyEeg/shzszzc0NmkF bEPmUV+6EBnFklxPzNo89sknsW47FElS007nu+N92bl2TJjmprDoNie6qKD1oGTD1OI9EuWo3tMK qwYjzMMcG0bjByJCeo6A9n0Nd6YCNO/+Qt0tjamPw+qHm3a2vbsFrpfwt0okA9qa2fmHk9vYu70c ykD76AgowsTZjp6SJPOsm2Mpe4hRt0yeS3u6MK3m2iOxDSVk7eGyAM8zQsrPacDwqdtui+ursy4/ k1BGvzUHq6RX5ORGQg+NFaqO5qARULmwuCl2hMMvjiGOQXILYh4gVd2oVgz9C5xvufXvSZtLftDL 8p0FQEiwAvvh3p8ZcH5mVMIYm+m632EdmHoAKrJKpKS4E/q6DUjrzDcBaW9LNA2HbnoEER/5JRu9 Xg6WDSItVfERNe+ah9IA5fhVCBiU6rcRINZHaUA3J+Co3PblmN3TP2hAHwSfd940q4fdBeKkmtOF m8y5LnrPqeSmpJBQuo/3W6EYxCK8DD5dYgGVw5PKeDHqS75+txSJ/60pCQoue/ls+YHrKDpEnSiP Vnz/qKgOlZq0q+sLjw8LmjM2ocoaJ+ZBOC6AvqbtfEgWa/pE7d+YxAiKSE2dQxETjzhuJziVGxKv nR2TTJJhb+93rBKEFFhmtl3ic0xlbeG3OmHXZ0saNjoE1c2+0mVKBvuaJJ2HLJuOL6FwkIq/Mp7I 0yK5tOuW8pp7x32420z5d9gqkMPxzhklZm2M6hckYQQAgUHLA2SldRpd3qmMPEzMPLzMM04RZBns Am4oMQWfZ+i/r98kbK9Efdq8QNrE21+V6oVe7o5mMdFDhoeO2Z24D7xAXDftjbJofkLkC0oC5pAG 1HocNnslm0wlFID5tZGKgJgKd9sam8E21XXpPkp08pqC1EGt8EDLO7jacgvIiEsGbiAyfOGuvzl/ 1AxkC/vDluKTbXdFs1XFeBXThjtnGoo8U9s0ARxM1hr2kqHdPNyRn1n9zUc/+l6xvlJ4UBAZO/T1 trpk/LkvQoKbgo30XUQQk8faqh4XJqw04sokUIAZpoeW2TBDlw8VbfEhc42R1XUkiHv/TjgWh92d 7hcCdZZ2bBkX4ONLXx7buC98/ahnR5hIDL/9CcOhB368JTXvMD4o3i3avlVFtnxX/PdCGMSIg9KN cGsYpXLuZtjr5pIW1zCPADJWdq0eMX2xdljXGJpqxPN0bINDBCW53byaz1dWwauP95y9kTIlccSl kjVYndN1Q4yxSszB7SMHdPXV3wIVQXjXDbCJRhSo++5iInSte1BWd242vrWs+toYgloQYAcM56SL SSVWbtTgjBA2R6o0BaqjGrJDqNL3KPABnghbdTYLjmZMcBnFWwWB1XmMUucHLkD1LhMA5nStHqCo zWAt68KNa9YU7Ox426/HOp2Qev7SysU8O1QC/+uBgg8ioa4BC5BrHhGLF3PeygQsJ91IeJ097Arc nV6oS09rSVIHehkE3DannFQukNJOgyvYyJ83q8NQCRxFnUhuqF3BgAFDxBqywozPUltwXYbuRID/ 4cWgwGO8itFBQyEUYd/oJd+OikK/JbAvWHcGarjY8Wiy5wY9IcJ08XwRxJCC+DQ6brXp4w3Fj4Va uF6iq2EZWyJTnErf3WuLTQVDeP5sEC8+1R58XPohiydOMkaivM3oUJD7qx9hTLDnKkcm16eE0kJD f4DhMg3h+33PDJDZJvn1OHCDcfvWUwg3P2VcVfTnoVgdYDzPUsvz/G4NH36Yik2ZnPtRNyr0AOS/ lTvU6rNCh8d4MhJaGSUo9H2mSPRdFtKBYSESI75Ip+HOpqK2JOpgZH+vSnsicVFo4A4fPzXqTDS+ tzBQeVHygyU+f/KBgyQb6mBGPMZRXGV6Z8sqQeAMGk8SgmDoy4xPZnbcnbky4rHfy15p2QDvJ9iG ucarzQZrYVi318yZdYZLTpj8KgilJ2jcwblT2/R5+PuRvoHmz6jVnqqqt6nOBQvDBeky5SDW0CF2 w54HzUz+5cOeXZYidka4raAgOJG4AmJFFlJnmwJ8ynRdCn3vYsKSidexYw0b4koLcSmL/0CuwXyr nKMO/Z400l0Cg/AOjmsSGNK/j/iX++Xfwlunvk/I1znh4KGWqW2GkkI9tFZ1d024x9zmblJLzn1A sPJyuv2F1c9NArztWzZfSC29D69uNnEJrjY3QVfEEizOXZZIKRJ5fS+DbTXhQbNGKMYzUvNgRP4d HfP16EW3UwzN3DbhHu9ibqwQctO6/V9fkU6zoWtx0gNh3GpiOZHa2pYg4G+KSjLk1oCSGCPws/gA lHhe/HuPe8Yyp1DUUP/BovuvKWv1A3pIu4NdO1wKo0exSjfDWAoWbuQFyfhKV+5E+GAh3lozj2NX GNQEsa5tM8MmuDFaWPasOKQfosLkjdx0ZxzmvggzLOyhUS7EFve4sjlMTsCS2SJdhb0xlANKsYGd 20iv/Qnref1wZpFxoaCfqMn+iOpzy8xz+P4QT6lYMOE3TkJ0jZEpnPpIwVtIvSq/FkyqvWO1dj/e jAcuQd5mxDbKEXU/BpS/OZS80B0eYmvdsGS4zattEhiruioZqQmFb9jsK1ZXOyKVLeFqGtf5HntK 5HFdQrAx4JpoCH+XEUII+j0IQbCAC3S3UENPAfa1duonBTXhBtgvvsN0v5OxzI+e7k4CxW03QW6O WsgH4hmMDLSIfMPO70K9zlITbsaZP6FdIZZ9cHLCOy1vMxhboNxOq1EV7bCY+NaHNdoWq0C3aT0/ V7k6UuftHElZms5u7O0XuBKSWrsCLqO3u5oFCNUbqfmpAFU5+DegtDREEP7tEyGSGWFauiILMFnz bCyzK7MYzA778vVCCTfLFz0oDHdqPYcQbleY5BXF0u2Ow6T3fRxMGk8CNGy/fkmKXn/dy71FmuGR 09Pmyd8L3Eq+LUiDpqscktcicsu0LQeYk0jEd9j7484YC6bbjrvR0EnZ3XuRe6PLCgzAA+1/uQSQ sD1IkrcHIKalPQfzUaLUr6yQ1vvVES3IXEHx0WET55TPbcUWpx45ozKiO2LH7fSt+4yQ6gPU4EU+ Hgc1lLjgBxz3w038+ipvXu8lUG3nL6DU7/rA+/+KccHO1NlRRSzw/LzlzBLjQ9ZGCsawfnMexrxr YgEfwKLIy9naDEhD99FPhlcuSdfyq+fYFYphVyv5FT03tAz13DAO6200zLV4ZtubWMvKSke9UGQI PqMpuu1DXnl36AJdfdsNVkRL/k7h2WFz+MzCuYQaZ/Oq0DzcQHwxSPMZh5LakVPUhlb5p7cADDTq YEcHE1SIos7jbKY4UoZXNfz+LDEVDqocVHFce3ptLYg2O7uufkYpi/roXISaZErcd9sj9UYGX9Fw xLn5TnawHfIL1ivcsQdV5ZGWUU3Ma5UXkOiGBBfqRE650yuOPFg3EpAk/CNMZ7ZY4EKTEFe/qqne /Q9w0Sygr6Mm3qf+xrw/29uKFTWkv8Ey3qBREm50yitWW7Zug4hXBYHQVEM5AntPm1YIeM+/53rC WzS3LLa0ANKJbSn525pqW6wp3Huai/Rd5L4XVuQQPdcNiG+5qIWl2xcqxJo2YXT4zW/wOVerM+Za +hRHD+R6k+B6pF4777b4KwV9WAHxB8XQypo1OeBdIEI+dx4Gfvn499w6Jz05R5X32ECOhTkZgvHb QD993GbFZuWnDo8gGWsuhmGSbk8UBWR2ezTQTaWCZpRg3PS4XMd+9Y6pyZ5909W9A3Z/+MmNjXGK Ee+S1i8guaQvQ2c6QXUTc01qYs6eyg21hTpkJbsuwI5/SuAogFvg8Q9RUCImQatVajO20xJsrAPS IykCJZqqBZAjVzSTaKg3FlzxUCMsT7AyNSZohNHQrC9IisSVbB9fkwQOES7G4PfY2EErFstDrvC4 qrQbX0dl5CdfrNkHCZDBMbHY3jdHsg/b7V7l5e5Y8Wr4qRt23KAJjCFpZ2xG9Y7kGX1g7GwQ9nm1 njQjgpXEF8I7270nSkpRBspf3v5c9jJga6HSDN4FEFJ9gwY+xwB32yvkp4QnL1McjybYMnQRkNbp WiCr31VQJT7XPsOPkD3aLo5DqeNFPZzHDjl/RPdpC5BKC8/ZwqNZGPRTTsrpMcTdmTdK0EoUrtlN OIYhUsQfCEdUJDd8HVkcVUtnQEw1cCDZzXDFwwtxK3CgBIwPeQj/c3rx40PR3wcK3xRYq/XJZqGY oPB00G8I/cOpxYfEesyI+fR1EEpffpOFGz+xV25V1/gUr5KcjpLpMPj2uXnCOo7EE3MDKDiST2Q4 Adl3twVy+jSkyI4PsyKoGvxGCg1ODz/hqts0nJsLR9mCmTxGWpbUUs+9EOloTKIsBqpuTRPXS/7y /L0LaW/TjnR65S73nS/5NvlaJtDA1GSpP4itJB8vWOCuqj9sT5t8HGxauv0fpzyn/A/9hhuenkog MTwevdHIYF+mLw67RW9Jy/og7wqdR5vXGeqMK2dOjQRU48Dg4PFKGrTVSvw7Wmp3/7rCOA1Ex5RF 1hrtdeT90C5ERurf4pugW5g3e+WTOYRDIaTn+PDFnMv0iTjxipFxz9CbMXW5+NAzdXdLmVpMddr6 nMsZpqxAuUxsLkRrqdhdtxUCwVuKLGfHy9M/vfQavD6t3C++HOEDcFlM8ZIvLF74XG+AO8Jmjw4e 58PGM9N7Wu0xFSuhfPm7pfSdiNveyhHXR/bT28SVZPpRLXSN6YaRSoq3IK5kMOvYTVNdFEKjNSmE 4nhNQBAT8KEhUcYlC9wpeNaARERDa94fG/tj86X5ZXOwviGPC53Cu7MVqO4aZqdhGNrExjJHRHEJ qp9oVsgojur+J7GUh/bKz4NrxLUBoWbNY0ZUgwse7glIV7NNm9UVLAf0zBtjQX3li9n6XJWlfbIw 2rJaE175Ctzh/amsTt/S8WE6lPLdlUO/MYYNcrihZLHRjQP2XQNNpzA1sRiXP3wpnicfJm8t+Rvl hFD+kcCKZ1dsKWZdSzCB0Ldh07Tp1qGxW55EoBMjsW1sOLBVWf0GVq8TXp8fv3wI2tWm+S02lQp1 aCOoMOT4ZCIYR1O1oariEROYLFdnDXbV4Q43n4HpJ3VATz/zwU2Fh502RAd7fGmX+4fNchU1Ba1r tLsbLZCm4f/NtTbg/cWrLXjmr18dNt0C4B1sEzI9V9MqhxI5y4iWHT9oUJ0p1cDnTABcrZ6zeZ8h uiMcSbK1El4PLakWMOQWZrV4OAtBQ5FtOd4Slsbhb2uCoKVddrRfRytWJe2VcAZ8erzQqW7jTeKA NotUFHt8VNb0EGXm/PCHOLRoug0b+5MbFzsxQVUUl4oMZptq1RpMSvgy3LPySBfGL/+l6yuI1Ca0 6+MgY/GgTfgLNhqlrTPGv0yBMKvIXwJpcqRUgllS9tesA0EGXZQGnZneDF0kIPpUA17Wz5mclFvs zb/JjqlAKHFIeWv5FuqAJrsGIqFTyrF5uSqn/LlvBdz/ME/eVwkOFH0AYDo751ur/whZGuge5Eo3 FOay72kS1mwIwW/M5avk+eyvcap8XUifhjA1hTnlCG7vAbWOyHh8vAmUdEKNNjtsom5m7UnOwxkv l0kFAjQHdV2WFZcogdWDw3wxtKgvuHKQf6CF9jRPH70t3J4ec+Mjk3Pjhtl6GHP0P32YVp1IXqBb N+197VUr/lhMKSMvSYS+KpHmjvvh4ISqI6UR7Ta2TPJLgfriqu42ScvAUsU0syM2l53UdStpFB2V 1m8c9vVFFdtc7myn4ciQYY/pJPA6ee1UxTwOeEd5MQ/+dxER3xvY8r1weHTYt3ecjBTE24f2zYh7 cWCD/z4SqrB+l09E/yIRuMJfK782LXJPOjPVeSnKcI+TH6IFhFhUYfAv+MoA5JQNcIRo5bW4b1CZ uzJDbjJ3OKXfwSrsD8nvs2kRcgNtNkRICNlJHayHN+gUpQnJVQ+ArtmSG3PQc0Xn74YWAg3BWpOj WIabpXGgbvO4P0npfAFpu393Cm3bjA3ZuQCd/nHMXTQFAcLYsQRJOaiuKw6TBs7VLjJK1wTTlQX5 MHvvdYFdy8nYXETQKHWSkWwJGby4vCIjo9UzBcNgfIfLa45zCVO6VWREJQhm2tmPrI3fvjsdp91p IniYJBNCW2iKMBav3p6nK81jAC7UvSOG3knHeXdXHWRYkU21uBoNVfwdld5ahq06KgLgw2OXhEQM IB6SRjwn1jfFRzcTCECO8yDzdWc9eccGuUFQyrdTPPoS5oi+YrB8Ux6a1+VmJvQs5e8dbik9HutI nkLeLS3AD0PIMkyzbtgbLbvrUpeBr/JcGzuWvo3vr9SkuSGGh/dRo+7tlIb4AZ0G41gekM1c3I5f on0ginComwjeaD4GlIM2X0dMe3tMRxu6b+120GvH01YwxuveYFtcEa9ana7rVqHodOw2UBtSat8P wC5vwJi4v6Ctvl509skzgfdGm2N/2DyWuE4vYcmGDsEPn9BIKkvDWlEZGcdbJx+d3m1RCl8PfSXC Tfw77qvbuivREixOvyJv5XbZDmrnvpnbjTIm+khj/cdGsPyPIO4ToF+TAeNn0Jfe8tMRQ+unpsek W4SmTIlqIhpZM3DzZtIhpP6GCQSqKwoh7nlWkTI6WEIS9twVBEOY+J77jUsBsRBlPsTd0Y32L8rK 1AF9N67b8yieaYmmPqblJkcqY4BeDLymRSY6/cbPKZ4FT0J9x2YmaAGpOXjOcVmD125zyNzOKu6i CbhA/pfKRgcgwX5YdGPnEL6mbXb4eigimKH/lU8T1buKeglHVk8JrYxYWnO/6BeuVntzVZ9XWra5 iCAyh7ANjiQxCW4o0uctu36J6mU8s7aBti7F9eHliBvKInz5s+7Cs5XWe9OFezLu9fIWrv+7pj3q epgIn5donjKh8shUGNBGKE57CCKr9iGPX/vw6/EmtXyBu/wrYqzBOnKLcGai1FZ0MA0cey8kiuD4 lRlnME/xPIoS/dj+HwgQc56MFf6CkcDTN9r5lAkc+jeUrNxwsahMCpD6U33n8Igsxrlb5VXd1bMn kgMKgs11ZpnyNF4fVavgH9oY3Fgs1rLNWCO0Uk0A0oLCBbzDAVvn+ecuPWmeTxJKDFMd16Zy+loY kKz4htTCz8wbvVtdQ53c1KXaOYB0POfvJppbfT0L+NTJGXHnq7C1Nei8GclGvQYBPws30Hz0n3k6 qtpyj+5zl18AE5JgTGvFDzEnLW+N0GB5H2GNRZgTnb3NJVghlLErOw3oYGH/NEdF5DcGGqYvLhtN nFoaOB3pfQEHFd4wkNOh8TXyEqe8ofPhR02VbvuwGF7IjSvi9meGOlDU4+vmbnpDG8xErcf/BDGl nyWhsb4MAFb7GdaAznK8KgJgxCBvL0pP1XwMH6Ais7yT68G65sk4X2U4UQY6oUhhXMNuFbpqnlAL JJGESs2tc6wDUNiLPdbMZk9+wRmiYqc74y4pkV5dc1ILm+04Bdv3OgqXgUOh43kOIfFxRPHGVWZZ s+FQx0IkPKNMtztvYBBK8eV9mSzHCca+E15xs4i0/Bjo9XCcvOlj8LrLvGJ74KQr2rtRGzAW5vIj LPc1m6JkvHTRLiZoVVibAUITImzJDuP4v/AI6nyWZoAHHzmZpq2l8Vud0bkZ6mL/GLy7fq7jbvGn bGZypm66NBAtWcOBZDCNBS1HkGA0RKWpKyrbRAXS3XrLDwGRtb+jdBNmBEzAWxEpagifdwHBr0qf kJrR/TqtoAZLAEH0OvDhnu1dkQPtFFnVdQxXvD/j+w0maiEapQ+ttL7ELe0AoyWLkJ5Y+fHjG0CM Nsk72LT5HEmRbV9eVIaM7LsP5xLwttCv35TxdluhCrYfbPovsKPSfuIvWuQ1qcbEwO9nm8lC7gXA GppCzrORqK4DqqIA15kTqVtaCnrbUCnE8OzvTNPVq7r5Wsju14CQzrCn1QnCFtG4l/1vK/iAgTlG 6ooBMJvmPTd/ax0AeqJlxCfFLD/AMzMA1rRXExDXqLAxLswoJuXCYxt2sbbOKbCmWmYzDEX6tw1d iN27sEB7qbSBvoV60DAIEv30w0vpJ6fmvMVA1iib8x7Dy7r96OkfvplJSGVtjc8zwwSiRTqMkssJ WVC+dB1dyZy7VIiM/rZ8dOHZ0HVvmkIEcP7jqBeUIx65ZrQK3eMbsPHs3SLFyKP0Olx2SQ/jgiXg TtrfPTQ+Q2wJVdkhKc3OLly/a2FIDqMduYvQtvUeLjfqMVtdOKbrUMNUuaocKRzDt9fRvb9vpgtk Q2DQh5skyHoPNh70nehQ0K7wZqNpK8j05wtmKRJmxqRvBNYB8xsEuampPaQ45lkM8pMypBreKQIH yVKiUtIwlXEi9fsUskPeDSNeprQT71/0t9iTqvp0UYwnYeZ5f6+JGiwAYfJmtRaOLVCyJEO/yJLg xSjiDZ0PG+OpAyYl8Feqt/pVlTdeLFUsmS4fqD5bOLwOCAYNeCSVfkNZNRpfq/JLeSPOWz/jX1fP lckFAKbvQ0H5C9AZY/EcUX6DJUV4g8/VXsIDTIC9DUuJKBrrOst7bqCNvzovkfv/xiQFvHdOYpoe BQcr+/Ibqqm7ju10fKlGb90CPhiTt0d3o0d2JHLyAQSYys9UVyM8CVfN2p9Y1+II+oCrY47+5EZl h5/jTGZeNXLiQ5GY6415wu1aEXD3aoXO+9I4/gYr0U3Ikc/N1iXDOpYI+bkUxsmVsrK/ELZaWKKM gt9qWYef6ZcSdnLZra3qclgMwYTDxSpFuOleS+GDcfpy7riacEwW8n4MKd5gd50XMUxWk3WQvMbI cStT6eJ+tzY82+xjUwd3KxxWpWpjP8UEjMfLKr09QsTiatQUFa/NthMoar+sGK/Pzu3R/9G/SJAm ZBZAmhih0o0SYqu3L/Ck4GpzT03nPIHeSAIefE0nlFM74cKdg3wxxA7Hi54OOzyPbh8mdnanoys1 OZ2dFY+MGve8KS3Z4CDcTCQv3cRwaB0joD4QgWzf6KxhtrR3tRhQ5B/cxb25ewi9NgOxa0FSnHrB oQ9fsNrXOYb7GtGuHHOdDhCy7mFXY5awWm+aRE+dOUVHVzavlteB28AoR7E0y6aOws8M+c3Gq5Gv vfG+vvcyJ1TYRiWfM7hJ+kTiDX9om9kYvnKdivjXFIJwCrSh1EMFUfQ9ZjcuosujCU00Vgy7Wa8K PbQSUspzIWNctqERigmpS867+au3DC27rAIUv97mlsrRmV7zOLQXfTQZvVxOmk14sE1YOYi92Uvm Nc7vhOlh3eLYOzXMPnrrWuNfid30HKB1dLlg6JdMVlPchiHqOCCn3syq0Faml9OcVFFgnS64jy8B Gv5pLWIMrz4jFC1F+o+3hXfdIxXfRT7C3uAzbAdAFSLUlEhxk186bqWe0TTML8N0vObKa6k0Ut0r 2J6/M8r9vONCfwnuQQWHVz7x1jMOV49tMTCab/+CgpDi5727pAeD75zi02yt6qFqP5P9WMedpm8p +FYGlCEdv94C3g1RwUebnB4P2jKSbtcg1mxjTd9Qwv7br2RQILvkUrvTRjZosnMDbxO8J1+rDswZ Q3lkEazOGPo7SBowLX8E6r3h32kytjUaQXvKl4fdnQ7CDHXFRs5QKDWXDu6c/Gl55MrVqmWsxEfl avaEGMLihXkOIbfgBsmO3ZQlVA3lETxuAmyG9I7FST385e2eJeBOvJRtJ4Sg3lDZLm3ZxBCIKJ8g Ed8y21UUKh8irExUpg2NweMQFAKGstLIP1weyyMUm/SsIcsxWIwuDHHH615rbxrEDpOj/bioarff aaVvu8l4pQh5cNy+zaXICKJ2xQdILKBKDeZ1AbkFhQKVIHpI+xZlZY5cOQGuQLYrVVkZF8t9DOP5 fUlCBrTKiCKcHYghcrPcPweH2bwMKTUeAz7hwNpqcKeKmO6wulQT1OCSY1rPoGWhNAuM3UCzE/xC ok/bXn7EsixbWnhvK33CyzTc4e42RA5O5XwuurA8ZrnIb5x4dGnZlv1vKC5yn+SdZGUMJBjUM+Rd loJxoq3mwdp+W+xW/68I8cTMo8sVqDK0SKtSe6yU0RQnl0bIx15s6XciFrFrQVNV1Oqj6ddpgH1I XWzWtkE4SYAZQAO+JDQJAFfPGkECyqiG+mqBGlNPm0oRtxHAqPi/zCLJyhnXg2glx+wFORz+xEYI B9jFF6G5eNSQglJeJY2MVDbPxVkCEtG8rGApp73XvXB/MNuetuqnKTObiia9hz2wEuPCWYNkyytP 0SRtT4GwvE9ehmns/Fcg5NAa1iQ7zI/HSpjqpIl0SHfav+Pk+KG5ZOzspCMPoHwe4qZ2z8okH97S 9jL1G7oEqyrQCfZUlQrredTunMLORm1bdGo1q69RGCj6TCH2xY4bO1dkiFYfMA3v2qyGbaEJjFdy 9LjhFPW3bHEfql8eIqqKnWgvhXdHZf9jp8NQx9uZmA+9U8DFr//YBrHasu3jsaghnny0nMy3G8Kq ji14rZEOuA9SkecB5RsEa/EiPn9d/u2o7aM7qfO6EC+p4w6xvFaW84i5aNnnBDY7YsOhiwpQDbqB 3rtC5U2GXMU1WRLLCucQ7O6CDOoVWDllICRAdObNRDQojdSTOzpFMBf3pBucfBal1nFyp0Wy0TSs GvqH8RzToQsCQ8KDLO0KdEQQjbNJStTzMyKLtMohLzZPCdEblsw9RHjGASXs7jSB6OioKlNGQifh dbmP59xEZMCO4l4XL2dZMpCTj8ILDdtj0qTp5hNTjOervxzQ0zPNje1gvWio/k7Jn8rU4LN94Hbu VS/llAnOgB3A17QPrv2/DRcuMV0Ke+bAiO7T7VIoX7QtyH4bhlgWAjgpRHtr013LosGbDYEQq+e4 fJ2mHB6lqc4uTX0i0h7ClZZNALIvNbnRzxs38UFAlLYrAcakSoKnG8eNfQi4+kCxNgmUixvxLPCp 11znrY8LZ9OiXyPC98qYgtopYKeJaKhIpx/XckBicDdhYoxOh5ejiRkwkW35w5rFstm+gxFuEcdF s0gLqpc7Xtu6o0FN5io+k8Ag2dYE6dk+jRLWIMUeDUGKaJgMyOQgTkuJn0vSkhFyCxDLV94bbqbt PE3/qLS2hzNbCr1NHx9YGAPeOASOOOjzZZvU+38MtBvjxdEkhWtQPbcp2I6t8001ZQihgTwZoNWD mUGwi6mspbr1G3jlSQfpv4irX2U/X7q9BqOkiHxcCiw8CuQUbI4OlDVWhzkQJgjKhk/3F2Pwe6+6 AIHLU7cLSOmdWkx5zdp3+9BFZhSjo2mS7tV9iYHXav4oZwHE7YJ2Y5N89XkhByW5j4JsXzZbFgb+ 0G0B3ZOAeN4Jut8xq4mW7Xh59JOGeTfQVyCy8e0snI3ASFg+lxhqUhn8azzOYvDonqiCB/l/Ubc2 O3lIqHJO5GCfSsW+k616DUpdMkWL3TLWO7nrZr4BugUmG/eInyZUbljkv4jdQc3bs3Ka8eH1RRS5 9/vhY8I6/nmiteW8oIWbFZ9+9XlputtykhUCMhIXhlVA6h0v96OBsX9f3yJCmqSo5PrJghVrwnuC ulM+eE/Qtfp0X/xPfjBCDlGjEtlypuBAT+sSvFD/RQFQB3xw2wF9cDD8dnoivhNsMhKTJ5pRrNzR 6BAOuxs0GFJzvGlwrX7/gkdteqWSwEpqOWzJohSy7m6ejWnZVueNlUPKKAD+DtxTkkbyeKR/Hps2 CWVJ9cmpjEAm/3W67fsF2/PC5HNp+bYzwGp5ZE9I/HYTpzvTQ6MO6USCfFtv+xq4qxxlnW5d0VKd VQM0Fvv48kUkxUCtDC5Cb1d4y3eSd/Cn90SKtMArwbmj+L03CVQ2rMgUf15ViXz28LuMmF45KLvr qbJyviGsXFJTRLr5rWftACisA/Q5eZg0Opo+wJ41bz95FcTzNmrRK7e+vbAbbISGYT4ZDgxh2sOy gegutU27fdvQij0npQKcVLwvcpUn6ZjGnh/HnKkM71+hdUS+rlRBP6MYJdyqAeFi8G2NuUtKVT1Y DHqdljNTxl595wCr8BQTonEhB8jTbS+ZW/53ZnXyd7PtpBYXBUCRMFuGO3sAKemzOjmQ3xvQ4UdH 2ruEXXg6+DwtHplYHVYGYsWx/B88HpF4fco/+cxtecheIekgS0F0Jf1kr1IRivd/JXOIb5NjMjjr Kvj0Q6e1XEEIrLCMvIUaxN2yIS0MxobRd82lgDm5dezYlUtR3Kpn5rceubAb7aMmRXFEeFgb+Ahe 2RYB2zBNVQfix966Hh6cGC//z3eMqxK71s+XdG6jUFVXFkHTIQAPRBhV0IJZoNiA5IbsSyojjjGp XjuBvbsaDb/d4N8nypoYhM8fRfUlhrEF24O1+molyyRL84Q1neylkFq0W0HmUsrri3OFD8E3cr47 EPSEdbA2EZhi9A9YRVRdG4bz4LFGnMncwu2P554C878+TJ4tqZrH7Qj8b6P/QrtTZRFycVkD9A0d duNTkm/2//POXpsSYghAcK6UE+RgW/5aQ4DZCWWHbubusu1/FQyTwgr1/YkrMYSEx0/UzSMrEuMZ AhB2WOOlvBb8Cib1Dz9Nv5GHI6CVNic0LSY4RMxSJ9Txuoicq0IvkeT+JX5AFRyHPvwwWb3LY1T1 4jz4g26UqFSdBtVtyiISIgYn+/fMdn4ifGjWlOOZrFiRRJBrAsVgdxHZWJan4i1wYLYXivSZtoa4 WMBEknl+ocoki1D9v4mw9AzQ0HbKdAC3kVWc3GqE1x73ANY6RXSIax8hWWASb+TfjTxODdV+3Hx5 IxhxCNkYjI1WDWO3Lgtbm9EDMTlwMfrt7cBkdtZ5G1TitTLNVlORotIivCjIO5lBJVGpuLCFA/o+ qdYTnE9BlcsJU4hJiO07vRe/zn7LHAJGapcvKbAA0p186MS3gikFWIBKflqiXo6X1i0ExmBPvx83 wEg6wh+tvzx5+hnio4HUbX+i7LbcirrGXjroYmlZFODzNEvp32OTDtpoRh9TRozZccs7asTxdG2u 32RJKCZAR82Q7mjHsudG85zXm4jef7xkfbBwbAJJ3U3sejNj1P6S8MAcc2yNK8UbCGwRZPQB4Jvc mk67CSvZmhEYtX1Y+9U6D0JUjKGuNGtMUJdK5VyLUzwgARlTHZXXHUhc1viRMz9V8wrxDsO48Xyt vQgvYJCGl7pZowqO0YqiANML/C4n9aWnkEBB79qClEVLjwNqwegvtERnhGIhlj9o5zndVwP+3LYc wqOQWWexG3m6pJQ27tglwkooaf3+Ky92FdD8A7j+TOQzuhOz8jlFcFOMqGilenHZUYoOXIHEn6fi BJAE8yp9TzCSl85XCo8duIPuT1WI+NBznloPoDn82fAfcgijYCeEn6zWPIXKy35WdmKhfdCxjkzd Hsh0g8DX8sScbVGwQyh5PdQxeZpHJzIcQiwAyFo+2FZ/lQp+7VdCFMxSka6HrQBBHgZXTJ0dAW/1 EGkX/DsW9UYXT+djb7zfOmKHTcLdw2eFgRI7KdYPYwJSH+DIkMUNjQ5QMv2fqqioVadE4rDQncQ/ 8AeBpOzD6JTMTDG+3cRCcCydWxRg2qlL+U8j4FXQwzYEb7iCL0UFEKf0kJ7zqSRNX6BNMYKYKITw JXX48+QgxHVHqedyaFVNKWk2+OzkA5ruy/PYd7ZMcPvjX9V/pQIAbUf8PfbSFDZTlf8i2iczJOmc U3Vt3S9n/wxQbSd/68cM8zXx+8GOVuoa+5pckkIW1PZI6ShFA7L5RdoeHqQPF6tNGOn9IHJ7hVGG cH5uKbY/+kBI1+ZERNy/3pL1ehKZIaCemDFrVGphdkIRVfmPs42Bv7f+g2l9k+92bW6lP/CAvwvg a5VcrEKmg6X0DYUZJ5Zm0ahVJ6PY9i2KRyIz0+WM6VcgLYM7EDLoHeKotWnPEMJObW27aDhvXt04 r+rWtcwOYZh8cIWRT8Y71BQ0V7CwSOfivH2lxqYifJQ84xLrsbKVq01aCVdANmd6GMfGVxAEorp8 hygC6KXb1ioziYtgn8iCqzCqtkNc9Q/vuK9rp4De39sOKqdyp5r9rD1vnbp6zTWvh3MOOntSpVC8 bAKVXZP5rtUujI9yFin9uB8mzQIj49GxOrjCYkxxVCT5OADlZPRZcWv4FcQtXYpWdrYWymuOBS82 s8GCl5NyFtZCU4aiFALlK5jrN3HNSNYjOeGb+uLx+iExFMPVSMd+3FP1R1Zk6/0b5ptCS6dmC31V WL9CEsZwjUSqtGv241ZZBRmHKBaksdGJCuxh4dW6JrqNh1Xkh6W5A/u9e9agTAUqpcADglphwg3m phNUZQb5qF5ZhEjFtC5VMl1Fsh4yc7/d+M6V9q5XjF5q2fa0aVrm/dNZmDp9MJSYU61vo4jv2xvB Z21uDyzeH+RiSQl58jg3rLtSA1rhUYn94JNd6LXQX11Om2k7e757oN9wlUZUwCYeRGsVhh4QGBhG vagv24+XOBTNqy4+qZDOgpE0MVu0ZPuqBA6Ftny4h+ZgU3kjUQ1dYZ9BeRaaJlrQyRXO/joHZ7I6 5DtN3NoXSuMScBWM226tl7ExJ3CB2YXNg/PvAm4c3IUWCeCEHtRpHsVlfmN3rhIiRufg5jSPR6Xz r2esXRMoqgdBZIJ6vyE2qMH+Wnttu2RswqE3xBwveDWyctRUN4IKfdsxWozqKPFuCl4bvFdwtUi6 LLYXtyGEm1SIUm2PczPzC+Y1Y/bI9V4/c6Gdq+viYLBZ+p8QN1oHIk3JoPxLS2gCTcFYE5VEurGq dS2MgvGj8s0DCFRx50IkrFfoQS6uMmKELsC7NS9GkkOs/JGwwK9D6B4ZdjAg4RHWa6/umIVrASJg 2D27PGZ/IAr5DYNGS6BPCQAaFOr6EAfmloXAxqzD+UayitOa5kOXsFFtfqAg6KLXoXZl3lCPuT8T oBulYQvGF9l3r4ZKHbcrV1TUV9tERSnVm9wNNngpitVD7pQkOKrRZ8Dya641UN//fxsbHtxrgfzs qUmtAg47sm6cB148nKFvh2cFYRdtWEw7uH1K51JLL8LLyLLBaKsU7G7eDxMy+oTlsTcUxO3R6ymy 6p/9Kzj0RfJmLfuF07km0pqHbT6xjjGgxknxqlF9M6aU2xboIwxPZEbeBe2NnRJbYwDxw5lUii4s SUETObv2RnXOkd9bwsoynEsI9stxYN/lSQoBdFRHHLdB+pQj6FSk8W6r7MvRehk8WjeuOrI8kzaF XW+NGQ+PSFpjQ86fTFEVtdm4bHWeUZXRAk66bATNzTATr8gyg6gzhaIIaMKPLRN5dus7N6sPY/mJ Wqeiz/rH0zp5eJvBGLwNXh1WuLIXS15GZy2OdXT7Eq4Eh/g1s7o+5vZubDsMcx/QOUBP/SfjPSLk 2htKnBVUlkip9AELX99V9VlLldpxa4NpJ9lH0vyUZzHCchZz3qXI0U7Sb3JILQ069xugY1OZRKVL J6HW6w8ytLamB42GEcEPurI9Sz4ES/f+Z45bEq8MPE8d/Rt/x7vVayuqoFZLHRq6lF6JaR6E97uC 3ieL80LJgMPeh/0xjbasEbfKB/O1nOlLlIgZ1GnxRLASJLuvukv9k8ppVOCMOnv0DAAMwh8edLFf 0+vSmGJvna3gs+GyqgngFsGfNHGYCoJyMim+ynvzV3Q7bLpwF4QP9H5blYDOvSJA0OKVm1EEYjM7 kQTPzEZAG8TT59oO/kyHYN+M4KDwUwmiGMMUw8HeLNAgHHyQrgVNl0ZSojGX+xKjHpuqXrEq5/z6 DtBreLghHXPgMELwDwlN2BYH7DMoU2P9dlvB8GJju53yuEGF216Q0nQbPO7qf8pFf/PWoiW5KFSU IKYSlTZGqlLKaKL8mkLInA053CullJ8hh4hd+clAQOavCRxPsxe3cidKbjvguTNmji6Tl8UrK1Dd auWc8oSUanzakBGxOG0y2ZhlL4mgYSUDFhc6H+UoHIcWeemnxfofS87YxjYu0JckvrlLZzF0AyVs g9mJa8Lk0LpZbNXrb2eYspX/VtMV0q9Zq0uSpo3T3NmS+i7jIXc0Quo4/aNP183RmnIrYPBBpH1q 1sfKMXbCIhxhL8jOEv0cnjIpDwxysW8n761523mTMV9WDhDuQgglWyw+xE9csqKbVyfReOV82MOY 9MiWW/hMBvvmW9XAqRv4WCkptbyJv4796CMiqwse4i5x8z24DGEQpwWggD5Xr0yAfTPV6vzZzh9e 6qxqhsr+u/Rk3RVe/QBLwmS/wnyLy4tGUOdaUtBACdLnIFn3fZf2rE5m6njtbSzYRBGTNNb1LA3Q kcbv8r/NL4sd4YPALmZ92Bq/an9PJVhds3AzyiC2sS4+N9iWzkz7Bulb71TnOdJzL/w4CtoVENyF QNxB1kK8m+JORGeGpd83fQ9awM9WxBTOPd4GfhIjM7Q4fUsEzju+J9u/oSE1SxFMUjDTnD5UqkIi T4/c8WP+1q/PcztyAWYAGrytCUKFycCKuAMRag0fOI5L9KWsz10GcKG/K0cGPbinUkmy0OBsWLCH 1USwjsFbdj68i6+imxpskA8MU7yuX6igqHir4Y2k3BMtptctMYJH98hjlBUe64k+OeT/eVpQyuix Lj/0cVocPuoDWdnzW8hHBoNAzLxpbcLULoAG1t94epDTVsN567bsM6xBh5cPRkCKlUPXi3Kbdjr/ 7XfdsY+i1Dg5yGtpgFAsrb4811cZU47oVuNY5VtLCz5e1k6CNYRVWKFUFJOQN7FOBio5rB446O3J ZQQWleZuRyibSqlJrcPpx9/IpXePkS3T5FUfAu2TpVwQbLwIf1zt3V5+uYCou349U+51fo1E6pLc hgyZMihs8tvnTEKdoi0T6I+wwCRFySb3OPHN/q7CZzNbe6azx/ORrSEKvJZ8pSufCLhFh4EfDLzV bnTvTRGdK3GpLHi5a+Qdln3mKkdlo7FQRjsGzvPcIR5XwdxJmW5DObk2CIKQJO/kJq0p6UaEOMph Gs/EgtsUgbt3y2Qz+ZY6l+kb3x5IQSxDEZTTxZiZTYfhJZXLZI+dNBAFQiHLEM6TP13MhC9PLnaI h75fFG3oS9ifjWVyefsTkDb+VAJvVwHevbjE+O9pwGktNAWBkwVuoOZVX3hNNPHoaWNz9qvY8ZNy dNg2osn29oxbMxFQAR7QicuzNuCX/ivRzNuigjQj4DwkiJ1suVp6QOfppRta4IA/LDuDzekyH8oT QQPMTR+G9G85Y1heF2mAlJlT1u5xZNRtRwsCfwvxsblNRV+OXIrAW1wIvF1qPmoRh+TmC47Qci1D dWC36/GnD0iFwyGlT63HYOh2BIOCCTgN3okrC4USoDj1pnblDAtlNEVuQHnNkcsRhlMFb5JdkndW XHzxPc0o++O9wJxggRlxbbuOcHhhAE6RJSklfZP9oMQLNRlizPtg6KQqe9G7IXcB7trW7Q8W3FAR TCwn32l9iRiACqVKQXko39xEU5xypA36/J7xe82inyiE3C4h6PBXy4pRGRP83d16YBpDW8rPnh3j uFdKmC/6ijOe/6I7pzqxHpIof2GkHZUFd5iiNKZOf6LFu4w0CJCHADa1Nzzql3r33nbt59DfXCGu vRlwegsrmwTN7uB26u0tughq1OBbHoR5mUlvgH4O+Lx801dZHyPfraIM587JtQZTmUDqwNeZ2Mu2 X0UjamUnbbhH16XUeCovVdxn5Gds1i8kBDe6WQ7ki9Wi27Jb3ex9CFqz+9xmPPWWXrSPnB4NuAOf lAFqypOO1MVmG2o/NcyYX7bBcTE4K3UCK/auJnvbPPHgiOg2frhHFYTd3gZ6nnZP4afvkRwAyrYb TVV5GfeWsTK7oMhg1uq3TP5W5lXiqFYI7v+8lEgU/YBAg5ZWp/OsFR1ebknDQZB4ykS6/Ul7aRl9 Mh9hmGvQOBxauZY5A5Ke8ZGvivEcrXoshNbrkwB+y2zwJpAnDc4Z+y0LlOtTz4jJZDACE4SPDDmq Hrw1lqvH6Eopxw2/gqSm5dhkn/2gj4H+6EVHc3DjnV/NjbiQwLOqBF8joTqJdFCr4wq5TcUX9F4N H9K+yY+ukmNl9Z5YiYshqyfZciocyBoAqjMLWJxG+Kf+L7tWXfLQ/eaHqVSRMzlOrjognp/1GXd9 dKxeT6L8KVMkI4512s1Q4UlxXJINVpl2d7UYZUTWParwKEGrfgoIxyN9cOnzApDQh201fK6HAYRm aPKRpUaGXaLZmdGTeXV696s7dp0vH49z5rNm3SWJScBJQw/aVCwnRu0XcjMAKpI3CGyCJJNwP8xe RRyDG0gfGBUOB1Y7vKq7HtsqcHNlAdB23vb9YLTc850yLb4ywp+e68d4nrSEJRSpUFXAjJrU5Aqz ftNQkTM4H/SrkUQxPHSRkjEVG8inKfvBLfNKARETmnyBxXkOKva/0xAxtZxnDECKXmRhiyVCK49y D1UbquXL/50+0cHUE6dV+BXfmRD8zhv63GdixOS2Db0eWlsuGBkZxb7GQDHGvmF27d4Bu4kKbI7+ DQ4YwUxIdSHe+8dx3WHaj7JqNJwFWMzEltv5WZiOkGY+RzTJzsVbSUeg1ZaGjPI4XVLL+uH/E3P2 A8X6xmW9UdjytfJzWk5oktntFbJW2xKu/0MiNPoishPpzD/H4alUcxgRfGdsoZNOdWyX9R512ucL uB+IuEZqjgIRNC76w0Sv5j4OZ7sWBBYxDURk5+rPAgl2te/598Hw85vqbxP8hmxQGez8/sBaVanI kEIV0zopqxtMkfRr06z/loFAPjFH3pmZ70lS6T6tSWNjrzkXuC99hLKs0kdi4wQtqzCCUF/TWkwu 3r5G0YRwexjQ9jZyyJ3jK2If4L52+C2ejUpEIFe5md4JksddxqQcJ4bEzOZCNqoVhDLOcFLY65sN uzUd9YONhO9IJN2JUQNHgodsCpfMmcWzlFWHERMpXrLDhpcymiF+3UJ6KTnRlgZ/l/YXpq3okjYH 7UbMoNbn+Lr1wBLhSN8gwRHdR1fnWmhoGI/7zUErqLBPqeoX/8iV99YmMmpYFY1fUBj/6rF1tWdR xiCaRm/X9GPQrrrq3IFQhDx3BaafeoOS42NKIdbAoJmcYS99FWr7JicAZXVFxKMUJyJpUl0mgE64 6x/hbAD4hdnpVhuLbcl+niBDrFbv36ws+M74N3vZH/0QeEeUO36Ko6UYDgjtaRsnMcdD/D4+zz+l m/I+72iBc6M+UDDx6LRoMEvCYNpFAwEgV9bYajCkiPi1Pm1MKEyfOvWsXepr4nGmXNTBANgL8XQa eT2lxgTJPQ3kbBFf25Od73qvMsIdJU/dtteDjs3fsX9VJhutwZeZSEE6FVST+g5bjjlAEbDFpy2l TuZyv2zNwZJ8dBxd3z097iJ6rUoobjPkedTInPiFeimBiFzChH29hXCO/KGTqGdCOOkc/JRqmy28 re3zypIt7GLk4ec9BuWTYVsWBicDfNClnTmKPE1i0v+M0Myb/mrvKB7hvXP53aPO7S6x3vDuSP82 Lfln/f+wHYHlEO71IoQRGMhGHzZNxoiWkiq8ocmj867mI7oG9bZndTPTx4sH++uouRYGjgtwCkDh x14itYOrLeIfsBsaOQO+aGpSbUxX2fxl95xZY12LwWAtfkGPRPEfe8mGk+57lej+Yf8vdeVwVvxK IQqQMzps5xXn0m2IqzDeUrTY25rybKPIno4ZMUgYpfBdlb2Mg6ebea7mVM51cN6BXcrz37UkO2Cf GQ2We2bldxLLHiGTY/AwxmYjW+CNvWDEKNJYxNWYdw64mISqXE4P+DiNycLBKGhwR9VJCRXxLoIU JAd4KfCLRnFPYZ6vMBgueoXCcIJ0viIGOd7P/HoCB23BSMt2vAHTtwjtzxJ0W0sK3R4H7GAXK2mq Uur0jeqlEwZiZpn8r7uaQQzpa9GUPsSFPzTLoLM9h09Ws2aPVHtKdjk1oNEfmLaHSJonFgoPN4+S s44fFqbX71iVVsbagWYrXAY/3bDLGLMrvlefrH2ToXjwskCDhicJYvG/c246t+F8gPPEZUkdQHVG KHs16Rbag+HbdBFl9+DAlh6vrxJRgLOodY4zcGO/iXY2bVrJ2Ot5C+Zv+u2PP9QbQsNjYt+yjxZz oCTGXq4r1LwfIjVuRlm9mZNkUp7mhrDNCsMG3x3/YeXFL/4zL7JL/SYTrNPHuczpM1No5nj2MWkg a4ggxXbFUJtmu4VZx4hrDmxlaj1SGnOwHhOU1aswzdNvk/RYTKUysuAHMPNG/sH8PnEQUEdw7cMI XzzbdrhLeRhzweY9Scf4UR3vKa5Dda4S6RC9w8nAtOPOPV9pRy5VfDhpLOjnbkaVXbSrac6grzrj 8VzGkZR8rHLCnFxxStZKHK2SrJAutsHtkFgHLnC38Ou2OlPMisjC7WCCaV4CxCrVNoBtsfUT0JXQ NBWRFbmepjmg395mwSMWYgLP3LMbFJ+LLlOw5HQWc8Rvq2POxdddvxERX2btbQvpMpfpNS+fKtFW zZrxFStUgaavXGXdOJNBRcx+FVDXjwJJiU0vNEpfGZanOsEJJSXNm5wHT5DFcyLK9U5eVWUWGLVY kikalXABMmh4+wifHuK+5NjRhx/XzCKuFrh8y/RCiq/rsqPle9+/Sk+O9dlDwPs4h1/amo/arrHV ngX2GjfG4vnCbnK+ErheWjkuX5QGF3vfbKDzWYMpxnMp3pAkPCFKxM6BuA2kbpS4J8Fof9v92aqh OIYpueHnlBbErAD0/5pA5YwBVWvq/K4YgrKrypv0HDNLfni3SPzL1ZIE8PtdZMyA49QuGz2rU8cO XziurWvn/3zftRL+k/6Oe2cLKdt5za4zVsgD+oY9fKM8ET/drjjPVJSHa0E8N6WyY3TdXuY+WkKU Z62zQVKUN6m+6zUtYJK6gwqoFCAV22CcSQmPzezcz3tk2NTHVNTsB1YmDKZYiSisTwD8RuRrHIVn WmCLPzObJpO8GrZ9Gk7u3mTYK1MufGW1LR8M3bCQsNCWmzpJ2cUD2lINa9/UA8JnIAi3Q4fhCcNG jKz/fyjJWivjhlYyL/+aLnq6QojMTWOffJho3G6YNldRCYnBUZL/Ubevl70ECIytDC5jF3MHn06b 8vOUxKQQEfETG7aIekwfIMYxbKei2BLusIpIwKPz0tzDJF6wPj1eSKPYHsZWIX9r6wFxRs6/Oh7F fA38FAwljt0WyBVWjbdXdKbL7JXr7tBUIQ1XbvqE1ByiY57cAGXZj2XLx7y84ld89WnTT2s21FqL cCcXCWCqoCA0Z2KuFiHRfFfPdGNVwUo3AbbbdB9Xkc+uAWeRKJ9fuXL4YgT3EW/VGp7Psai5z+u6 Zp0UG77l913B7j2JZWPrCFo3BswzWguF2XNddfGbuYwDi2k3B3NzRXYjZr0VCO4tpZloFQsr6yQt g5hVf/23QNG5Adv0vjSnxLNA05DKNX3Wz0kRUquqEuL3+/6jCtN1im5RwlFLcMAsCrkAN08Lw0aU XKTAMOWHTeUoaTVdUcIOvjVehsfZB5HQQ/ktJGiqLLFciHr0CVHyE+g1Ryor0Kq0UuxQWI3gQafe FIKXJhPNO57J41uJ3Fcl0uvYxyk9wACCpNDJZ9vczmwcdGUYQrjXvNp7zHMQdUleCsixx/hHLIBW vErlWtP7Ot9B4HDoI35Mt0k1eq9wBEF9jto+pd8lsbSpsUJ7vOknV9IpFWQfX7kdZ/Q4nEx9RBZ+ RrftTiDU3h/nk1v9DCghsSl1XxDBMqFRzIGB2/XpU4B9uc10I9cG1Ki1xIAIOGzFQQ/esalNOzft krIUki0k83cm4B0geCbbrVUoWCnLHWZzW/BqwKTr/ku5SpEKjXW/z4NcAXhrNSTiqfHyBKa/8goi Pm6/g+p7Ha3ck6/qQu18msNm6RGWYvbM2Ui052eN2iijkzSFH+itvNceRREZ/Gu33VBOB6M8kppi GtPoug1xsvSmB7rF4sX2jKXPZquJBonPyIEhD+AHXrpA8pl3V8UnKakUdsqDqfUmE/9ByXC6Gds1 0TnH3M12CYHCD7bzmScyyzks/vNDo1DtUqJlCGhFzBRqm+5kKkLtYzCRDZhkgGXwpGsqMZWF0mYc QWTe/x3DF5MrciF5zeWwe8pci2ekkb0Q6N5pHDKCvDC2oMjtvHxu2ZrfmhHKYkSAbOBE3vNtYKWA oEwa1m0NvB+lw1d84ci/RejIbPAVbgicWZAZZS4atcfKSxtsSnoYui4QSX7jL/D2HNV2EzCTC/9n l/ktPCQgaQdZK62mYUUYYBOx3zAsdY92BSJUL3YuFdXsiAZU+6qLWJQ3VCF0ab/p8ZOu7IrVCrA/ D7mKHc3t3uZn5CNsAF+AlKxVjKNaH5bY/fbXH3ESVm3TB49rUZFnMzLmLEHwtMi55jjeBbRWgAuK lHcMtkK1rAIE+sGmf1NLCmKNMpQPCiBpgr7kvFmG0dG3f20PwEHeq7PmgBIhujQzyExJCONW05Ip 7Y9OWZJPeVQ6ACnfvdRoKU8ZC1amIT5SAMwEs2Z3JDIlkLYREe682mj9RcJrvowbFhkDAx97QFlP AaCCbXE6eYuFGWs2M1UKxv/2jzv7+z1T7W6TRmcpv/1AhjeauY4wYgRGJxrhM6Zk1Ys4MOqawSIo OF21me38F3uw5KaEsjeZJIg3Dh2FyUQ9d3yI8MDn7tJyNpA8fYR9zLC1632+hI7313T7QbV1+8YS LtzoTQHKMNTyCzCF+EakDrtVIcvh08iBofVAdFOTmCxt5LiE6KdZucXk9XrQRmpPy35pYlWzTcK8 t8NXJ8JZReMZ2kOobIzVch59coYc6R/lvLTPWN7hK1hLLvaFOF0fOofLS3ZX3CihvgwCbd4o4muh QUnZ2vBitJZdBfJBEwkuZKksteVzxXOhhOSnJZgYdTA6uFs41aiuUQn9M3xEtNU3SgranTNLUv2U //Abq32mC+xFfDNvtrloZR6EPN4DNmIjtZmwaa41inrXWL3ruUVwWiMKmy78OY4tvMH7KN28Lyh2 9E0vES5P1y1rzJfzfi7S2uLfKaL441g0PzzlXRrYBygWvz/3sipA71kPF8Yw+wapnsbnBj//z5KI zspl12waC9yKrLLOckJvD0fl7gmYLo0WQsj4TAXMkiuth/T/XSFC8mV+1CS3Zk6HYoattXrEaDja GebAOt4ZvEG05J2PkQEYZzmELfIw4urwSAZeckaFBnt3aNwTkNvgIwM8BIgU19YqIufo3kURgsQK faBYv04YWNFf0w8ndXxAhGdtoQ4U4V5x15aT9sj11s4G9EAAVUm75bYjXBh9qblrdetx1QRWn6Uy WR4f/i2DNUYy58zJHBjm1AQp3l3fTbEOVWq1wC2ha1HkqSIApeVNGZUlNVRGJcOSzvKe5ZBGoGgi +YKu6qHEY+A7vHWguGMYregjfTs6n34G+5GvMG9Nc7L3tvdOEh49OmszbtkBnixpWKNhSOkwHuPX yel2T2CMhLi8yL+0AhytWxD7ohwbHlWmiDKwP9BD+8e0AbVZli6M4SbfhRyOHb9wqZJi3VBCJxIX QRLKBae/bfbTYvUKudS5GM1exOcOjVXSTQvM9KvulJ4Fyc1r8zEJIWlNd7LRr3oCPE3bJ77wVuiK RupOqjQ38D/77ZQerdG82kGJLOkg51aIpYIn5LQjc+nY5sUK6TscTaqXk/HHfL0bYlzVWEK3gMJu cZ3LGMNUBjwYbuzIM5aIivg6bewG8OT5QxhcxaZ72gYkgASHYvLv1Nfo8QM3xD1eZZy7xp0VBbRQ GXo9hk6tlsOQPoK7q1sWuIF2H866GH7+3mmskkKWWImJ5bxQIIH/nxeU6Zetv1vU0fmnYRQLkmga xrMM0516gX+XXEspgXaB5YGhST9okfvI0vxydkvnk+UNGZWexx7m4RqmRUGX1JXQmAeBWSvnGn51 xfJgn1L5tl4bV8bjimufrJGWHWtRrwKrsqxvXWqRz4/ZO+ggzgbuZfLywtnFeM/GHGUn4Fel5Aqy newQsnpiHlCMrSnB+ucoFjyLepgEWbwK7/cbqjJ/OMV3vQx9vJnpcThpgGaBXvX/Mo/Nwt1EjULU i0Dkkf7rKl9e52FRCKfkdbtD41ra9u5C7KSjj+wATZVipl+cRQ016kcrA5bLJD1jo/kD5bYi8UvP 7jaG8oMFQpHIPdpu9vB/nwkK9BGuPFLvdnRygjOtweed3UF2EwCh44LG1dnJvSc3z0KX432ZHWEN VAJnoxNFd8EJQ+y6oVlh60qqrVsiyUYrhMmisz3gEOXNApMd50kV9+TdeuuFDbqoymvs4+cUXaYJ VmPArq/kFxSeVWaBg361x9JZDtICP/zgtziZ6MCTTgHDUoruGW+TO1RuAwSjTe7vGE2GEmC3QIjB Qd+FqrK+zSHjydXdo3PFTyj1ndm0mj3NRKiOfut6ZQyWCiA6rl0g83z/mG9PN0uRUbUPHegWPMri v4XW9BGvCf6Sr4Mw5Zq5UkVDmrk805Ag9G4uRZpCMBMBA3TV8R9g+1fqf/hNvyC0sRT0G7T8pWF0 1LpMsAr24vRRybw4b37RXFCHCRWHf6LU4YrwYqGYaYQuXS1IT18swo4T9ByFwsBJEeDFmZ7aZXOs m+DkIQZPUXpf0yRjfb1w+PapUhzbDJXFHss5Lt//DIzoCsXW0YeQrt0whvR+KdHqVtsbcMaJ/Vpl yc1b/zmjcF1HrY9AtXuYtuGAxjOAq49+XZIpjPzyGw2aKPM/OgPZy9+oIqGs+ysYbb8IexsEwAvv rMCw5cf5T0RCPUuSDHieTKb6vxOAmglkNwtFAdO0tJ8sgiGCvq2D83fw+6UEiUZDlH5lhDnTnVIi jKtDzB1QQqLaT/w386iUm5h/pw2nwt6D+PyaMQeH1MJenRVSIKvK+/KhYD+N0tUrd49/SClADnbz fwFTTMhSqQjX11TgGnYhp1jrVrU6nbEUtldvVaMOgaRLwvIU+8EaMsmj4NgQ9fPqCDatvqMkVD1d tL3er99rWfF9uypr7ooSJ55VYJ9nX+Ug6eyrmT5LOCSaGSwrIt8B74Px0aRJ9VJwzwgtTNkT9cRJ mBTp/nRB7ST6JARglzZwPWYCOBIhtNUP475TkLGWzqIKHhVHCNH2yBwDN7fwIKiwNUP46jo3bQtO oVbWjWir9ink2TYeoiDnvDFmr0DQgh3FVqnUu3o9mkYV7A8Y43nEfmbHdBwX5E0GaAsQfvIGdEHi hmZ0k/75z3AsGpAhgRVwrCzgM7Degf/e0+krc9gTjMCIKGXDfz+jqH8pp4oHBYK5e/0Qpf2/iDfF HmqJsvywsT01wQIppEg7Ev5sSAg5EJSVaMer8I6q3zX3deR9j5LVBJ4ho/9NEbIIGLE+ZXuiO84X 4E5f2lildBlcM/U+J7RYJRyvUDFaKXGgDp3f7R2GGoyHFwD4DFxKfhCDPl3Tj0is7oia1UAo/MCs nbBBAqdMel9Vkq8UQClO6XwxcLjLxeXdxD5/RbjzSHGkTE5s5op6AULuNfBn6XTlG3JlRiCHnp27 AgKxZiPb+lKjPnL4Tpgy41qqhsszpmr9/sybLoqQQN8lO5m5PBIXw4SVLfw4lhBe+mXLWLKPDfBm U7CxHEqDxEKRJMPErhtEQ2L+ijnKr05d3TictEw67CMpufttNWmXrSRd2llTbqKG3H13iWJYds90 3fIQ14U9AY3bbBjvk3fI2bZvKhmtybbzHtoTRLituLXrq4M7iBWDbw4qdIf62LgOl+yYUKuB+siL qYRcRpFWSvdLNRbPhJUXXBgr8uGlv+Qws8L2YYMWxQMDvpTbkTEUFj2GegDg/ChgzjEjp4BekEbd WJtZPtuh3RLhyyEF+RhXKWmMrhYYV+e+cy+j97d9teHqf862v05XfcTRcwsN14Xg2LFaEVMgnMaT EGl2Z+1hUgZjpMCXctUDzZhS/Y8c1NT2fP1FjIoOZvkCWScfZYCWpDyJQZD8GV9yZgG5R0lwaEuD J6zZBvQuQ2K8V/336HHOvooEvJqQod0eMfMcNR73puQWWm2F9THIUZDNxg6an8AgEXCQ34ucl6Ln V5u/fqF5YDqsckr2dNsZJXwMoMSHv+IsOG7heus4+jokfnwMgPgkoEeNzTVfADTYMzW0yfsn6BdZ WiFEN8HG6G8QGfu1Xg2nbMEvONeFgYepmGOHTW7nQPWPmKzBjEPC8W+UWw3LPo7lpLZZBno9SFRk 8+8hnvZOjTo6tBuj1wPGzV1ZgAOHP0E9L3gkIzLJexTvtoCN0O7lVfLy5v/twbTkl7uPWWjJujJd AP/loMRnZwOUYFMMdEw+WrumNZXDvyaabWADU60ylOv/qPbZ4lAnP0akDKPAnj3t652zlYKt9O8k yHZjCg5FzsPU1xbNDnnsT91yfbg6IuIeC/K3P825QdNzVwkHZNxUUu90/JcaxOCDBGBixspBqCFb Y60wFLV3L0D5amqERD+Llc+iFlRY29pBKVn9PS79YoCXr8+gBzolLFf9DB53As1rbcqMddGBD+Ya 3VzA612Y1FjUUua6qn6S5sP0vXuD2yeOKy9DN20eq3Tm1BCOwcJkOM+cg3VvGA5TfhqZDuxOn1VW puU5ew/0DmQ6cSiT7rJ3ea8RqKLp8r/1r3RttH3F84mtqHCsncaCOkYHZgnDyRgI8DAd5+zfgQ2n 8qqN7c10G9enaM+b/qNdhIf0T6IpkZt5jUfuDOr4rJt9HrrGm8bRrLqODuSxqIDxjsxN2jj9y8JD Ip5rwFoVjB8Q7fN6QZz+zRa+lC9W2/VU2AP7mgkoELidMorQU6kTM6CWRkeUR/L8V79VTFPI53U9 u3Bv/DgBByqdB7tBdbDGRfLEUnPBrSpdoKCe59y4NasTyA6EKkcS0xsceiJrlUZo+84RCLEsZwrF aBrvnFbOJKlCTUUew3bkw1aeeT54a/v0DyhhvRkGAlAXdrRTAzhYTaflx66bfnOYGQ8qkwqETLMn j6cXlN9Q9vmydXJolORaY9VZZA6x9MZ2rOt45SxfCMiAmNuzLGtppGKwWItlLP7nVJLghjzeKWnB ViP37fu4agQLkvE5pgpSSFDb+6FJV06jr338HE7y9io/SqQwmSaJQtN6myTdHJIM2kuEhYL53QZR DpQKxV3IA4397YbjsU3PsZJMm3VhzcTdTV6bKcjHw8km2Gl5sDPsMwNZNofZJT9iQ/9IhX2fzEOu V/h1YwfYTSF3xZN9gPhQtzkRENIqEDVEv1Fm1/SmN0/iYeYHIEI3mNfK7gw65DCfdlKLV8H2ouZK 6saxE++ckzJXedxn4RWzUaazIF0OOn9RaqfF4CTfufZMWcS1yB7QGaLXDHh2F15KP823k3TY+wsK y0Ty9BOlP/ir/EwbWlTEFbl3W1HrkrE6kztIAClpgxpUeJxg3G5mOzCA4dK66dJ0PxqecyzcuNd7 baCfg6B4zwreIkb6T4eI4KEW3LS1Vv/y/CTsOJ/7etC/+1h53T1EnwJXKKDHNDFgICChlAs+wpi1 B5pJmR7RiVbYeMrm6mrnse14nikzAfCjWbENqDRGka96qWqjV48BpaqDKweBbMUZ87BmuloKlbiw ANKdAlgiXv/gLzEUZ6pyGDhb8ppD5KV4E4VqnaynmOP4k3bt5pZBr5IPZGOgppGPMXbqjt2lVt9/ 3WThWL4hKhoEZGJgenzDuVUvFZXLaHEdN7M7VZjJsIU+BZkn/k7ygjWoxPVvvYj96gJ8ytBlo/MS Zt5+Wx7VNugm0HnfhQXr3QuhXVcOhakrddrkCJw4GnTkz7FVURh/aQUbCTc4ImcrRBokdIekDpch RSC9FgLXaTHZnDGf42IKKnzUfS/l+opal23b+FGx8BpCJJx5rgSaXWWaMteLJbSZq8mR67ujfcBc oimafJWZS7xXKC4hQBtzjG4R6lVAlQUQZX6+f3dp2xLH2QmVzw5xKGSyaqjd/ZVpg75xmNveGLh8 uhvu5vrAq47q+k5vLO0l+rusYrjZfaOEDOkhsE780UPPyJcTJe8k5+MqsYGk5aK0ec9u9Q+iBRem Z5k2UwTqEFuA5NKmrNEBUNfTbz5RMe+PnyKU85cQ5JmP2zdVOQn0gPKz+nzZ87llm05tXaLATsD1 m45Ye5OFHDy3k40jDgxj6dHkqHyGxvUQ7mMoiwvXGE/LBKVTtkh5BT1oFhTHJOG12/szuoJk21ix 7hr8pOBuJqMzaTOEektrG/h6RIgTE17wFbRZ3iiGUyO27rB2geKfkHQkivzZx1mPgLRNboY3ccNI DZlHfnq1WFKNAt/em913YtZFDJvBQhpvTu8maBAXmBMPHirN+mWvfWNPUJJxAlHqjOi39kbfYgwE +w5H1CX0e34Zm41t0zHbJ9BG02pmRvbWwO4mD/ttLqq0u0a7TRpzXSnr0MjkWQtFRcc5K5sAvkL/ qTfPNSbERRRd3GGLwNDRhHzAfozYEw6VHz4BOc5Cz0yOpM+ARHRFqkaM7B713JKn9SjUwTwXKAvJ WAqpF0jAz39RqpJd60ljv4d7SDMov/n68ODMYGZ7y0UHyaXVQsCMjB8oHfiW0uctfA84RDtBDOZz aR+yZR4RENwWJGCiWw+y+8CFxPGmHIsiDiKaZDVFWkIcmrabh1et4KNh46l/THdcGscMzsKmQ5zL 7QyVJfWH52PBpwM3xyMzYCrzkTuBBJQ12nCmuv1Nyyi6NDJYzJPftqSCCy3/Zqot7muLJurKpXF/ o98kfZNQOtX3jZ/fneAr0BFNRRGKF+YXbjmuRpq7TZnh94OQD5JSqEDObG2txhQTk0KWl1SW58/R TmcMyRzp9GJOD704iE8zZfPUX0z4HChyTsPEuEhK059GDfednpDMVXhySRkYp/W3I+f6tnmtA7+P dhTcFAQpdh5wd8cwkkGwQkJuv1zhhn+DpczyJSKLEa6W4iCLo76c4neV9T0MMXR1ypxl6LJ3WMrT wcx7tWE5vDlVESpm5kVmd5OQqkUSCwoFOGFI/XUvKbjy+oeuMz0Mv1FyoXQkRVHnD/zwa3vv36wi ZgXMsOAzWxyfNzwuIqLfP6eKebJJIMb1WfQ4fmpnh54EXycbLUT+mVolW+EU4Msq9lf7WYuAg5A3 QceAY9D0qSaaMXFGQbVxKbLQmN6ha9Q1zNaAwqszs2FTTa5fAjK57GWW3K1rxKOtQvlfQSN1LR+V 9HWtUjMOE5FI5n2RxBcshEaDsZ1xYhYA7iwAGFqZj+H+dgkJPB/mi6P3znCj8iccyaqRhLH8OMhH UhZgu8f0/X0UmBMM9Kk6RD2pJjsm/cbVmWAHITIo2WPR0FV4jmzvwf+FEOeAwVqt1uJXWrJPWa4I FuhlpBhLWJAZ/Eb/2Y9Cwxna3ktKT38JMGqlM0nfPg2+boADAsXd0QX+R1F3Rqr/nGSEQ6A+kkjb rfBboayM2OedZN+bvknaC6EMjC5pTeg/6mzMj4cuREMSxkmTp4qEajVUF4C5juKyNuyXMkvu4242 VQoKahMDilgrAmLz5zeABC34YMoQG6+8650cu80BrQS/o+Zwid3j4lEebk66QqLtZFocEWgt89CO 2reWnZ3Tb+SWprroHuahnCm/9wUk06HKl8IoZzubgvC7y6JwjLmnHe6RMAIlumh0jJQr5mgPmSxw 60YQwjAHKyF38Vb06PnMTPwLpPGmIgTQHfMTcwLB5SIDuFbZeRjrb8N4PrTFwC31SnXMIV5pjVkf piX3s0j0A1FNZvIlcC6P6J32W/2EKxJLwUrK273C3Eq2hkNFxNGgsZxTFf37MjA/oNOq3wsO0/QN ejRuf0Nq8Ly1tn45mzSMQzduy9j+Ka+4HUEZK4Rb0RDRxvZTJ9XVkLvlR7nKPoqbzfxhpK2Idsv6 R+LxtkDaH69TXPcowvqcjPzJZQtrV/UvgNrlipiA3szE18T9Otk+iPKkSrrF/GXcH/JRnDGarK6L YZaFcSY7ED2xUl1C5YU+yoPo5IJYrN15iu5IDdjrzPsqFmFslWPM1ZbyPEimS4i1NdjWIhfOUr6Z 6A7BWzzwZRhGC6s2vhvt4nuGo3pneE+669YI7jHDjXoAgUeEDAR0n/3jy7L+zwN8wQWL8XT49RjR cG7WToSypDapgtdMWCOeaEBNBL1u5iPgzH0H3YV1NyUXNFGUmVg6XdlDefociEPkU2+23nkijioD nfUXo4jMt91biVaPHX9dkySyCKwfEUa536YxqJKbhHrgAfdQBasNxqTEzPPmlxsn1Eh40Nslxh7I tIshCuhPwR/qmBePrhOQ6eAWXUTpcLw/5Sk9SqbyYQadnRvkg0tb0gwVI8XsCqzidpffLAJE5+K4 vKbLKl8WnDm3rSX5hbf25pMtPY3C3cj30eVLsNVPlUWLuk8WT34jYjtPmC2nbQe8Va1x5XG9jySp 2ezAQrbMsaNtCgHsBnECi7ZJ4uafCuztmcH19pWKwSolja3G4ey+aOWqASsRCDj36Vb/yIHE/Z8D sDOcZ9uI5wTbJa0SDhjdxtqYuhzeEcBGVVz5KHGbR4mlWQFa5H4W4oi+IuUysSLxvM2pNduf/sf/ +IuiHvm57F+5tU3aaZrkyKm/ebezdSYH1FvnSnElyhxuJ4GVMGMZqIo+9x7Qp2GEII/Iw0D7NB/z nXUkDd+QokzkrgLhA0sWFneFbjfKain02KOpo/f92ABVlBKmhk874gXV/P6j4mpWMJ92gT/cDnP/ 1dtU04TMmpyvyOm5TEO5NazZKlk9VYyc44ucU1XdXP74Fr2GAKryoQ4ba3Yw+5gEgRlnlIlB9blo PSl1rGXTDHtpFK7AawVqIrJTthdgi3IKVS4SKA/h47MlvYdin43yh4eO2BDkbUABmjk4VVB0xz1w wQevCwTYhqlmvGnAY1BzXrbqnutiTaI4h2zzvTp7Z4Uti0fwi9D8GAKjEZ1FKUGIT2fxUP3TF8zz V/+ke/C0Vb6qXu3RIqX6e5j+F0+T7BnmLo7tIc3WnV1HEIGIV8RwrjPvrezx/k0/Cl+r+PI+ii9U 1OXixFR1DFTRuq8NiD5zPyzD8A9KZq15OHVJ0sjCDYaUoZ31a7qYQ1VCxT0vf0X0zNftOZ+perYA R24V4uLmaYFshudJi/qScT/BJg4wYhzViK8+gDVaCyisCEwrGz6CnLfVxq+XjiCGaQMS6GJrllED xIgSnt7jFlE9tEmVQVvPgkvsNGwiSE6MZoon9KGVu184BgBwMNeEYUVmC8E+EJWekLEFJAP+7wez fPdIL9wjS7yAQeFJJDXywcWHp2vb9ybN/onr8nzVQtXWe/analUeYPCzjp57kJMrkrko3FQDevTR VIga6eje9UqWsEnuo+QP9iBZ1tqepikHypLS+AclJGPIslZHPWq94I5kA/ro2zKt51vjMegRDGHT XE0G0tNzgEvXneZLBbCizZruaWrg5gSA2lj5VVT4baYGOUkKPHFmE/SJHDe7vUAZvQ26TSwmi6/d jylDcEP20eSaisB4G5GQTX5LqhW+ABGtMtd7tRepDHnNuoLKOX9I8Hvylfhm1IaqnWhYiJFYEG5L ZqzyRxiqhA1tiXG0fhbeNd/rORsyflXl6UaOfPahHtfnXY7ERGJfVe9Mf/QmsAydvh28KzZdeDUl pITueAbylVF284xnzIQpz92qZRYOnQlLf7YI9WUNN3G+hjBwFmw2rdyTi3SnYm5BPqwfwguKiw2p KePAv1oIf21DId9KR/57grntlx0lCkf3SjneQ869j9kIYM/piObpRsOQ/GkVVzOyrmmqZrVxtYYE KuRM0esW0NOGyI2u1eOnMFskLrvaZX2E7irdWVcHzFac2SC1OjGJZFI+cgs0mz4E5K6ynX97HoIH /edHr5gbPELV9PsSSxMh5tTN/ZyJz+7qEq5J2kJIiM1t2Ovu4B9YJoXwloPEMbSFJN7vtINQ/0Hq pKw0ZjAuy7l4rrXejJ3nt7aj8zX23D7e+ufpH2z+oqCnnmQNzNrvYTA8AMNM6pxLRaqKLUoz1PpS etG17K2nqLMt8vlCeJO3TI86YsiVOC1EZz3V180o7dY12+oHwNDrUyjwAfx+1hxJAlOmiFXPJz+c 6mEJ4+UxdjH6582Mab71EYvxj4BoAwstsvEQvIA4EN1hwD+n7S3F4GpslKJrohV5HRcW4rXxXalZ CdLyRPm3Ckz0deHR/2P2oHvT4MfzDV7aOdg9Uq2dQivS+JAYwVbKB8/cyh1tYo/l1xVhKUzcJgAU pJmEO3WRHKrNR7Q1K2WFIimMCf47Q1X34wVY0g3+IWQEyFOEKh3+hpnmOn+AN3ik+g4palHCyvRC ClpD7L+HxEMbAZRXqji7vSpyruHQQ2GqNbJtlxn00+F2+zZaONRbHxY0/EIqat1yC2wIxeJfDYBB DbtrEbQDLqY9pWROx/eJ/ysN1EPZCx4K3tcrT8i61TfS/3tO6if6XUZ+JrjbbcB1pT0KZdyo+n1+ 5xRmRUFWvgygg5Jb4/Ogan4boY9cgHKrp1qnBOgte2fvDwxjLljiKEl9EhA9QvOLBcD3+8wPOBLG 8KcJbhITHaHQEHUiD/EZn3EjnrSF8ez1FeENo2CvE0Q3ba4c0NjeAfsEBDld+letkk7qsrxty367 XwZ5QoX/3/NvxphRGCHy1U2BxqJPn04MWwNRnkWHJ2Qf6M73JrLqWbqMmHiYL+GO9QID3c8Zao2F C8sDWBGKIG4gDgf3pAOOs3YzwuK7mPGpvIAMu7TLW5jRhmXwIdgBsREiX4glzCLqFAyVPEN6JhvI pmhSevks0FfBqYIW56mvsm2eJAWaHy8M9xWImXpxHfQ/f1GxjHmXr2cEsA0XgUVAjLfq/RMZF98P rHH6Doi4/EmwsrxcSd/LUjSqSzR0Ue9EV8PgSaZnz1oTg3A/KJqOW+Vzbef46BJ3JLugUVl5HgSW pCEiLzLp5dPqTKA650V2ULWVirVjKZ4eDgRuL0xH7zU6R6h5UQUrqQnO6ywLlVoo8ekWGhorEf+s BL/af3D07ECroyScDPAPPqE26QxTWoryMhYaW3Cao+6wccCSxxkDFo7SjYrtFKQUENjOPNfDJWh9 JryWWFz2jHLzZcQJO828TQ5rE5EZu7q5bVZR9BkdJZaPuc/nIb2NMlzUeoquG5+rDmHZZ3WXqKD0 Y6mEP/at8CaciHYU3fBsL8Aw284nhFlJZ78qgZ4YcZzZoy+P8rNWq203gi3pKphhvhfmsGHx27lc 1qSLA5CrEfj4u0/3bsAINJu12nC6A5NwZkAUx23a8ycKupI8CmRP83BM7aU5bjSD8FYaDSy4yZcI AMmyHyZyK2CnfH7kiwg4QFI/xTAVsBe1Ln3a5Xogdvg0b/k+zMbOfiTeYaeW7FgV2OxQWFQf6hqe +BvL2FWThwbs9nP1iqhmyyE4HMbhw+jhViAioQpY3CrafL6NG9l2onCVG17jPzI13OKleofZ8BGI kpwDFhmgOtp/MPjj4IZtjJ+5EbFAXLO3tfsVu0mU7vuZVt9PLu11v1Rxy9Vv6nW8wT2LEcB0zUQV rWfLviT5ZUxVk44fr7PFDahQYG1vXRGDV/YagcAtyx48dWx/YhmtpHUSfoy6LywTR9Zrpd3aDBi5 y42e7934W6usavtLtamEtCnQ7vxS4qxSyWiOCOgw5QgyZg1YpJka5yu8ZUHR9lU5tTcj3fAjTqnM D/fTAz9m0iwh+Taj5CTC6y6ThcJfWW14go9JWhgrgKzv/sjv23pDn9+w54HPEXR7sqS00+Lga5wh yD5b/P99Zc97gMHxihecBzqtOifr8cnUbPCRnRpkv9hxbelrgJGcsGGbPeMkXZsLKWgpkU8dsnB5 nXdmcTeZVjcwh7n3eGLS4wcxE/7JhzcOilU4tj23NeHLxhVlIvdpdEXXM7Kcu8jVWpiI3VuD6ruk awxJPVG44OS40R5Y/68dQPJOPTwb6DTNSHmEY1T3i6jkFQIkpXuhisftbDttEApfydJe86MbzTX+ FlHtnwwxguuWPQWP8j8sHt3fkKNAnUy2DxusKbdtzjPnNDO+12CWn3KmiDR21k7OfhGpxlUl64aE AfOT3qrPe526FutHVmp/15weFHeP4zMDPKpMmsNXYNfGtnl33ousm9cePKmAEuTy29ZfS10/nGdk PJf6C/x23IrHPT0J1uJwVSBxY28fOb+XjJ/rcq5kBzM8rKwsNM6sREwoEqozw4JDfsjD2NAo5B4m J7wxHz7dWbLMqeRC+lH+30Yw757wS2Wpc26BlOIXxxbPQfWouaxm9s8oof0oqer+IDEvREmXxeCM ycCIhSEglke0Q09t0B0pkpwjv9UzlRi8z3AgSiA5j9OW5cKa88dmETxXYbYlnEqFMX2QT3AcHIGV Xnqf5wBsFxv9mg5XtU/pX6hCRIGwJh3WHjPNvzubQouWd0+SW7I85Q9XjF70vJUcboziR0mMAdVd Kib6kkDJXExVq41vVnIqk+CdJNIJKl2o8KqYeKL9DQlTEeCmu7ogXNzUBgIVNLJ6GEy96RB8n3Mx S5ipL8SlShdWmzIi5o9cIBrtMdjSvEMzYl/fg5TxPqkyQJ8xzGWzPEpnyWYo8vWtNKka2OlH7sI4 XW24Drsij50bv+wRmk92u908XpOeq/OvEEoz8VwRADAlT1gt82GdkR/OY+EuIll5u5leaYikE7t+ BtVYEmhkdkCdI1fY0yv7xeg0VKc9CA1wK0pGUNaqlSxHfLTu6bD7dKj9ML0MK8MwPWJHAOsJtJDf rQFiexzYEvMkO4NwY9nRrrht+UMCneOV+AAqqBqH01OTFMH1iPlHCOouURhVHQUfb4YGUhKANE2B gvNgDC027DpacNWL06yXV0QqQ+IzYi1pn6IVA8NwHsOM/6m7iCRz3mkAwZQqRDWgIlSMLDWQZhll zEbWVRfEqQGrc1Tmf5qAnojtB375wQV4GQ+U9qdNZO41xmYDHKRLkkSRYFXMB6jWFTwI5dqRrVC1 w48zHIMIDauBbpfkgYjgR0hPdQVI05s0LHAlrJdCYPWQb+XvaDTF16RSEUlTzxqiZBr/sGwI6IdL jG93sE4Wj3+x+pFHY6H6+6XlE3Nn806tMSNqu4od2zfuJ0TfYfb9HGbQrboB/iF4atnVgOGVNDwO K1h36HHc745g6+6BjPvPmEh/kuHZeCqDNrh7PDp21kxicj4tZNtTUJom+D6LwayHzGLqSj6rtmNz yBSkH2Xs3pfJ9s43GGuaGa64Q8d8tKTTfyenra+WKYioVowf4bUAM2QuuG316qIMlCeZ+AHCb/o6 CI6NHTo1xVrOeDiwbxjXrrjWntjOkcTzcbr2GVB9dwLv0e2jIQY/1ujyS0/eo/QqGQZWQZhzu6PB XrCNp6v+7LYPFM8QXgHcigjN9Ii+Q1R1egeHoRqNac9pYyATVm5g6O4nhy/Jl5LTLgl9hYjjwP65 +e3CWTXyXp0r3j+tWNoXaFxjpibc6t98XR5gxdnzTDNS4gVqcfxhBNsio6Qm94VdSi8MKE4P+Gjo y6PMPI4fC/KeLYgKrcfEfqain+t4p4AImAGhFjtKezNgWVJMg0gTJtwCSyXOaKIH97WatO6wbPLy JidxBJPR9pLMoaEz+FJnvF/X9ddPcECLfuamerf940W/yT1doDJEUqQibzBFB8//3qZa6WvImO/y 66KBw7RHoFTgTSIB9FKjd8v7bxTgOGkBGdE38zcwYGYLb21EsvhfKIvFh7rhqBlKIjob4yRzew8A ryDx3pxwdGWYgoTBJXo5w4aQ0ZNCF/E8tX/PTou7XiEgabSP7G/moknqk1zG7fUL/+xrjC9IEWlL a3tz3n5/6bA0rrxwyEdfbtfXxM5oGQh4oQaTgwwRCrlgJeU7/Fwk/Nb+d1s84kdDayVeHWIQt/C9 vIoQNiWUMBa73FDOYMtgJU1EY797k6K2rFCdVJ/l+kF8OauzVlh8edrMVaHK6irG3lOmsO144/Nl 9LJtQuUHlMgdowT8sOR99vg3jCvM7mpEX1w1PaHhlwFVc1Ai1cNWARP9qXJili4WF1NNyl5XkMiS 1q7Pv5ymo/Ejs0xJ8ZYq/78t1LnRoxQ+wXT+3Icg0BaPn3yt9NPKB9VU219BLHQCdn/8J2X0Wxai QUEkDaEM7Dls2KEhBQ+4T/6gEhJCUciwJi8G33DLkf2tRQv0COTjyxAzXEHnjEcvJxQQdfnXYN+j 3Ig7GJ7JTJS+TTHH8qFY62fwJ106Gwv29orW+f8k1J75zMGvuYZ6+4fp3PeBe16pOvpaxNzstPNv huggtSbtYoPlwJq083Z9rCcPhUj5VMafJEoEL8rfnx5YnyumFHOfH2019qb5UskTS2WQ/Qd1GA6V mzQvpVhR+CoyGRljKvlKOnYKtXIYr3jjFnXO1WJiDNuJTaDhAK3chnmJtstxbM11FEhX8YtPhfuj FjY/tQUxpSbclJx3Byn8B9SRegkBtY3pI98dKjHYXVDrjXEgZK5XZuuX6bNYuj6O4ZyhqW/438Hv wLOFfEdOe9Wa7+2IZMMHlmtr7lFRRji10ysoaCJMwMpRI6yVPakKbV32H/v71fhk5WRaVBGPwVNM VOBShk0UM/vFVpuf9mzBBlP5jQHux54duuigd2/WyDZo9dpLPcem+Xxka81qZJrqF882dAjYWYKA Fpn5qfxv81+FvyIijUoYDpbVpvVoNWuNujHeiAixmO6d+7Y2w4eFXpSROLeVf26smadkKpU6A433 vHNvw8e9R0XmfSAuJkdTx+EAeWaQM0/JtHYGUpGJwxLYFa3C6AuPlu3S/OGIyJdn5Sgv/chRpc6E 5RsBcyejgjCq3JH3Xb8aM1ULrQPPFSmPxWTaYVeIBeGIeLivG4srXdvr8YE225KhiDSPkZrcaAoY TEoRvggq2iHByO3Pmmi2xXWwaG1RFKYpE4dmL6g8iyHnrVBB6yixOlMJ4N+F3/AOEMKmLVE0fFmF Xw15i16em9StZ7EK9uTxbHJWnGrauwJmrbLI23jjBHsAGr+ThRRmlINHp735NU8KDUTiNzqffPZR d2S34J7oXYRgURiI773igZdQtabxQStSO2SMP1teXyoYXpLgVq+MyZNmkqVr+0CnsHNyMPCb/Brf 5p5ZemdwrBh0CackwShOImYgaQ19kMxoP2PsSceeKJXkMnje3+mKuf7NtkbCiMbSGXy03Am1+DGh 1LJ9xotinDxdtxRrnWSqygPN62SCZ9F6lUavYY1X3ycPe3g9bgBEkK8JHf2HhN2+JwjFirGRGE1u mWYQWyGRRHH2r5qFSEHH9Z1OcD7xn9YmK5OS38L85etQZxRexELCCEOsHfux+WjBHJo9gUk2Ri4X jcR6tSvT+sTppskOQWeQ7ms5y/w/9wm49laIc4HTBwf/NcxfmPeTrLbxgE95kiMC4dJq/FbqVTh3 tuPTnga+fJPiMw+U9gEyB1iIRatprxFqafsFcKdD+a38RPsqzcg6AlfiyUkAIozAXZD8vaMe1Vvp w4rbFGpS2dqC6fHhOPFseMM6tXR7yk2ge6Zhl7G+QLxI+yGUq/9C5jkYXuWBt3Po5PejG94SmTVv dnPIadOYLA/1z/DOVWEvI5+wQq/RkKCiqRV+ANnRbMrwpcOv84/j6BqU58PugdzY+68++GZ1P/oV 4VFFWzHoXSBo2jEGlld7VwOb/bzGntTgOz3cva87zG2AEkFnbrNf2hRGFLt5nL/4YPo2eBsikaB3 OFW98c9Yi0RF7x/UoDapa8DXVk7E42qld3PHbCDx5OytDScnd81fdIF4nzfOlCKHwkfYdyGfsRWp qqo1PaTHKPQ5ClDjES638MQ0WlZF1gBqyYRqg3CsCCJT8ya0iq4ZJfinfLFvz9Ser0xD0kTCTfoD XmtnL3KN4N9LFonWPEy5s+mYHoNxYLEKJe3dKT7C3vu3htPtO8aVx3kCi1VT7achc2qcA1Ji8mUR ZyyLcERlE1Ed0X9yjIjRvtbst62p1mgE3tXkhcsHbTxUr2CijhQoyy6ZFBdC8G109hbQ2VUAb/Q7 anyQudE8+zNf+9gWPRs20OzYiLuTbfHMsHZNP5Moh6qznu5h5jKUuNHDAuDRPzWfsuD2UGPCZLvM btCKljXRUERO15etdnp6os86N7Wl5bvsGG7rIDBNdiRShULC8kmBY9DCtcCtN/SWsi9AnQei2w3a xKZb+rPQXd5rl7iyWzs1w8N7HiaNHXDfpXWOexNGu0zXyQ+yG9b+fo5EKoAP5xnSPsn16FMH9vFU 9BM1ME4cIL0lijZ+l8EjjbDQGG6sWabe1lP4dGjz0qnJCkhHBWp4InJ7PGtoQhQSxFr9w39Kbbd2 SmxIwMQphqtdRXZYD1eNz91Z9VKkvmlRAkrC72ZaV1V8H0AQnx/PSrhkCWPbR0go/ieGz0umIMA4 SbMBerp1k8JLXjyJqsKzPtD9oauqe4vb5YXRmxISKnDg9ZCHAArAkSdwEgSzSm46gKU3/KsL5JO9 K8rjN1yDkr/DIZ7RZva3pb75fA4plK+RWvf63dHx049E59mORW9kFMurj5gucq8eTHeMNlIjgjsG VB3skBzsSw4qQpNO8GrO91FXggf9j4em5TGcwkjZ9mXeCnPyQdTD2jkqoYRMHEjydBOJibf9wXq9 OBKoeZL5Vc/VjQRlKjMpmurTzulLccubH7929HGAMwoHKcovqp2kXknKLqvbGcDS2EPe6C0NBeNQ ySrwsXQtv0s2F9jfA+d3n3kQrDYXcsTmHxCHUgPs5hxAaPXy8IzbrSacF55vRy6UqHHwAzQxHo2g QmVpr+GbdXT71Hz9QwUthRw1+y4IXttte85xss6TsYD0ZQevZmJuPEe//IjrGAFoDTYhhTtvI91h 93TI2dFE2+SIOwo2AnLTqcgtyB9TNJtMFKPIF131TfV53f9SqFscGxlqt1zfemUYUZ9fjV2INMae +RuyuuR88Gpjbq/WIwg3XBGfZtm0GfhhKJ1y4pEOzxyDsqDddpob5ez/4nVBFqPgULzJhIMIwfj1 yiEaLcFzU0+C4vBdaol1v9CuzREa4KmDvp84hKmiSbcEA1RkWDckvxFjuWoJd70gq4c+WZjJfNa6 2ekkbtSSJDln0fevOI1B8X+W0goABQiOh4O6WMAp7TtZh4t7dbbnBypyPs40poIIN1RsvQUyVezl jCkc54EawYqXLIhhC8rGL6Usm0o/2FP6udMWxcG3bDmtLPM1UDg9ore+3Xh35f1NkO6mIgskrZzy 4NHgZJbsXYNzacqPQDhSqvRKqDuOzeBcUyq8I0DxtAwvCrKnY/OWxM2B4o/V/EvcNdMVJxovuQbG MCEwFQamJwqNxITg/X67XydN4GmH6KEN7NBgiCwnwVx1SfBPTfmxphhAsHDuNe/kmBExzzB7QpnT wPldpvbAXZfLZVWsU+k/j1XC7aJgaJYThzD3Yf1uJ/k0/rjKMsXZBSbRCS369+flC+5efOPHd77m Df7fRqyne2A47HsejyYSkDE+NOriEuHC1g5rbSVpqTUCTkjG4/JPyLqMgDXAQfzqj8hN9OqGxbs7 tAcEZdjQHb1iWo6rNbRF9V6Z7rtFnfgznWaJ+/b3rC38DUYLiE6Fq6xuPow4rbe3GYmHRjLy6Fda f6WKOEtSssBl/WCSWPnn6cU0IGTC1OXd4bN+ixjfOtk+opdwka7mkLBPxyJUB8zC1PWo+SYrmjj9 iH+NKWuAM2jVBqjmh5COgtnbqPUbm5z4eJ67A1PHh7CL++i0P3C6D+Fh+TN9+Kd7MgUmbxRu4tEo iupRycLtJCELOZGNaoCQAfpvoTuodwIHOioW7g5Maa1Lms3ZYgvr5v8m8jVgPP9DeavMTDUmiT+v 9MtkvoEC6MgINCHkjcAIqVbu74a0zqlET6wH1kTO9Lw9zzUHI3vw54FL4eI7shcgk8YCQiVfYjj5 fVY8hC/imnjxJY/+O5mzLL5KmnhL5VHUASYe9QCsihDAJ4Pju+KSfpnyqGBlZzmVnKePK+3m4H1u 4lc+I+5fGxmbOMFUaNqB1JJfJD4qxDZk+WO1y28wC2uBIDx0RVN0EfRUFB9OOLhnkDDJQyrMGOYs Rw9R8YlyNe1Ur+xNZn+jzrKnzNMbhrkiFp18oGwD08vVazExbn/hkIEaqOc1HNMGERnLdHszec6U wUBaGyWYXXhvyzHJq5iEvyK6c/G7h+zYePjQTUjC+gmaZQDSbTUXUtgWMlCPRgehgY8c53PsrKDx oo7mOgdV4c6FHA4MzN8YdKW67XMklQHBidzne/lWj4upxElnRU0JkBvZU8JE/9Gu9riMdlhUMOt1 HXTuGjx3OqA+hUlqRtZfcLaHXKWNCDL/36x7R5t5pZTIcyALebS9xr7WDGSFdExbbigWmczozxgC Yd2ybimvuTWnrsAA1hOrwXEaTLHoaD/Ppy2AP3Kh2lWupsDWLM34vz6gmRGzuvcKSZgUD68S/fOb fSdx6RumDu2Kj67R1zgmXQOvQIoZ81lGV17WNSyvQxhQ3tHBQ4Uq0t6OvrY+A1MAUP55YM1M4CcM wzhvfCkL92dCuG6LjoHW6hRuu2cfw/SWys5hvXQ9+wqO48a8AcYRse++INnnj4bvRQqXwImWtZrt Vp6lYrRTUN8v0C0ozlVzoApemo/MccMGvxaeKg7fOw5KsEi6FaPm1EZeI7Y2LAz5OrPGXM0aPikS utF7qB/jmT5ef6sBVzh5/nDiR6Snkk4El3jx05XbEPaabFMOY3P7hw5vxxpatcpDALjvz7xXv84F +drdgOa385zVJ+vmlSoG+b97mjvMFZLuxpxpBKYaP5lRsP/dIyGsZ8vI+aCFlWwn2LOsjxGk6EwC A7XgvE4e9UUm6Ju+OipCJCfZ2VLSyE1gCg8Hxarvxv1AfVPuSoWP/kC1puklCYNfgd2PsI6uqL7n PJCAEPk87J8G+LWYMNY2gDIhjWVtzODGidt9loJB1SQZR1/DnHVqMZdaWSaiYd7933liOcKdEu0C 3w/BqiXf1nN8Jq7AY6zwh2iCRGpoNLmtRl7fUwjFAeJatp4SlapCruKQEstqPVC7Ccxb5kibuZ9n GO3z8rVZGEGC2aiqdUf8rzVYbyrohV1EwYY7HEIZjRhMtrrrgujWDSvDYucv+RRejVLdJgxYNXoG hj9H1ENp0A7Y4dtz9nr22f34YYRYf0kBKbgPZuylrwdIIbJFnRp3d1+lPUpNfs+2FOYJ+fptMLcy i3INssBXJosUZlM5ao44GBxU5llbgicEgmJFTwe+dhLGQwP31gUpanIB7wKzv8e1Whza4sl4w2H4 S88ecXU+ObYYvR4WyZfK8G7ve+ecMjrM58aAyrj3JZcvIEwvY2LMdgYhO7p3OjVCDrrWggZ//QEZ APG2B6ISriQDBW1xPjIUAjPT2EHxjH4XQ9YsdkNqKu54773YEutSdCS9lsAtXA3d29lY/H6YReKt G9ORjOUT0jLYtjDTmiEEB7NCCcrWzECmRtsCEWmy+jNxqegXqhGQLyCAOmnPLjuk3UhBaLOn8trM hG4U0FabRxCAP6hOkPPToZHbxUWeJzgTYtXzTOWDVtM0NA/pa3Dn9l2mQwmiCleMCV8+rSV9rh+s /gR/yEr4JnqkWfoq9G6BaFLR9+W62wDYAq5Eq7rfufpGna7F0YE4nWL0HBing76B/XL50qq5E3AI sBCCg77M6z6UxR9JEERsJogZBf/7kxbPiF1O1hkJzu/8ZTpDI4MKDpjijWXhrOmKeiEHLtT2KcuA ge0QwFLS5KQ658mIgKEPrMdeOa/4LOpcPKV1fnZ0V1iuYeLgR3Px/ptyAwCs20d9llfQjJaRUqov VZLKfPi3hetCtqGHJPHFhZ6/ViYTaWIyTsagsvDFd5RiShwSATno0Oe+08NxznN+wqHvo/1gcGrU DXapqKMeCjowbe4T8LANMv+F4bGNTQaZ7juASKPLGkrv2r/GBu/uLM729j33+m/Y5NdomkTiE+l9 PO/XXjPS6RG7k/SjXHazbLvmybB9S5WRS/yky8qAFdlWES9ZS2l4cad2qTmSGi368RJvIOrzJfHs E/EK3xWHuInFP8gWr3/3YfQhXOIfzjuci94W1oQ1SIh1vg03skVu/Cf2L32vn/pAXorls1iBhp9E 6cZcXiBGnFeqEQFbYCLz6zxw7BH9LlU3mNZVJnjoJ5ZnSFJ6pZ0k1voqzOuWsXLWCdP37/+seu0V CpUWEBv7/mPB49XvFGwe5lh7AKKQ5F7P+SVb3QxMvAK0Cj4Pm/RhD2nJydZ9xzmGUZHfS5amr77b VS2A97viWNpzFD3lsNp53aP7Yt7+Au4pqujZUThxplqVFRVbb9gEAgEwimETkZ0GsNlpxWJIbNRP laPuCbfiAGpVicsZgBJCMnn+y40/EGLCcwEwEgZOtZRszRFgMBepMZ3tCreF37vxwPWRAqIVTvNQ juObTRZqPx/xx4KgJ8beZwFXQB+lNreHbuEsKsX8UuePK/3UiTs23n78HuJuGLGOvS9PWY8Gypht XfLWy9cfIM8PL4WRZBJ+GamuiQ7HjYkZ445v0gnqqGA7OLg1XNUec0yJwVr5QWJNTZIxUtrd9kLk 4oM56XNpDUntZCYx3aqz8UeLi4e6wXQDVGY5krBZmkr74aj179xWeFhQxwIIhBG9JsAud5UEHfTZ yCcwrh7qOnItqxfx259VzKtrti9LkIPErHAJlNc5/grJDiVG0PSDp2x2s6zDhxUe6FyC9vfA9gig 0bhGZU5DZ/Qv6tHT28svntudckIEfU+Pa1WFXtbd1pi9NbcQEGtAbo7BVN9lpF8Tpczp8h3gxd2C O7XzXMhd4tXMtMacrE+T35u590fO2YI25RlLl6r43woAWb1DFSZbEYTjI5w0lUaat+y7yUKv0m3S DBUlXxTGAR+gQ4NgaeXamuRBeMAzJUB4CAkuPJSeJ5WdK3klZauHx2QDoesd7MmoHyqwpQONsYV0 IqJwzRsNpKdgGUh2nhggzZErb+siF5gE0fCNwnVotzr94SbXSDz+XyDBBwTRW8y/HgI6hDspcVPB xuBTPAlSygGidgO33sVq+7X9UYHbhtEGDl4YQGhs+U7hnp8+H7j/pXWsKZ09WaTcH1OWeHL2QO64 LfojbPWVYzASXaBvXMhHHo6guWjKMcDzwJtesczYCdcxFxQsyQx0gbfhB4r8NUHYq/QDqXeYhm+3 vltrSuXwRaqxV9lEHGN0eXw+KLMVzAd4GutlRirDzsqt3kFRiOz1tyfZWwnCly2cRVohabUrwRak Q93NEgrOjz5Ki5LjvFfERM91m3cZu2RXEYqlCazNR+8+BsZBv7YkBBVehshFR6RE//AMeA9y+833 CzZnvCHsujRp3bzvA375TqbSO8iT2Orye4A7LEtZmGV10d47aw1AZuNz48VyGR4uCF6yVPgi2Wm8 aRf2T8WqgqJOYtKC864y578yjEBh2SJQSAkPSYbRXskIvMzF6JKe8Wy5wFKkEItxUhjb0C/+83Hj Dq20XZ9HbDtRrFjCPypGYhOYT+VWGmaM6OpRv45+cqf2JvNmUqdD5Qli6cWFaQJHV7CAwtRplYFP 9RNWRk7P4ROhJ5E6wLWTsCvUUH1OwfbbSbUO6TeM52RWtUIaeoyl95xOG3UGSqApWVrMgxg4J9eF HMT9vbWwk+0i3XhNN7YSkwOH3qge7FvT6tpurhVQE/ARDdkZuqPsji9g24CPYM5G1eCVaOkz9cPq DzG6zOBO28hWIqIBG8PHXTd0bo7Dd2wEy3LcGLMehJqGWLxBSUDtVcX+CXEZm/bJo9xRDfwNW9ez HbYrw4UecRPHoF9k6csWg4OTltj6BeOI14+vvB9Dy6xHJLn/+ATOyQRysJaeaoFRLI0uCwVX6OF2 SDJy+nZPQuEwsaqKMZxhYRSUEHu+pO7GPgarSPnlniKkVd+JKgrfCMUtomnVV8nv5dF5s95t85Pk HFtodRSSIK/e+IhtSzXlIhphRRzETQ4Tv7bukZZJNGMo75KYh/zJA8hLdgpR1wmuBuAA4kPSB0HV keI+EdJ2CLZVa1GAYSNBq7okCbAmMzqmHmw7y7XWZaKA28Pm+kybbkgU5127kHPuB+gLZF6A+nK7 Cuo9hLTu0RyTxAcHb9YBypt7RazfiLd3zFyrD9W8Biavsdx7hX5Y5a1b6PUiNOb6ruJGz8ffjhIZ Q4AMVThEQ37+6pvL3bcQLY/C9o0AN46UEKpG11K4XenYz7DlvBGKWKPHl5KgFcbDTJJE3JdDScND pjSsEwgv/yEzk0TpHTmnlFUSLJmqdZ9JpVM04r6GhypzDPNSRAgqmxI+y24vJdSwd1Zto5JX0cYt 8HcMurP/RuD5b/GGMlFoDaPtexa0snRfa8JfN8PKyK6RA7WzngjH/RcJyxdqi7vEEIRAq3a76oEj XGk3P4nFdzzcdRHXidmQLARxoAbL4DKNNR7Lksl98E16qHJjDpiGtHn2uLKZ0fD3XshQleqfdOTJ i50xim8QqS2yOpJTPsJyp66mE3xG63IJJk45Bmc+b1k9cLUfgnRD+0CqCWl+36xSvpsB1wbdqjc9 JWpNqnSftmkbG29QHL1V0pbAOTEx7x99CPc07BSFQm0Muq8IU6l/LjI7g54QEp+QVvqOKaxsVAm+ 5GBg60CK9Eny2FF3nvrCAn0LuXIlPUt0CaIaFpO/mvPtmHagbsmNhn77hfPP5lPMu3l6rldnqjli sk3SArKZDqXEelo8d4o/cFXCXHcXqueFC4TwhnH2suar2QTfTd35/kOm3c+87B6e3TPau8aG4YJt zfh9vfTGW+C1+D8+r+VJ9pnsT3YzA5OQmSNIU6W+Ghh1wfnJMak3Njn1ksNOTsxh0AdHPsNgOd1Q DqgNhw4UMfZDJ+kgZcb1tRIYb7efZ9azWcjqa90ER5NWK+ZqnzV0P+9nmG2qGBnVqGyAoVyzvw0n r4H3+GqrMQbPd5FEOaik+r7E6JILadcycb83zX9LlUQWBFqTn9Ych1pQZlhhsWdJ/pXLuxrwMR4e qyJYnbOcyhn9YNustXB0EAfh5lfCDtj3H43/PlYYb4K2GJQ0w4fRSAxdJ6FqeZBwSFM293nPxzUO RBDP5Z92nh666B7lixs9o8n9lNqJMx85RErEdAQiv6xsgCBbopOFFzCKK2txaGu8IJK8F/EiCslN /mA/UMMf3MLnXM77+kAzoNOTvOArNPCf91JvEEz49+jqTq2JGPaVEgzUcOhO+l6VRSEZusowpe7y jNAG0qTbB8hu4TPCPYPWAWZQzuYphAIo6jeL6I/W8hCbMFU0YB7RbgYpWBDV4YB51mw6vRDU4MR7 FLD6QSxrP7M6lYKuHwam0wVSRoOn2io+FlSDNqOzE1KSCNGIprhgvAjBgZrvKWvjOTxc8e2W/n3x 7cBE2dAoA0lU5AOwdb2cZuT5Eaicu/fvemzQH8afCIb71SIQpQuaEeY3ir2z71JH64prmZii3UtV M8zni29X+DeLe3togfIGsTXRnwHTexYgsbfPoecePcexkZR2jtZcq2fa8OV4ZYF5KS2neiZ4iFHe 450P+cW2Gm45de6moJI7YKK5Hs03YfoVlJcTxJtBctCkFuuewPZRiykvHTW503etZtXO1POMfevG R3fgXNBYcUII03GkBfypLM9ONWqcfTRJPi98X1LOTEAdDc6ereiehxzr4CZ4SqXvHFxG3cRR+KhW GJ7c+KFpQfWpCmalAsRjehlBYHtnnQDeZiUWZBcsm9V/BHAsKsyQhdYYGcWG0lX093Gd9Wgarc+O PSrH1j2jyR1fRiUar+ffuAjNZyrmKbfnhXFeVXfRXoVS0PiwUvCakPrqEv4E6ljahnUSNqcM3Jvt wfMt0HwAF7qcWNf47RluhuL7HRfRWKdBx+0J3voobmr+yKbObdoqYBt45V8xE4TQ8yjxWeWt/HJi urFrdHgAqgVD/V0ILziPwnXrPolfcsLre4+vO8rrfhOfa0bIVIgEErDeadcvrIGLHczOEZlkODkE nAYxwYWtqTg6+OqDkVTRGItgTdnD19zDEGF7sabJnzuXHm7Qj2NWa3mk8gAofzjQtNPKiArEcJnU J0lc5UONoA0spH3BN4NmdP4q7x2AFgJAZp1XIEVxzLhuj1nZU+MM8pUmlhMRxs/sLNJ9AhCE2BqJ jx15fVC+sPCJ+DjsY7usx7jaaidm2+Bzbi7aUe+hlAKOAdQ2UGmzuS4p/FZPANmhgRFIvsnvmmhX BfGNc8Dzommnj3HXgqzu2uz623plHsfSkVNC7c8s5Rb3zHSfXF+KVjACY0CEhcvpO1Y4HfIbMIgS TwEjIQJtnaBIc5edH4K+6f/3IQk+ZquBKdrSPgL7TtnE/aqEg5RAznzaZSs+MmY9kU5K0fSzQH+U SnJBTAOPIgHJsuoORBUFrjIBFpDD2hTRTFPMVsNnar1ACpU1pq/gIPbfQsg517Yfxd2zjMhz7HRX vfaybffw1r1rAqYj7VKcGQg8sJJnM/hqSYB/DIVq7EVkKLGL0fsCSMqTqIJ4RBwF9XdNtrtZU0Gs k4SF5QrEHrsJLBRcxaJqxoT8ziiSRJkyPAb28SoeZFVA6Ne/crO9nYXYsz1VslPdUIwy72hjcDih y5yv6HyJ2N9KTGZCwyHFIldS6Sf77fDyJL3hHRBqYsPWdljm4pEatDSMCJfuaL04fDB3tNWMwsNR NWE5nDh6yeuI22PO/tQNQRscTZnz/tBvxbCMKyWU44L7GNseWtzE5aE944KTj56WbojxunpKnc1y +KP8MRl5V7kmAQ050VcDx7vl7b/CvyBCuYdm3YXxmoD4buEhr/Ed0JF1lIwOdejpK01ZJ3P/mWkg SOph4+j3GT/3U6z9Jhb4eyT32QTpIBgw8ma1yvxwHst6PZafPSJQzjQmtcrecLKJhsGhsIUpjfAi BOVqxcXaysDLe8P2wFAikZEXkleCOrJk/dTlkjdyH017zXM7V/b2jHscOo1aVm6xQ76+7v9wgLA2 gWWxpipnLs7AI6LsfQovaUbPvgRlWNnhiRXv4LzG+bJDh0MXmgndNraM3LrG4MtR0jf3EsfFuyIq RLaRE3Aa6JgPv8luxVt2LccavEM+Kyb8XDAHnsEqHLT6AcfFbiINctesJG3VnWSkqSyTzhLnmMPg 8QZTeMBs6puFl9JY/B1gcqIaKXYdjwHbtA7twHON3C4+noAVxFPWkHTCfEieUbsdQYxxirtnfr1v NPgjCUyBuGbmsTU9LvO3M73lH1DvDNHNoroY/Yrw7z1qe3AGR1mXMjBiyx5xbv+cABHA6kcqHdPp 15f/216cUJ++H46OZMG1Z2Ak+SALBq/fhDQ1DmZq3GnbHSLtGl31Qn6YyS/ZEuRqppuYdST+cHDy wbiq1q9AOgYM/E6tcGRM6fzu9PuljnPvEHemL2eezWXfX2/YSBmpBUQ/aWTCH2zvW4TxEhu7Lza+ JetVGOFFU3VrGpF4JKcEgBPfjN9IavE5lT+35ve+68DQAuzqBY/xRigFVL2e5AO7heqNFYwHHhAV NKoX3PgwjO1xtOBTndZvbZmWNsG5NBjp8voObhyoT0Myw/PY9htbRfVaxTsS7gZDuz1ledACXb+L IiDjer3L+twyqqoTcw5G7uG5HW8yAEyHUwP7y9SdIkGaqscxU2I0bjcvURBGP+G9U3Hg/RF8uUbM 1WkDu1BX7RWFa2EEfXOW+3I0olxvhsLnPNMDhS4jjcT8VUrlmUngCCiMwh8a74excc4ra1pLykNb NrQFrYqbXkjj0e6L5tQhBQNpjbAQingq9QiuZHTDCLl5mCzAtlOdFjYXaUX1fdINSMwY1WxLmEIH YwMX1cxE5PaZ5YDQKVQrFShO05cXs6TYSkmOJs9YPTng3zSol0r8G+AFys2dUiTNzsR+gcTgPT2T z5JfWeGRMh2UT8AWq9aFz7y2z7hNrxMuagVktl1DSH63clDKTMVtWqEtQ5bQNDcaDHbb6VsR5FBS pyAum3K7NiM8nN3qa2VDCYZW9QGVME2ic02G36sZgZw9GtInWg4fSPczVg4xcQMVO0jCF6w1ShBF fJkHDuPcdrgQduBNPlYytSIOpLUbjS0CtKsW82f/G8lDWTDnaae7xwda1FipV8MmONCvBnL04D0C eJxb58OqeoW5Spgq+txaV5oZRrDBJvLUboqaBfI6biuQR31rq/azjGEsoHSfqIir0xj+B/MwnE7R l3AwWl4pyFcq3lVe9Paq3VOFjLm5942JQVbQkuMnZqAFJJqEtsxA0CQ3v04G2asrWPJW2gg/VdyJ opmaDFNO4ngjny3p4i47TuRIfYY1FJQBokfA091dlUw7DI2kV/IoS7DQOxGe7fTT+rC9aN3+z4x0 PDfsxTyFFmYV3+7rNmLvpFfy4SiKFxL6XFIFomMCrKqMor7R0ga0tTm6qP4RVNyLLeGm1hxaWyAC lAhZh9AFTK8CQJF7QG/hdv0qy4xJUaQcqsWe9bcFzNGrBKi5CafFjoUU+rnsDIUqJjn/DUNOJA8e zbZFnIuvAQgc/jmFmslftn8jVJxv2IbHBIgpufs07k3eOKzbHjcqVPUzUATRL0XJSW+8ZI/meq0j CRDjr/3OdsJvpnhKns4ugbP3u5Nx/LyTSGByvpNWuDQ50mNrVevi/Nw6KDmZOu9z7FL0qI5ZyEtQ ploYrkVswj+qeXaWkN23Nu+LsGRIbFkHqEh2/qQNHSTaJxsLYg0zZ45cazsvmhmT1TDhzIAJj3Wa s/mh+pfMmikTIN1QdNxZn78BY48o0xJUpccQ4fZ2sNXGYmwr9sBS6tqbTvXmi59Kibe1CA8jv1Pn nyxx2BPN/+BWszUQi4b9J99WAf++hiPAh3QDCq518Evb5JUy84CHuGUVp1sgQMDJO0Ezw+aGdueq KOs59eUPdSW7wKyBls8wEavf89Q2eTmfJfetJ7eivGqBUP7XjpGaQAWvk92TBe8n6NNhS30YCqpw nHNd/jfe+njKiIRv257ncswCJWupgberjW1OHKbPXGfxyItSE7nbqGyxh2JYzlu0xa2qUC+Wk/oJ 0u9qtZyl/AjEEceFYX7ZMxGO1JVqfTk1RbTWaYgyhtzJxntEdJsqX7uPrpEW88PKrVsjzX3L1ufP FmR2GbTuz5pYkluPxJcHkLLEhMOW3oSTPEdRAzxF0mmnpUk2SrbmA6AUmr4Wu7paGoAF6rK81CHR a9m48e+PfiE7zwKnoO7+5Bgy4WDrcc8/ZBzJkAKbHLt9+GX1ZPWZYZSUHtddkQxnQGZTRKKsrGm2 8r7uBKmAF8OtIt5RiHcceFsEf+sULdMjfuN9Bt8bG5bFJJWynkOeWXu+HXZSZ34FfiU8C6H+xDhz rWPZVcj1ibywJdTFTNYJSExbrLgZwrPbBstnAwUyD2SH2AgX9+miMlBatGCvXmJ2+towJYAMg6py zb080xjrHK/79rZAGW4Hy1mfhffGadjZ5+KoMbIN0uxGlx7j3tODoc5zJuBtfl2JdPMPl4oaKq24 XMbTR3wRJXhmX9wTlYaO67a5PpZBCtdFIaQQS/7/WT1/Bf9GhP982iS/mC7dZfU014Zrkz1YxJyY fvkQuRI1KvMqepSg1W5U4AmRPb8N0SEWAQF1NdoPam9udBvYeHDUUQmF5tUmPfGkXdqMpELTeRKT qSVH0uVDhGmzM4FX4rui7AnfO+YrYxrlWkGs2CSsjTm0Xo51EGhqGOhFzHe7yyIzKa/RUzOS8RO1 OYxOTWsC0MtpuY8P59kKBT8UEEduzuupfCijmkzkkDsw+erJYnpaMdw49giFVh+9UJncdQSgKrlk WgidYt6qq9HS2ExRwkK2Wx3VVBKxxm58FLfEPJqov8eQBWhOwQqM5cSYY/5BVysHiIKX2+QBcEyI 2Agu8LIEN6phuxDR+Q8kaWhWzfFsxtbGtxXRZUPhu0jaaGQukbaevRWR0l8Gy+0SeqdXAPt7xdxk z9sjzYQD2qC8VcZ9AdbsHo5vin0ZuX6HvUQOqP0lSPzbec8bvv8z/HdGpnckoFV2Uc98NiOLUmCR mX6ahHiB4RJwPO65E9VIjct/on2427CE8fz83VIR517Tv4jJeHoSPByMZWsQ/0q13LbXOZZMWlmB hsqjH9HIu9YU4mEA2+5BScBwWwz1xoaN04amBZQueTGPPyMOoynQ/yCI43HeIZ1QZWtZAKvS3IIk DVgEDkvbR9aFX9JinOKXgfn6e8JOuyP5C0thTNMWj0zAnJwg1eAZr2W9CO1t6ojdThfE7SzT8XIj ds0eu8a6Ht3p3WMqZtVS4segU8kbgFYXRQJGBwiyGEbfGM6c3/7W0t03T2WeYaRFsjB2XtVGBmDQ 51V0oOHqzYlAhgFoIXieZ3Z93heDTD6IaSYUjDa5+xz1cTy1h8zpvl3yMJvKD7jZXk9AZ7A9/B48 R+X2jgbiqfDznr+VOpQrRkYIPH5l6KZQFbdZqR6ypyEE/RI1pqQNI07sNEco5DehMjxbLVWm3dUF tl8jVhdQz12ACXt7iB2rk8KmFLgRMAMUU0J37kk+YRPt1+ASU5HiJxaKYgc7gnCErz+lxu1ibzdu gd4j2lt5J0f4aAzqg/8KsY9bhN3QAuqNuc5tMNbVaMiSixfpv99plC9hb/b+dJ348vwrBt/D663J iJ4mBCjieKyFfxVtyaagS8pfCYZXUDmIBQqXqK8hbx51HUJtJKNNPSsMHTE16WQNwH9qBC76oS6+ sKvyG0hGchIQBhTUzblGRwMyp7beMbGGHom3i/9s5hEyAAYyvhjmGR9NGiJhaYfUth1DwOZnyFq8 howMHHCHwufRuFazbFV9Nw2AfLKauc6yEGuyNrMHIFJf7sGca85DYdOf/Gsdl5xEjLnI5eKL9Rdj 7Zk2/jyIee7WRR8Xy4KIaaNT7bUWTHkp89bg6B0dJbA3QhfLysAkwML1+K9WspEXckLgbvQtJGz7 lMDIUtcOE49XK6wuahHxZM22TY7wFsD3Bg4ENHbFEjyOf1F5XlUnNSeUG7KBwSFeQ17D6Yhh6afC ZDA9ECtNca6yiOsQWgWbF1RQorS2MsaouCAVCogtctmIpLfOYAmCIbJ+bgqNTHbvNnti+J3Hz8o2 ArtRDaO3Iba8srTbb8BQpDDESbmdBvuIDLz8PrUAVdq/t97CBZ6UamGwLBglpWmrDRIxc1ICy0ur AD+lb2Xh74qe3M+iQjY9dBbhT+tOk9bYNzK390Veh0DhwbGxG/SHBUGvDKNOFi6m1gzfOJxP3AjP IgQoPf7OEefLVkehxn59kg4mIIrVmKYbPdDlAuSLwpPDrEbuGptbx9/882AAydugkSD09vMm86jn qT1a63fWh4Swc2vjMa6IYHq+noBwHSrkRUO56RhfCpd+bOreNtFtuioPC7/F35XwvI2EaN6rcMBT GZnn9AKn2beCZ/jLHZhgUIFLmA3AitGM5lhpRrS4oVxg+ypxmXgcxH7tUVUIBhxnuRBBtSeNdXAW n6JmljPwYSGQ6OzJa1K+b5vb0k5LVHk+16+vyI+FnMJ5B+mVelIVvN9AMxvOe2l1qsxoXUqy6bBu +r4WcPEg5/18nijLL253CvN4VStPqp6SOJmOrWNuic6chZLJfGQBcIHQqo1DPVMdaOtXlepd0t5V MOoTiYbkKwL8JC+pA4i44eOislnl/UwF3ah3QWvViH8pR1HkimvEAv2Z94joWwTR91gqTm08+2oo jUy0E285Izjq/O6K8gPFKpUVpTMUVa8o6kbYFU1AJ87oalq5EUwD3HC49P8GZ83U/fEG7mbZjJ+e So4HUCEzEv5jDFvD15IPcFzf18uk4CeigFMEvbtC1bqAuEDUyZ2iQUzVlFbzYjLEj4VJuipX/2OT PWyFbc/4JA7vQ58NOpXKsQXyhG0h1gUnEiuNuGT6RyGAPH7dWaXc62rC83TTIQdn8C/L58MqAE9u /DJjFpfQAbTUIGopG7p2Z3Pe268c9PDBQh+TynZMz6fK7PZ1Gx+QQysucQGY8GyRrxKw7fOjUcHm u2pp8HfK62VqrwTj+5UdzT4hg7+w06CxEX7hoS0l2m1eTy4gdmTj/dzjGN3BZYLNbqZz8ptmahja jDhaFmLfwRSHeTtaabwqcHLwAVXKa40VIH+cd4m8nXwB9gszOJM4hnjyvYUDeYN1WMVXL9vNS9bJ 9o2mWj8RaXfhjLt7boWIf/+4TLUMyyfbi2uaiE+tYX1SIdanVxiATKKgd1ZSJepJ5+5+t0BG2kVZ 3cBRdA61R09tXYSeVc945c0T28UAt7EvNwV36Mwrb1Rtfo6gNZ6rhLlErzJTSHQU/I6keVBVkJIn vXJi1VWQqi+8DIbKsfimnTJGHJkmmaqzGzF4PA8codp9q+ZU2lSljdTCT040fnrzfQTq1lZI7crb W2hxZpbrlByxW5VKC+bfV2eMJkwOvNd3SmS4vN5UC9GhecxQpwRoudIMeMgNF9E03HLXNdaM8BBW 7BObv18GtBAoSeJh3Lb8VOPS85x0h18LWlg/7kjTI12TcWt8eOwwH3EMUI17fDZOZ87CzsUkzaRO I59L13zpJfHrB8M2QSfLmcI7DqTi22tCUcBnvkr7Xu+fPyAydOCGvd2Bmagvbgt9UdrriiVcglxN yiotdAB6oSeHstQTjikkjQ5bfq1IkDUWIWILZLDdiJL7VGpZ7H2XRKRspbQ0bFY2M4K6pK3aKud9 KcnxX9lSbr7cHFJrVRIXRzXOXNTAkh27mAiskJKK+rhy/gT0xAwwpguuN8+9LxzipacVFCmexkJF 9Kr2g+/tMl1QhrjhSRvb3DhbJrIh9MhOi2eVNoptriRRkU70GscmMhO5f/SNFPvB0JMd+Jwi0zaP S0B3E3e+r2cHdl4r6BkqTEed45aJ6+7Yya93XJ/kS9h8De8i9hm6zYULzqfk8nkacl3o1m6GxO8X CsYsgQLVsMR8a589vtlJqWjtgp4JU2tzDfLzhegx3wPfJxjY6WJ1v3Dy6EE2kebure8udWgANG/8 qkZGCGuP32M55SUvPNS5zdMHmFX3jrOWyDkX+JWao9NPdf9MGZtPqNkoJBxPQUHkM16/pKXM6ESp gszHFBYA9AUiRkfS2T1mWjl41Fz7mmabyKeJAtb1FAdhzJJvmCZ60Jdf5CDPea8/FGZfj+vtMF6Z uqAWw82BS+oD5M0hH2Bz0n1o3RK3wJuBU8PysWzve6Ycfky0MwnyC4UfAzfl9m4ChaSHhOmISG8W 9PRAYE3xblfF6I2prabKuPGNzJV2M6H2x/+B0M1djHgWHlD2k0FlutOM8W1/RI8H7BC0reU7mfTQ Jg5+pjCaOfdQT3oi6TRr4Vrkiu9cnYq0y9Eh5KfTO5dsa1+HsTblf/SexN3dFqwGBYgkvcPFW5wj WJvIQEpGYpsoiq0l0C1P7qk3SdMX9lLIyXEVpPZo+w8FlwYfrtQItmbfPhHTMLIGhiVHYb7QBdhd YU1G8A6LPEN7Zp3rOH6JCS3kc+gG17nroMfltMtCddTvg0Gz2YeeAn0SWw4FEdb1l0hl6ZO/OQSP DJOiUYcY0m7uI7xZC2Tph2Kuf8Szj4lobeGtfS9xLuQKb7UyDSOOYT465jkqKtSm4Ztl08/DD7Y+ VXBxJComDTop43kt257VHfGRVpCGzUdIpTQLTMS+ow+2gRO/ZQ7xMsgIz0nH869xJlhBibhW5FbN JQg6AqK9ISOf3KzA1H8tPhIHFhlB5/K2mjirJSYZXU3GugjSWOg9I6ZCwmALSS3L4F/XmqSiQILC UZZTqhzy4ZrDD9IYarNQfSFSf57u/7xrHruVz5XJJ7cqIziQa6XDBiD+yVQihWWJNCw7yOXzbE+p 262EKeZI0yrwA2mepXfFm13z7z+5KtYk6WUdXPBkREqKerTayaDpDN9EebJS2bVMYv8GbT4cSy01 NJFfOaVtmIiRMI7hYmwZPXEm00mOrcb3+oqx0+YKDCN6XfLTusYqA6f93sRck4txv8EynV6XgJ6M G2zQTwBITBdnVhg/fZIXwZzX09jbXc9hjCjbheLVBALg/DhupVjg+yHF3jI5nrgb77z6GuRBW9O5 8UVFv16cCZvRV6LEMQySEIdPRGYHBaiAiiigKdIfAeRjEWvPKXdvAJ4I4kIH8rL8efPr2wDISQ9k kLfYxcfAkjNkYPi/G1T8rrNGxbnYxHa6JQ0LZt2e/dugzO8tHwUb8oxx+G0H5fnP/PyuV3QHRF8I MLHY9UfSCpmQ7FbyjwYOV8axcfLFygS5w4tK+4wRMlPWBYiREeyq2ZAZLHApKZfdbxgXLRVSUEwB lUvb+tT3id+PkAOOiqffnO7t6Q3ekl5UFr+jxLUw3ksTcNj3K68gx/Z0qNZphokYlLVN4Blx4Y/y FUqlK0M0HonG/BP+KN/kJtN87kZKHxpRGUTb8SgT9j26iF3ERzUniNQAnIzLHBX6svEevYaISAcc yINMahugnLvmoiAJ+qbn5fFoqgj+MQ6ATnpTdft0eKFaVN3kFwhNgnCK2AB/+LkohS9j+Iv1Y603 h+orgHro/EmmPMvn3Q9Tp9bZ68L6bUPsWvd8o4XmqMEtMldQN3oc3i3QSRfnZxLGLA5DzyhKOuvR d+uivGMOTR6SmFKDHPMBBpQ6DDFgsNv3h9xFDm9kQPhwUt6Koz5KZtBJkaVJHz2JbhiNV137ycmo Dp3cGLshfHJXfLf26BZoE4LJCf+qSY00I8xbsGPyxhuGOk01sNDtZCKTU3+Rx9HVDah6WYZYV5cG ThPfgQcSdUGku/1aNNtyhw0XUNsn4XR85pNXJwLIHMRQ/a62wxiC/T9wG8OIeHwF6xXmhlHFgixK kS20vbj4pes1x6RsmfSpmh1Lmm9OMW4k+X6+6iqWrUTDHNvfJ4sMGQaKKT8UUIJCLHoH+T+bYV/e fWePG70pdyhwgWlf3f+Z+bwaYuxJUJAvXgQcmMZhxKrQXD2XMJ+Wp9bJe0FMCApzFw6quW2TZbiY muE29ZjPgytpO3VlgZvCrJd9TFqxC3eK+6h/JxtGzFizhcVnU9ip7PUgCMEyC2JZinmHdV7Napts kkm4tbu8mERSOUMfYeJIGD15cZ/pafCnFu5TBZbqNxevYqpoaFaUmIyAu6wi4U39mVK9bkzmmVLc SKGH848B9BHktv4ejYOYN07w87ZopA4emafN3g9Sh5bZ84UI5nzqyH4xXd5PN6mQ/etLyhZXGtev qAfkvq794Z6aLv89p0VM+4sfywNFOTNqeQjh6Zi9ZaXN7K2+hD+fZiS5zDO3r6Yqw3R0tZILIWtL mdvgdC+YhfGnb766yX+emUWWNSnQpBw5DfrNnUUMUHtA1aHu1gIEM0WwAJe0gYedfEXmggZB7Fka vITgsN+GG69Ip2bORg5f+r7A+oR9kyUkCxHQDUK1KErgqhpfs38YG/bJAoGA43h67V/toF6yTtul NGXw0wZ4ZmMbt1YP/ShjLP2fxEca1TkAkKZU+O2A/Mxq1C84KL5JEWq4lnYycN2g/sVevRYUMpQb aGTPIMPHZRURPyzRPVJH3WA/49j1RoEFSlh+F2/hyzw1WmowwD2nhUu7WyhTpKlBKbHO53EZcHP1 KJxhUG19NMbomMGDHcpQ1Jp7WH94eENSQMu0E6Whg5dG1VaBsp0JSzQ4cVunmNhzEF/rSIeBnSyg UcefQySE2M+bgYzmZa5m+XwA0/awbNO2Sd5akUv8S8LnFkR6YO7kLODslikEey92977KHcjGWoxa nRB8w26e6e6r8rZmqLH0JianbXSn9b3AAE6z5JObdbOOviCjq3agcfFzDRdJuITy/y/YnXUAQDLg M9VlHSeEQ49r1fealBnMOExSRzZnU4GINVCTmBWSTVUkeYBE5si+2nzEtbaA/QsHOdvZzPw6S6eJ udPdQaZlMLWIZRIFMe5R0bI0km0Fjm/LqjrRgz3kMDa0sQ1AozQeWwi17dkSClZoX7lW+W865qfw 95L3fJF/3TmT9LF0oD5ZI8Jwhreh4QOQ9xiDtcmel2uekoesc4CpSJw3+r1CpcRMxtOPV2a6VPsu Zi5qD7tqEmR7z57dVxyVOUmt3GeSGAg0t6aiJEkxMus76drAG3Od09LNjBSk+5rSoMLrrkhAc+AQ 89nPxfr7zDC9BKKORlO6EL/dlzr1bXvw2F2U1JC+Y2ZRtqKTyNc0qxNOgkNIX3STSiZ1uPd9yL9R 2wpe1KNwNTaSYbYLqYRoTgAzB0Oth31703gxEP0UWOA1b43axb8jcVgckVoBf0kYGEYlghnbHDSo MsfmOyM+LFuNXCMTxI735QbVGQjnpERHPPCsselrR76eO7p2ucrfoK6FMvZ4UZbZRNj6LWhaw+6Z CznOMgnwBGeoYrFoC5kRxxaeMqF9iIwYzxgg8hVcdcrM1Z422VxwOAyJVl72keFqvJ9+Yozs6gcx XcXw+7NrlDmLMv6aS8lV8nZF/KTtDDNwO9LzWh2DvT/tR9ayh5gz9E9a6H8EQeplSEdwk4xAoAbl n1csVVF7Ix3gWMNzetTxH58ioOC/YX0BT/PjMXV1e4CHlp5CqKyPuH5xgKNvHcieBx+EPRSqN9kc 3RooW0H/8ZRPn4O+e9Duf/dkV6waGOrL/FQOiu6sMqKgn1kAWvaS5zCYGcvs0hgb17Wpp5peMMkJ +5CoziTRnzy24ClSLRSp5DzJfhUS9CXNfetPRRuMCZbTahjerQ+TTZ2McbBbhxPna21txX27avWe bglchATa6yvQvqF23hmW4BiQ6MozdDKq8iEvAAiE2u2z91vVf5/HuMACET3UXu3AFpRfg/3uR0yD X5HyhOpiFIAXKRgcYB9kTYmiM3vGL/DJ/lVMhppkywG5tpwSPEHiolh+ExmrLZMGUPN2tSQcRlb0 xvatILw/8LQ+A3PDUh8XPzexL5rk5/gY9jcgwsEffFbGUQ+wITLZEjL72yfRW2aofoeQ60apGxcw ulLyoghPl7ltveER3vnNFgfXhvinraLvdSHhau/KJitABMQnd7jp9Qw266Ez24XIg2qJTa79UnB0 Rogyl/GGSHbU71IuuKFg12N57rjxWHTZ9r5EI4eUHqn43mpmvmEKpkOnAhFMholqQ+5diHL0CCmd c1qUondYLXtjyArhi0L6e/50J9M9GtyGdwXi88FZ8GDnTTrMO2/XayYbPSasnqErlYoqVF8yNt3M w8vIKX4PVjW44Y08RApWUYZoxM14fwCF/kuwIyW99N1DZkl74prof1cMuohYPSRC3jJ8xb2lQ2/e nzDoTaNVoDDDbIKv+JmjfQEnH2nq8s1GrfI6WZdqHMrmryhiStlenmBWEkq/DZevX3vP85RA07Nj 4SfCh5fV+lotUZKkjDMWls5j1o6qryUOMbCgSvNWjFrT07UbtxAd6d/YF+j6CAuuwBJgMmAQktal rKcQV+q4LwSmjn5mSdET5hzMlMC3R1zxe/MHUI5NEWJaBDUCUkKpgcIdI+kmCd85h63CT7iG4ht1 pK9juvFiKzFZUNPXu4M9XnioVBZNC/HsEBPE01a6USDxZGa4T4gsj8ZILxTC28zCFcrOYsAzdAcm FjnMbb2NJizrTYYGjqMwKaD5GV4xRb8ZR4s+NmXxuZmCJUE+PLucwVHmxIWcWNMk6XJSqsl6UfXZ CJM0B8RgCQOtmqE8NtPA0an1TNGgBdGWruX8lHcpwFYyB9FsO5R+0ZBXLoT76uG/f9ZLQTkuurz+ zppOwZqlvHtCOBshk2G0FCu9ts+YtI+wssBkNK815uoWJphHT6+WIUkr2wzBEfOR8KleeK2Fsviq MgRdoknsnDn5kaVNc8C2+ghclxorDV2kD99rAsw+GpWgcV3S9Qk1lk019YGf+OiIDmXbVFzmtHbT uQZSs5Y9i3Px5UkP2kLPpkIGYfG0kB1ViqOpZd2s7IMbGDITyB38/NMeGr1KJ3MFCGdN82Sgrocl au40E8d4RzvyjJ+c4B5nDeNvMH18dHtSDUYDSrO8bmO9S8zrKouMS0GFrNKq2bhuATc0I1uvptrY E7qF+oX7Q6fO+QqkSizaa2XX0K5zMC6w7epsmdRjsZOdv/WTTlFqha9WJttPqEqttsTUgSRMeE2b Y4z0F6yPJck3HjZGtLob9YGYK5sjAVFtYyOngyqlXIxJv/odd9cc28xF65wqQS9CQzZxVrWxI+Du bqmFoYTy0cIPKDvw0KgSE2lMFAG2IlWAwMB936kYr8NjuSlwmm91Cds2smEVPPzeqT2zPA/c2N2N Yn6zk7jdy0SFp3g/KV1B+/Qo3MU1xpZaal1sqrfpvtXl1n/BYg9cpIDCldICCZs/Wua3z0NwF4+S WP7mbz2cWuvfXKCbQAPRWraKEucTzf+8Qq4BiwqFC3QvxwTCvIo3Yu/AoppcYZnrp8MaAZRyaiQB 0M/LnH2lLmqUYcStBdku4/aeZuXeZlYgaEJYc0QRYvsMMAgne7NKPXtowFKz9zfPVhDxwWlg0Tx/ mcoVvTYdhdVS29Taw/U6hV2cee655MWi9UtjnbiUADrD3j4NUcesUJ7b5VKmk4HXFOAP67Pf/lKe QBQ9ibcCtg7ClRJerIa8gjpb1oi+NIw/3rZrQF9SZWHFWwzTZWPYY17086NQqSdgp+dwrsHv0EU1 VhMh/phleFPDd66LTwknclbo13cvaTPnB1wzi8T/oAVv0dA7Npdxu+NRo6sDg2XRLCfi9SGxtBBa zmiH15n3ApfAdYbr8iqIBQUfSHgXtwNXVwctmw++BsSBb5GTs3CGgcwg8nqGg0PMQD2qWM/A6NcT SX6mupNDxVVGHZOaTgidhXLUyEC8BlMmPzDm7j8+6+wbac3EJinl7hWK5wOj/QharvY7/ll6KHon 5u0uAs2gPTPxjX1Sbwe8m59aHEONmOMkPEJJ/a2sdSA9CBdfnNPXtmzxK7OBzefLvpzmPEnwfGOW cm0NIj1zC9ObpM++NY75+KtY+j7i21TaqgzeldbvrYXva9hgGe+l/BTCQ1otOoflYAUAfs6ijqWk 2MrjtPXIDFo8gmd/nJbT3vsxnjwzW5B7Ux5iZTIy1eMTw+BYIjucAcglG/ErCRNP6U4oX4+Qw1Kg OirAbjR0dlj0VHIwvcnHZhsSiz6Qc9cxqI+bLcHwacMJIVwdFTY85MWW4DCkhQ5QpR14yUx9vevH uC3F381Ay6wb4Y+nV2ECih6/IGLjmqm7ScBRfTcEdFGIoltwM2Gb9T8KpAJap24eTQbCJEwdYDnG QCtkdfPpf/uhSQ/5vJdg/IYxxH0JFvUU/FJxRJ8c6ZmDgG0Kkb+PILY9i0gWoa2GZGy2CqLM6GSi T5fetKnKG28a2P5kIdpZWwKUsyScA9amMWEfOaf/rA+K3TUm7DJ/5JF/9ZvJPsh99OXRVvHR0PmT 1kusdWgHQHBBIj0JyrY0OkK+gz0MmIvGFrneIoIbaaExp1RCLphvSzXuPQjVI+MeTy9hauMy00Q7 jkW0I+jpjZYZty1YsHpz1se6v5MDgnXcwhXSdfpk8TM6X2HyK3pirpWoLwmTXoGpD+bUk58rxg0X Sj3qYaV6AUWE6cY0qwpGnV37yHxl68z1hcqbdNgQzAIdX5nH/YrZQMrrqS4mYNx3W7mdnJFt5F5e DdWGjKraNJi1uNKa3s7u1dPcBYSy0Gl3SO33nq3ooEaifznEkyPsAw0Tr8ktSPk1Fm79mT1h3A9A EAZW54oE7tBi0RzVbRjBY9g8ZQhVgyyfNXx1+aaZ+arzdI0++53balh5IQJLHl2igzWGJuUpGOYU PtOU+0CQqn8cNozk4L1wH7bC08FEP5IJ0qv2hgK+8JZHLujYmWgtieBfajBEw/lqdvLKmKTkfo/c zTz0AkD0yCOrY8GezoHkvH3ZBs0e3GTKZ4Q9+v0raF06ZD5ukBmj5RwsGLvlyjZEj4fuYeZyspXz +8HdjXbE+St77jv8YXm3m9STuJ1RacQ4m8hy1PZxXGP1PzN0Kt7mog9c8fGb9MxeCOk/EeBUGNNG 6C/5WA11AhejEVn3/cl1X8eTcWVrGccUGbGFRmFoBZWfL26B0kCvjvthgULnZ/jvPg946lDpv7AL ORmFc92s5qeTLrSX22NjmnMFkp0J5XQ6JNTeBxH9I9KJhT+oD1N6cSdGzRdJgqFKou3xRMTh9MLY YuwC4XgyxE4GbntWqxV0UVmDBYUmNEWo2o4fTZq0+fvIv2RvTzJjhSNXR9tjzMCAWVPr7V4vt2eP oB1yPY9GSW/LJOjb/y03ZORGjh2D3UhgGXo/KzdngLfw3eZ48iQXcJJDDmOA/xQwnJ7n0d0DpciZ Hh/CQRVSPIVSu6DRlNNR3aG6txGxV2XEQ/4TGRY4KsMXLGcxOgEvH0AHAbusL8IJBJ36SvJBGuVZ fEATHfTn4WM0fgLQbxw7+9WAmR9+KW8WFDCD2D7Ryk8w6NK7jzzemUclPZkLD0Ke7/wG3lSaKzXS 9rlpXqWhjbcKMWDRAIJzmC7bF/lvegX/5sLU9qn+NBfquSZlRV/MEtg7U1CwB/9R23W4N+GFOli3 fnz+MdVJj5NkXUn6J3LfINcIH9UxudMz8/aubJN3758i1U1WdX9XnaWI+2UYu/mM7IjVlao8+x35 f2VH9MNQAppQaD3pl+H+ot98qKEFFgLacWKbpGjFuD461gSDQjtBehrMRvFmYksAwce/R3aVZHTc WNk9Z0hQYtTxfHoY0WPuT8s07eCNdS0gPg8I4SW5VYjzIuxN+NnHMM0JYxnjJLrzJ34vPj3SRg0M GTBQQwajPgY3fzeXXr9TDXNEu5Pel9SqJViNiI8Wm/iWx2+s6MaSYb6vn89IIpcLgUWcaVde24b7 Q9n0rJ7bdxrSidyKDtmr0vVVslxTayC4AB8VJQ1ZhuzXTqUizWOyvJP8F44qdv8fXylMCmYla0JJ L8m5b131mVItBXpDObrs/1TDGIpyHsynvNhpsViViArylxRFLw7C2uBbXBLVyLeJwqvvpSdqjDaX AbEAJ2cb4Jbce23YMJpt/e1C0z5YiEIij5JLCnWh4bHG2wms9rS6rMZLygx96eT6iHgkt2htmkTl 4d/S+08WpOy+hEaawPlO/XleAx07fIqkIqy/1KdMBQSyRAcnahOou07wZaK5+YR9oK8c/j/G/Dpa bog6C3rP5iJBPkY3wUzqZOHdp21CjDESpVJsV7RrNPqNjqr4GHkdB1Fy+y+64o6MarTumaHQJ319 elVCELDve8NBWW8AAtf5kDC5sXDPS2dYIaR52zbflVrcfmjudBdpNkbvLfmwmhZH95JYSfWO9Ic3 KBtFuq4biNaoDVVg79buXZRS5KATTjutEqIfNCsMQtCZhfM+RNQGYrHd+RN664DXVnE4BglNhSVP vnUpBtqR01GNfyi8vxdAaiPayJZ+3MHY4F3SuDfV5wyuctpkUsCcdVzGzOsX3RlxOM+Yy3HQPity Io1pIQuVPHlgHfWlHJREy/IeGwpQgh5cdY9zIkXOUiY30tggzgK635Tzg7VI57kKZNrP3HiUucY7 0p1vTpR5djohWeonDPC02PtKFU2lYHld3wBR+00t0ZRJ4/NRUwz9TliXefX7Rak6OxC+Xg8A2T+v Z68RRT4EelokI3hJeivWzKVqKOTLReQUQ7gI3hn32QmgVr6tWgIOD7P176wmLazkl+9u6w+5DSWy NNZIXjQBaHDBs37ZcHA+Uw9QAV1VkaXMAyn1T9O4MdrnjUuooj9f3WOum2ZWRkEooRFU4MExlsVV UbRnAr329DjRSIcvHERi4EXIojZoCJ+gxz31h10sL4ETfo+Lfm3DWwkEfgrq6HYnSyfRWaTSG4P8 kKrLLctCJGHJ2e2Vcyo7w6C3l+qZ56UAB+Dl2VEUNrCC0rBB4e8TweLCWR5EoTkQIzrYEa0EZC2d JKKeWj3icksZfxx9cppO9Ec82FDwochYO/p9Lcatukj8yJ8+mqOSG1LOt9/8HAaTycaA08eQZY4j bY3kHxqsnPJIhsQXSlKtB/7gyxnT278qSe8lIk+lokPfkBseQPeJosrkK0xMC0C82onblST+5u+O rcZQcYnGFfVwHTtJfyrgIUsb4oS8SPs1xkXwbJXIjg1gAIPpY9CV9epMxRNhVmW/dmKX8Dqxeoj7 06cxW33Yz7N8pHjiPwnPFoUoveJkNcajOHj6O1d5LICQhkbUsnUgWscEPrbymHysX4QqZSrweU2X V/wUkx+QLemQc6VEdgAOjdoRNr2w4LOh9ZpfBpF11Vi+/bAdscYRkQ663QiudIgl2hogU99EUC/3 ECXNWZl0htfVA3uP+q/GfRFAx2BWTP44mM43/p9esGgD55NM90cfnx4e6qksMwBdTjEv+NaWtBHk Cp6Y0BS3f/1jhRbZSpuQYzSsiMz4ncx4Dv9AJWMF3yJKTbDrmgVGkZHxajEA6DiLHGfPSitTcBjI Sa0PJxnyJgVHjVHfap7HGmG1e+FuZFHI+eNVwCDPdcH2fBDKpysiNUL/20SMhduwpSRs5v0EqyUn c/OX3hxiC6F3vJT86Bqh6K4Lshy0QUBdAbYWEw0Jixs0dYdXXZQYP2UBaOrkX8Q6EEo0XHcqN8iK IknEJR7zpW01y7Vx7E2/RSlmohXcxoXwWeyKHF2qT9G8FbyIDLwG9xG291AgsPIdmTHP3Hs2UJR9 tFgKUtt0KUqDSoYdHEjKIKHNDwUgszf/4MoJRyCyy1hAOQLBBQsf23EmtTcoZi9iwTTVZHJfi7AD n/NAUU9FjZkh42WULIq5XoxJiVT3DRhV9JyWNpinzcv6Zlg/0SYPQRzXPT/7HmGfeAKdB1FYIwfa 6We175o17qb4SaVY3aFPQ1RRTQ8PieUBZUgZq0y2MOy7QRSrrNDms9kyk1dfT5Fux6UujDo/Ryuj 7Xfp6/3tkmcGddoaKvvotmwlYK4U540JSmfjhGCJlyXK9iRJ9xawS+qOE9lN7jH9N6ygXGb07m+c xhHneZ3XvFj6NqChTIb9mSDvkZ0Vu1YBjus/PuRTVDbL8O2hJCwafe3fFGXTONV710rYl4QvDU4F xHRCuhBmXHovRkCBaS5vGkZjWUE7CRrpwhfYpQzDNSK6KJnzlT5J3Vwe7/jOJHp4x62g7uIP6Z/W aRAPZKdiH8P24uTUFLoM1my/KqhnPrNeKJL0BFGeW34G3USwHg49ba3eK0C1lwCzqGRHDDMKF5wt 9+b0wUm/TKkx9dtkJjGeGiWPILfBnND1lXVnPfeIRiWfvJcNmLxsMj61+Q2QoAgEmdKClBpDam0f 21fiDmZNjr3l2HfNnZoZq57RyUHyyoD4T0PaQnMuuY+7Uf8QAM2LiOfkGuK4EWc8yN7kU7NZigtP DtC9i+az2eA63c+49rh+W1jcV3gaUNPRvN5pV8pkqySiHAK2iscEr1b+BvqGuB2Ly6HkylNHxroT 4V2ctOt8jX+NiMfEJXtneqzOGYyFmZUfZzXhtJccpozCA/0mZNcGcJLmwI+C1JT8LNjaEYRJvMWr piiuAIRQcJDHMzjRtXo/ODgmjXzirfzjcogoM47zoJZdy3pZCeAbD7xn9r+cKfJybstDJqVnj8pM JJWeFYuMzgdVEsanPyTDk1CrF3RPSDCRK2x61rPmoSodja1QNc2EbKRxD9261UrmXQqN7fjG1gJq DfuHnMzCKYyBS5+e7Wp7/1DVpKPnliY8VTSEYkVMadvbYAkfw74ewo0teihi9Z3TFKAZv6Sj5YeU OaGniGWz1fWWDH0QPup2mlzglm6U2OriomnSQxlOEWHaELwRvUVW8HzRwOc8i1FREenKrv105CN+ KLyOI5t86r+RBeIQ/1agVrydHMi7ykbmZyijumoKb+zW316uDB0JPi/xPgOZgE5/YVjrMrweVzNh Ve4H6ofCvhsaSziAFsJG0osXM6nWgf4AJFrP5IAydDLATxoxr1QopDKZu9aQo+EduI4D7s/ZpySs DEmzXYhz0kkL9zkhITE1XcgLydS8RnealySyxCVw7P69diBS3HV1ewEn6i85rSQgkVbug884TGjg Zz/oX5IBJQ16V6dwWrw52+CmJntN2C6mEaEeQg+rp+hejDYi68l9yhHrvHzcGVVl2uhW1YcPSTIY IpCTABE4dPFqXjdtNeRZvVxwslCl+s1kRe+/faiNn/GHNg4/6YbUVgKBNFydxFPXDPzFMtb5yAlU DLbiVoLMkBkYsYbVKBdoAx7dHPucVhTtNyRdmcm/nLOueoQ7cphRmN7gOgOtr6WolLzvSVv7Tuzw o2HzIr3EK4i/M/S6cS0KbmMvQZnMWtRxczYBSJTOLC8dk/0bgqncaLeubdPol24srG3jr+mRKq2m Hlf3bg6Mj5xAHavRloTVrkkGNLsIEwSWRt5oE++7siOX7NjKGDjUPFaBbvLVvhElBKbhhCzZ4mnW qDj/8AgSGurAesXFqBln8oZ8BOwsBBO0+UCiFRvQubop57N7LC+E5Y/ffQxBweXMhHmvo7z2d4zR cUL8QQMnmqihQrg5PcSrJ6/zK+ZbLJ9CoBIXMzwHA1SofE4cr/0l0RlE6z5EJN3lQtB4ew6e4OwR M3h5/lTSvZx7l8hJeCYhCUIOsDG8NE9DwhUwk5GlkcYhnCIjElcqL3H46v4gXDp0uAsL8XfuDlXZ HvbuUE3y6zBU34UtEvR6FR/C+UZiWroCXHbwdt+bwgSF3HhMMvzL76FV7vjwdN1tjY+k/j+EHC84 YTl/VTK8ngK5Q2QJJKcJDm1PsAyg31VCiUJST3O3R8rmRFGanHoiFAdbTViDXMXDIdZPzXuTOkAo GiCtKyqZxIwdcjCn2iy2H8/vBwvmKAYMhthnPDXM0A7TPNTzlFT/KIxgfKSQz2EdOJxFvbA2Ezpy D9C1VCzT6zO0Ey62fL8CqhpFwJNLT1jlhdxFnacE/8O8FzRho/RlAMDc+XDYZLWyTUTI4DmtJAUe 7857Y/Y0eFhBLBNGIBgXSWPJNygqkan8VF0FrIoiTruG+hRXlKiEAC3trJRlBfoJC5x1I1EmJT3l aw+J/KrlUQIPa7R3jLzX3cfOXxlMj+DUTQCEy6LYkSCnb+06ta2G5gjiglMugiZMhAC6dCXyCdSM ZwgZ/V9L5ihGJWneLe3y9cbtVG5KLsSzLaIJmv7sr9GeQsLag69Lui6uXgNc5apBMjO12F8onOQ/ QWLg08VxKQwxaxjn0yWG+50cc9zCVnyhB33gGFCIyVd2DPKyMyqOW7o6bqH51P1bt6X/B5sBpgzs 6lv0bIbh/2TC4GmI0sObQG7QRfUANzpJOgVONUameZc0s9zT29BjoKKNab4+J3i6SeFejKQ3Dz6J uNQMjoJ6BFWZxGOdmOHhiK6rleB6SR9/pIRfx8Rb5Q1RyDQGGLjHeYR3gaoBpR3lQJtxfeAfnbOw 9Ytvszm0U2H/3sZPojNCFc8KGAc+FrGmT/oYXoc9eoYDJGg2qgVd+vgxTaFli9DUC6fVa3ce8Gir rGQjTail4UvLGly2MS3Qu9+WfvTW4W0OkmB2T/gdnTuzOJCOHHze2cUDk/gxojX5fnQXrdyB6Mye Im5DXTI5YHwIn/qN71LrxO2/nY/TkM0RJP5/GnMAIN+RwGxBt94d1Ld5WifCrghhGh40AuWG0QWm rTs5Znn+f6EA8rSkVtzi09rEr6w0qXRt2+m0U0D2G9eDdqV7LtZRtJeU+aPI5dqtXzwDaut56gB2 GfgJJsGr06XOabhBuIsvheQa3UaljGizEV9//JDOWuVCSNPDRx79MWFJpPkAUbOyR6bL0t2kx1Q2 frtV6CdlV/0bAr77CQXrXG2PoTmN5NKDkNvH3CnDJCZa+U96z7eOPsy2mbkQwKDzqWOsSa8BhZR6 PEfhRoGbgxGfXw0z0iGnZTqXj8eWqXjMjfnyPG5Eqxkiry6sD3Od+se0TQ35y6sjoT2c7wnuIyLn VC+nWGRYUQRr104m/l5TDYUnO6WqC+aJhkcMy0WLDNTizM522VIAfxc5DfiVf1V7B5lksmhSK7dK F8ayrBir+MbC2leDbU1VqMyH0tU3CDsstxGkeyYSY9REXi4XdhkNVavybaaoqagPK7mCUgxwLaUe zeDI4HZpDq8itoyjqtOCJipso9lYUjRc5JCcwSkbzztQX1otvduwXsNSrAXTFys1gTU1Q20Db9qM APgVFFWEj5hkwTvI4OqDHTWvH5bB50aq/URIMowBjEYVKwG3y4w/ZXRWC/00Egc2tQCxdNEdJ3OM pxaQ1At3IEDQC9/PtsUvi172oP0pDHk+EtsCrrIt2HvSv0v/07gYX/brjViO2x4I4QBL+N8WkhxS ZAMkVxYqQ576dJJXBJ1N06FHrHBtPzweyzgoARgdfdbuNItTf460ylJt/w0cTgb1x4Gg96HNtFoV DzRh2bpVSWdOvAsUquEnrSjLDVGbMiBSnSTHugcGL8MV+0NwYTVvxajHqGC0LIWXyymvx0/ZpuNQ ip62IMda6XE01P+xBO0P6dOEHmebTVN/fepG2IjMLItaqEzwQk0ILuWyy0sW1KD9GGyFneVOa9r3 HnT83r8ig1spLYW321hJeq49/JMjQgaX0ow3UL3b5Ih7PEt8ACXIN2LSPPQUEflzx9FuqM4bJ9Kg aCNh21HQ/6KrjodtmHpoEfeNTT/z+xO/ngfXJk3IHb5envPJWNvCeL9VCTX6ovarKArZjftPW9m/ H96u02XLynmw8fH/j08VVct/7y26iHYR8yu+1NYVwCAQxm17Nr4xSW+HlIDbT74o6CXWFCO8TFVE 2T18PWdAHPk8k47auY0aWxleCaHlqP13FAfrxbjiy3wTccQY6vHFNiboHU/2rtwA26jgtpwz3+Mb +kHkdvswuRy6tn29Yi+e3xCDqifhwvSYf8fY0EdUfCSoLxjE5a5jwVI56V3TkX9Z0j3TLTSGhwqQ FS4/cipAQF6GZ+mCBJMIkehrCAt68cJI2InE/W7+yIqMLuLBycXc3KMaF+8oP+o4AjOTuj162OEM 46m/acejUfF/J4mq5QXD2L/IY80wD74WbXVllXLSBiaB1qJgDDhzlUkn9aq3ADBX1R8oarIJcGSI ph/OeZtXrySh+D/TjO+u5Y3R2owxyX8csdwgtfk00+AVUrdyzhEsdqDCxEHJRFCS6CljjtwfbszG fwCAnnYHYJoI+0lQljwKJQZbQTDJJGErmLtReMLTSieD3CZk4SSJiAoFeHil0tPy2vxqLD3lFwql Vq2ZibHG2MPTg33e8LgAqd2RJTYJQzuziJY/rpklp3Q8FbkUDlCdQWWXaLn3rk1R1u6vYYh6sDtX fv3+NMrmhgtTH6PvTGPvT6J58r2b8Hemp4U+L32xT8/s00TVQ6183fOjZvR444G8b3cbS42DjEkX 8nvBGNIBL8kxL9tZy2uwr79jXF7uO/AEbGf34eHwcW065nvy4Z325OlKQgtsJcpV0X/qC2ZXq4Av tFnD4ftQ1XZihqd1JQLNv0jQqQVqrzfi4RfRt2PfPQ1ATEf1jqNq4IykBWUb87yY8FdyZVJYE0Bl CAA3AfcFaP5Iedatq2Xl5acqNDcU4SoejXC+SFazq7mE79rbi5b5+MKZs3bthzRd/tColbXJcv67 RSjyE+jXkBLxkrtmu/TC1NaYMdrX6FpS2PMJ3s2eErP2vMJRnvO03bt79vTspN4l/6mCIPV/5xBt Y1WonJ4EzGPSA3KHWALbnTcpgQn1fXg1tY+l2OH4mNNetO/fBZatIvGB1/7QcLHyK5cstgxPtp2I YiuI/hS6eZxodKSTAWRcLCXmqTxh5e3Oowht7ZwI6aPGlw+NnUtI8Q3fvzstUkNxXpEwp3tJMjNG ZarkOPoD3MGmd8rSMvPHzwN2wKwHLaV4AZJmLbOBPz2Xl1DFiYTbehBR2XP9YR+479QojkSrAIjj b8NfYlNMzFeyOCxvFgMCiDfgR3QJu6F4jZ8W8fqCinlbC3hH37vudO8VnS5X+wvKCplQd0Ajz1dk bHazLgQmBbxhvcd4ao1GPWT7jPAa3Mgc/njJtMFkmHDObvYFMSukYEKiiP4N2gPOPT879dfKBU3Q rV/EeMSmVlBJ9QvF3OJerE2S3HnI4bU+pBIoi99Sg3ogysq5TXaKqWVpf7kizVdx9Fues0rukTDD wEJ+swYxaMaybS3Gu9mzbgFF20tHO7qcpVMja8BJ00BncDJBK3TeTdO9NhudI3D6AK8CfEjrY7iQ j0gM83kxtMxx3owkhFvmVtlAVMJ/v5udqBbAj6dipCfn62i9SfJQFKreaSOFr+QUseyNRHR8Ebvf zwrupk9tNIeVEjb6sGw8NgbvJreqzle5CA8vhHF8FMiQeGqMBQCMvqkEqDOdmDDsK5oPuJuNSfMa y3fVA+qIpZ5Vxvz7BtKnTzxmg+mAlqjLcj4qijjcNjrrgecEvGatL6xeY55BUBKIi0VMK6TLXrxT QQwX8HPBlGxU4k1dbcsrx/F9HTVtsV9M3qAZvjBXC1bm42Z9EHHSiu2hO1XI2MWCRZIOiqpdVIbt n76G30xBGamvJuq9/3dhyA8yan1CD2UEZ1eBU99W1BI89hsK2tWrTDbOBrdPA8RPzeG3xqyIYHMi WvPb4W9+voiH5o87baHeoLdPnNz/Ww7crMTpFEYwNOw1y7jzazZf+5QiB8jCMFZlFeSJ/dU9QQXE 88G4H/96vUD65iOKg3yN4NE+2rNq8XQ746BRmMTFa34S9xqofoziW9u47enHzCBgFmN51IfjqiY7 IsCzCE407EONJXSGSain52UsgRGcvG/+7F9WPoDQJBMb81bZ0QWGCMmOAy9LIhXCHPbZ97j1ooJ6 mM6r+bOkfqnCjWqFbZNNNL+u+GgIdJZfr+kAwpJUNh9g1AX1+/lvU2HgsMD2Ag31lIbgFvXKFEfo mMZRAHHddg7xsfu5JdOjvCLYp43RfcdcpTB5jKySq5IaAsdnJGY3UpPNTHTE586rgWhkjPmIA6wl VVQDq9yl8NbwBVqnnKPTfvFbg7nOCasDkfVnFbJncI6Rjb38bFEna0ANF1Xf6MQcgR5ZVhgm/q/J LlclHq1xGKw28KY1kRFNgdDaCCWAkKDNpK+JO0qxysC873TFdmK4nIN3O7DeYHXn8Foy8mqPjZGa VMrMV/mTS99wLlm5uyeZEDzELvjX/y8vWdXMuZ2Cti+peA+juwwCXlTnNheWLsuguw1BYOFJUvTq QJWMsr92uFZjBsR05fQhZDDLPoGRSq1N5JCIzbykiD0GoOs0EWjP2Hh92EOFgIE6q7KHkvO4ivGf 3koHg7LFb7hFnAp24ZZFKq/00hod7n9K/bUfs4Oq3BNLlwb5geuMytwpgxxYQ5LbiNm6bdibehUl Yv+IIDh0WU7LuNQJ4OY/ZxrMLwZ46qi3tEJFgkCeuTqsQPjrEjWpstIcZq9hL5S5tSPEkCdRI72B vWqxknYvcCwATUYoNd0/TncUlD/8J/zIo6LN1n05P22OD1bWmBmxWVUDWWyAIY+ZHyITCjL6GN1P uIvhDYShnVD8oVr2YMyJ2urqbVjaBliyoi4Tv200OHt5lGi+/7mh6mCort+CfCJkCbhFRFsZyvMG 4Tlfsh3oXFI6NctNYa9cY7BSzoYvEER9gQdisFYhCHMYsQ76Wqd/xqexSFTzgtxZaW3ToS407no2 AsG69jMXWnNjCmsZq/usxoTZx4i4hjnn/kl+UhziigaYbZDtfIBkuU8o0p1mTIC+N+s0xXPdNV9t bFM//ItsaT/JgCzL1EeiC3zwez7OgxvBw0H2uu812kZG4ZS/UwsuPItcnb/nfjZQK2eQaYLkU1vk 5ctyEDYk/u3wSOuUj+BrJH1+5uavJ/7VPONwW5SRTUdcFyIS6n6ir1UT3AUcnWvbTvCudZdXgdy0 lDESqEiS9ZOyxGVWb89zQjWXO6ELxobzJCt7wYMAjJwWAPlL0sEvZEF07QYQnE6Nsuk+17junPCJ SiMrjPVdi/K05INZ7ET4vEhAfoP4r3TGQ6TgAMhdc9CTb1dQBlDfEPYksXVpn1il29Q3YoohkaDH G3IL1/xAq0E4WDDKyuzxO8qsQguNmOl5OBfqp7paFYXMvywtI2BWwRUDTHy4Tcia8kIqC5zMbnPb CUQeok5MSatr609VpvLwBvWf+dTECRY0nZ95b2BS75EiLXDhgsVUG5i0okUv47OfuWGo90+NQIeO oSQo2vnuyCRVrNpNF3DsgkJZ8rVFISi8GxwRNBAWcjNMnTPlvTaIoarZLApoXgNRct225vOCCpkC y7qWjd5bqqChZk+Ln3HDFFvPtjgfu2cFPINUJN0KHPfqaIRVYltOSAoZUg39AJOmuPnzTDTAMtUc ly4WjrAVpLqkzqdHXXlIq15GJCKoQhUxEyjoUQij0ohT7/lUtbyYBbm2r+F7xSUG0OrkZwIFZsXg ZbGbbb6mTx4mULq9VmXbp+VidjCkWB6veWipEqXrM8EcUwk9OF6Cvb8CJFRCI5CdIc3kvplYiQ3T D2n39dRAFzpTQP/IO453Eht/cF0uR6ISJBpUQOmcPeYvkCqtbf5DYt9IkipouEoixSi1iUcJmM1k QrT+YGxQd2cV13fzCWhj9K/oWlQa8KgkMkuJZ1hC8keA6YvmzZBEhSMqhXYIK0ahS5FMU5+mrYPz Rbyxxz/oIAIm6IFUNJKIdccN7YelPEDc5Irmwu0AB3MrGGzTx+OyTNUFxgr99Q2FtYWtnkoLurvd h+Mx6x348NfCC9q5kzkgqo8ttYxUZUG+iWHdYV8qS37Dl1E1K66VHy33s+j/ULa/cDgWzJ248pr+ eXRWuvwSD8cVfmG7YCIX2zpSQFHem9NVd/eQ3+OEIsSZtYI0PirHjvcMCU683EFEmwQRRhrkdRVm l9tP4OSmUwQ2jwdur65JVErSdnjMUnItSzGanfz/Olqn6G2qQFyfEu3HlNCH8aIUny9IBXUjokWk JRr3cFADBzXZ1YF1i5hnLQHLe1Uj/yvZ+PFE9I4E9b7rLXk/akLv6/xcj8yKw/cN+KY/niCXN6Ug bCfQ8lMluhOueoY9aUN6R55W8PHEbNRUcUqYpshoD9zQR6D6/3MXbGsR4B/vI5EqHuszhUvtY0kz k3WBq7bZRpj+4MdlIOouiCRYWOht5GB4BfQxSFngn0UWrpV76Gmv8bn8MCS7FAE7IzPVfcmWGyGU U4moB6Wfo8x2sOYFNrzLR3ki6uRetENZVhYM0dcm+AlaZNphrsoVX576xEA3+Rr+CACdwG8ter7E JE0JAXv44fqV4f066lXG8QuDy4R1Wt79pqq7b5FLRNUJRYhmBHjs1sSd50qfD72FjKLPsX1JeDaa U4/VX+pUgryT1nl8+DdnrrlTebpODaYxDh6x5qmuE0CMKwJv/5QeE467l2ENFbk4slZ7OilWYO4z L14bQgjTmBPDbbcuyEa0xotsMQmX3+V1KwQZjYYl6KnELTNMVJeWqJv8wZiFpiUicaqkjxU5ogIl acKLKWdcL67rgO94MsU4JuIpsQXkQfB5ivFzd5urRzPNXUcrdP58DQZp1ntQ8XtshMeNUuyj8Qem bPaiy/AEzgSQo/d2rh8NTWt9rhh1pZpH5IpkQXd15APkg3Bk26PhWeXsgDFwFX77PviEBJWYmBvv OgB9d4KyefPpYtDsRqqDgy6sOejElfXwkJGPhy0B1vgWs9k/qTpDBxEICDoXxQzaKKgNQrQ8VHyQ mJliyImTyOJq1SNNJQ/iVjTR2N84BeXNEB/ClnufF22c/i6YVg7ZtbbY3osBih6BQy6fInqT5FfX VGUp7/ra0LVV98JU4bG+Ztyl8yOC4R3fJqvCxtIYRgwE2X3rN1qeZUM3pkAtfyjeQEZATbz90Gqk V3Nhm5fGKrMqW2UlnIxboUjlI31kcQkcozZy0oaKU63RAU3n0h4urUUHT83m4XnHoSQauiUMlak4 GZ0VFgBw1Shsa/QmA3TSN9QqBxsTAHF6k6PoTrFxbZ5OpVZQ5acO2cUUgwAbNfE0iwog3QxAsUBW IWv9F4Lm+7gbUb2RyqA41HL8SWc63NckRzUIbkzKUugdXuOSWlNB4EIWnyBRFMhPO2tNhwK7vo/Y ztKGca2DSFDZ94daKtUNtL8Pxz1A565hfAt8OdYsCsgmV8Y1N26dlkPligFNZn+pxcNajxSiyHxb 4GROgzhJiAP6jkdNBCXfiYH/4CAGlyEwiTAvIwrhg+uPFVV1/3jmEhHKmQ8nXp8xzHG+lYCnW5Hc PsORYX14pBabK5ZKLrd6c2kAkInpMjeikiE29HzQgYCCtA8Zb4TQnDqMVwU/zjHjbSIUJbsLq+wb ArS8eCodgRCm8PHi6prvhc7ZJ8tOaHzPEsagZtMznhn/v52igB9aa5cj50yUkhE7hhGpfABorY4n 4u2LgHj3OjOzTuDXSxLBCCQBE2oGPwbXfzsczy7EgBzW35clgPUt4pAkLZPMy3f7wDX+xbzvKuEm 0S1doJPyTITGJEOLEv4wVh97VDuoyyMfN8PbQfat7PXk+SwbNJMEBv+YLB8wWFNLLHI2frgasUfh 7WxDsaEkeiaQHJhPxPf3wNR2FkcUnX9MmSokogyYHJ/lA5cOJc7xpHmN4ix/fCR9JgdM2pMXwXRR tvlOSjs1y6WopQBCgWMryiSqQlbYlh88OP7+aZOINkCO2tmchioJ0RFnXRq4wRUJalL6uqicEzwT 0XuNIeJ3S75qq9FfontCWZQdq2TZvK6MrXH9W5w1EGzfjqSRhUWOKFXTPby7sQy/BvnHw4gs+3y4 hCylGc7nEHUmydj9S8hksroggZ/b9Oc9RxVyNe39W48AVZY98Ktuf3doTs5IXpoYiLQVW7vPNmGm YEZz3SouzfNh7ykSQMEVb6Etew6z0l2oKRM9pYRox+RgXdeWrHZo6si+nFjjwVCg9Bjrhz0KACZO dkYn240+4ZGAaMnVrbAcn0JWlHQiqMAuL1F4SNYpIBppeuupJvAKzhprXm6iygJi1u/ZWZquuXlS Qta4Q++YfbQosxketz57AIYVGrDsuzTK3sS/9v/M+46+ntcKRtuXly7VzxcrsfW1gcOK0vzjIonJ stkOqhnh7Jv/QNmlRY/eUnflilFhHynzLmuQSviAaWFnMLdCZPhijFgl1EosrlTPuqbIHj5zgD7+ kPjNBUwswiA/ddTbpceGlur5z39I6Vc29icBsCFUZhQjIf7MhHPnfJz9XIi7VdSuaGvzQZIqBPgY HNr4xnQxrFcM/i+Cz3M6rauuhTdjTa4jKwTPSf9XYx9HlhhBJxQ+3Pmww5GHSaSGN0eJl58snLm+ c+2Dgn5LprOh1M1WsMm0CaXNSg0jqKONC0qFmrJ9LPhW4hBCc6HYuKgfnt9ZI/aH3VreLa4Ot7QQ aBs8BdlX7+B4uRKdcZ64vCuGFd6iYD2LmO8Q5LaTKJldFrcdL9c40IYQ877d4wrWUqUI3WmW6IId kjplebtJlo7f6flQAp6ifjXCKR9G9yAJBgPPeQl+lStvm9hEDCnz/WqzD6GPONji+iIdPLVDeCnh 2D9rNox10Nz0wbbYp2tyDpw/AD3+xk5ZdCA2E9CGt8mkTS/nFVFV5rIPmxcY/bfJrKBC763JtA5U GugkV1ZlPSXKys5q7ylAq0ShW7OKD/ytxgBQHke0bEDc6YIqq5yxWLhdXbIBKxHaBdEjVxKzBMm0 b0amE1AwMC4ST+iy5LNEq/o6KNRGdPZVT4zVYSFvwu8I9sSXGf/4EwyFQfN4Svu0XRCO1oGMiSx1 528T27VLuAX6FCFME58psgf+TVCd4AdtkDibHY5l1B69zcaggCA0qun2RK5sFlUzr4kYN4bvHwzr hq4YQZtDKv2/q5Ytf+3HLvfiEWjflTIpjv4Xb/EanMRladotz6mvhp12L42DxCCK+1+hrfOnNxyi 1dedvD8vhpcinMiMwjL9x6kb6z3gbnSt/FlqXlfOLoTdGESEhlx/ZyMesgtIG8Hv6LmYt1/ZLZZt Y/KIrMsbbTGFQohYOhZJR/h8rcNT+zX/jksOnUWaBI2bMmnabd1ICH+UQ62p9rry0EVhaAyzWswm soiQHiICwdES8otJrQM112OWcU+nHyGId9TRQKiXR+c55k7Irn/Gq+rb07r9SyR/f9YO5gOqnvL8 8ptEXqcQVeEuH1nyvFL5fw5sr9CMMV986JwRV4VcgVzpww4ra301Mre6qx0soN1LeYn+DkjWbP34 n4m01MOIdTpRVA7Fz9DkNKxwiZct8QumZdBI2kmMTrrujf/3cSMeTekHuJiWI3p1GjZV1uc/qtWU loCBZMyAKasSa9wIv3Vkx92m0ShFXTi1s/TUQ37UPlKmkQq5NAiLL5SxD9sACAXBksvRrtR/bflz 2MUYS/dGGxYndhIPr5f23Gq5dDjQPEF+e/yiybzcSiEgizGBxLT2zvwI4Zoh0ZaTgn0/7bX1fQW4 ZOhPWyRUavfNvd1IEdH3sZ1QFMELxfobfNtmSmOSp3QMO2KR4fGjvXzOu+dP+NnzN5RAq/b5sdtz QOubzKxU9V1n2Lrf1j12KoU2i7yFBwa9NUXKcaCColjneWPMa9iA9dVxPq5GKikvTVyOwV6S7L+g /FNqtKboxXe+ZlxivbB4pDqvsYre1sOJVsxdcqMwhNwTl3tNd+nhQ4Awf7qX0onaKUlS3+bYqrFg 2ViWKSGFY3NLXbq3IYkhe20apv3anVejGzKlLXV04UfSpkad9P4cdjmAbfMYGEBKMY45hiHQfvJm XrJJc8HuqhaRLZrj5cQNL0WN407bbtYH0G77x9Gp11pY+6K75puph0MukU3TgkT9aS1A8EwqDx34 2q9dDwJwvxtPxrFXU4BnAY8aR1hBjoVA8Y4eqgSK/AP7X13UoOmhmAp1N047acUsIlRMBGLCfWbQ J/5EGYPkD5OEV+vwSG0RkwfUju5/OEX1wwfjJXI1R5Yt+kQ1G/EY1tzFIlCZBDNdkPDOuoN52NUt t1AzoDbNnXutNYapnLuCIzqwgOVkx3E4Xs9Zf+NZBjwwjy3ekCXLsKb64AmvnUP4alaxVK/Ecofb a9VtoXg+M1hCqMFpZ2tCI6OOOGV7rzrPg2wOyUqNX/4wvWD++qSxj/NxLTlKt+nbSitiYBARm+LO FqLb4VJi89ncep8omhLSbDXbR1InwjWSV7kumia9ebWlHmbokj8q5HqohsK5GQwTa7kGmG9HC22+ lpGmlKkB0nwV9IlFveD7T5IhGY5kFX/RvkLa6HsAxFEllwfR4QMvDqDI2t/aEvxvENkMclM4NWWn JPG/z0iwvS5PEPgol4IJy6ePvESvBneSbOLpgVKnp3sJ0Zs0QLJGmRb1vAI9LDNXWe21BeltF97C atnk4qXMk5abyuPDTmzxpXtrSf/ZtENYX+JbEBoLMaGeWkwM1z06gEU5caD5x5YDaM79qso+0MFP pGP49LPwqswFh7CCMyZou1DKF+ffsWjL5c3d6aBmAAzbffCFQN1+UCwQ/M/SveL24toyM429zajm FCOobSYZQ1QtLliGRFKxPEovlTm70+gthb6BUCAfw6s8ud8wyyl9WyQJnTPVbp9EHcmBcqZFe8sV Rhgy1k7OtzJ9NLOlEcOHBDZmKnja6GqI29fQ5nuyxpvD4n4kUUN55r/9fMADszVikEVTdrB0RDkV ATg+UHRRAA3WKdkUcqZQ4xQyHUqRScFBGFe7NZmcNeHhfII2LQiFfI/cvKIeqQnoQNXPYtGv60yn WDeyAWxwe2BI25ZOC2yOm5T000rPtIA7O5nSgZdOHaAjY0vAkAeuahxsbpuorKaJcz3m0AX6IDgu FqwUVlglAwjEJs+jyiswwfS7F6ZD7c1bD9PF2l5UO9Aq52iEVHLsr/sPQL2kIanecFh3XJGTl3qB PB3v+Vx1av17s6EYxQ65jhs8OTH9KYU4k79MO/saoZpfPwx9sp5J8NuehVxSNRhc9wNPDupf1jIP +hQIrVSvwHK1ukCMIgVTQVU4pmjGAsOPmfS8i/Bd7v5ouTsbPh6Bx/nD+Q+BDpKerpXK6YRys6KB tq1/V7tXfS1vgp+qFyMcqARBdWCjSXkFkPiP327p1hB4t7FqXx+DTQ4LfHxxMedexnT+2dtEB+Qi X2Co+LdEPmkKgzbiDZzXzSAdlIZVawD83XPYUHXhaXY03HrZDm4q2dexioCJWXjv0+oKCUSS7Mkn JAmXRv44Qnp/uyztZSbyYfMAnORZSOs7NVT9BlaPTAP2SaBc2bmQ/jP9cUTRm+k218q5esLiibiB r0qV/PSWH/UO9mwRs4AxeyeoRViatw1oXf/nSEUxcJgGLQ/0YOvzZAhmdLzpgHFJ6RsrtmTqYMf8 hwkZWXcEBwKrtoVhiZFEQySv1ljTd/rX20ixBj2FkxiIEzVJpIeV2W4HShwgQsLunPQq/+V5OdNb A7jrvj9jYY+tVkN9scgiEyxBeNEobq1d42xxFaxOkYD625EDIEGRM+/IgMG8G+lklov1b1xYyOzN K9qBhq0Wn9qNLtYd9NaJ39rmuuv1nT2egb3liAQbeL3hePUpLMVyG0iCurhILvQMf/vC15ydaqiF nRVwgLPiToaCb6C8OacFpsR91suppeAXsfSIu8cENgR4Dc4So6g1p72BDnfJJar4gHEWsqUIDtok Z0KT3Z5ymDJN4tg0zylExzIh+3bX3ccB75+q6DNo9wCcqSThZTPvjHgsj27Pcai13duCZvyUeVj7 9uII4M8kVVqECrJwFjmWZWmvNDb+GTgvPhyJZx0UZq8X15+zFXADZMA7LFJwzp3HpdhKnGQk8DDu 2JGSC3hBdlqnuUeduMdorjMic2i99sUBo5Q3S06Ci7l3NN41mRGRI4t0SszXe/VzGx/IfViiAHvd a/FvhAJSg42q3zr6Aqk50cW8Qeh3Pjxlr2dMPjBFJ9nZ3ceo8324ahBhGvxU2ogttsSAj9KbZEqZ ZLTq7V5M5L0B60fcxBc23NmxxhnR4+iaMONtAJNTE2lRLUEh3jQTs5YZKfGAfULTHtyBlmsx5HNa xTG7+Nc3IsynmID19WetUJ/ogXD6cMhSnAP3HETxATGVmtm5CxKfZQcYuq9NDcpcPc7bHdME8+iy MBw99b22v36739Yv2r35BNrLL1IuDFLP9R7kqmW8OcdvoNW8f5XWyB6XhQ33l61+amx7mgfatfAL 2EBjjljkut2j4pIAk/w4+YpH7ZIdEurxreJEfu1Or2jgqklRFQyGfm4Y1vdnGRWn1IHf5Svu5xm7 CTBZv9Lx9MY1w4y8tDPLdUqF4vUDY8jmoM8MvEyhs4XPldzGea9KVj/HCPn9UpuBENgPPNzMKRQD IoBsYHJKSHsr9uva24KpgmOEy0IKTVHWWBl8e76xcfcCy72dBo4lZlfO5rYiAnhKsaMi451MrhHk EZClC/V9TZcN2eCMwsI8GibIyXepXDCrEK/k35POlbZWGOGlbGUwNXpwfhFWYdCso/cLvQdPa+q3 AhrIkuMt2jA+OKR9EiQW+bX3MSKuqmVyRhWCNrJ96L4lpO0QfPGKNscIEY1KgFaRT1kynAchjZ01 xeIynCYnQwHc5JIZTDJJb+Dr245WExwNAfZPASIEExrFMEZtdpiHVuP5AgBNsuUiDAShKHcvVKP1 HiuzYgJd/0e0a8OZjnFYnRIPh6xL4JMWTG/lDkfPEwfIdo0TwZzIZy9dhHOb04Odb1nHiEbIjht0 m17RfK3x7oNb62VBW9bFhizchSwEqMvK8Sn/Jan4rucVP6lKkymy7QUZm4R5l5cNCKEmDXGDiTu6 F/qli06Em3g4SDuUqqn2sew4PfintneknO1kDB0GBbT5AM5dx8L9aPFZPAQuIp1xfn/UPBcQvYwr 4mAsHJ8cISbSJlCR7Vc4IzX3wxYe8OQqI732HFaIY6fUAZS32LbrDWsBwIhqKel3Jdbd/H5rUtqa UmTS7umE+GHenUtqL7FngIh41/ojV7kKHQg11dEEI9EksAMHTRxDS64uUfDCYQt79LlYAXPXhzsX CmIq4gUeyeGrKYH2eGYqLg9aqN9JF88c0whLcmkdopsMR30m2o/2hZOEYuUNcz1jj5rZRQrkxu36 UDA4PP234xgEbxO3e+B0j1q9noK7AYDgSXXz2Bjt5cokSPtw+cihOGDX3alsGqHy4sL+qIUy1FJv 5PRF1lYtT2DZpcGO4suo5BCu3S84ewX5Ys3pWAjkBL4b8wHT+7wLe8hB7Mzl/nWbfWumL9Jpt37O WDmza4bV9bB4RM1dRPgxYHv3NddsXKj5xpJ5v4DwQ2ZM7os6dAenWiQ1TEmg/idIAxilmytNZ7K3 5Be6cdbTqk/+CPXJUrwI1o2Gru7JIRqLA6ftPx7KnAyX8fgS6QOqZOyMwIbgl+8YCd/0xwdOXeEk l4dL+5BIE/8gBMIqbtK2XJHi4MYStG3X/PcxSDfdAE3qDxhe1FUjYYFN2eAAqneR6j8uHuLRS8nB y5IufercKDo+ETYS3cqZQrJ5Xbeke8Uz+rOFYhoTXXD+1qHZz4orh93Fzo5ik8ylvnRwbk/Ho1i4 Bc6XoafZTnwAh5Q8Et/A30hbrLPKwmtznAMINt8xrl/55z9//kz0u1G2kJ8Pkx1K5k3rHRV879D7 P8lMgJqLYzDjRdQjYCT12cYpFRstD1+snucDj719ggyCWzs0uWiMXBTE9z9kHHaOamWJEsAhusPh C2NUAohrZhBv2SRDQqcoQqaFgp7WywOV4Ja7nO24ApjaU0d4cDGWH68fjwQSuDGlJgGfQ7Ik7R7w UrvT3qllUM83BOb6xlNjKQ5zPj2hGm8Fql7YMCReFzV3m0Y5rjyPoHwCpxF9OyXy/LwybGctXr4h RXvaer1CvXVsuQy1Ibtape5gUkTDcK1zuD2fbT5eCVsEJjoDnYf3U5u2bE8JhAL3OdnM/KeK7L7H 96ROaKE/Necknh8FfaC+YloZlfxPipoHyqiNIRYdY1kPxlEAFJKaarLA34gXL5GS4TP/qesMu+Vc /zSthL3T0Id3kNmYWTpE4lFHjckCdosRvOOCVKDE/lUCWQ4C7e01wtFxQ7agAt2zmUF6W8ZbOsWn bztDnJDZA9/FiVeCA5uhbgwfXxrD9faJhX0PQ3l+Yu89mYSLRSGWSIN10KJPEoC4ozuYp9yt84bq 57v0gBiO99XoWayf2VEgpYIRN3eKe+D+BE4KJ1+ok7nrMvIE4XRkzqGMXVWcle//L6FrsEhQCeAB jN8eBbYLhsKTTxAOu3uBJKdsrEYOs3+sUaBG+tgFju6NOynorWEfE4mlvItyj7pqVKuW6G+tfVQq /yzOgQPpQlKrl73YgcCwxjgKKMyfNrGCDc0cOcl9duNlCqbHVDJEK6/MqJuODk42y2cfkhy6Lf4c 4ogDvBj6KkUIP22C+aMq/qzr6d3zc2VsdUSbJnXeCHnLMioy8Akx9CH6dZLTQn+0yczzpyJPo8A+ hd0NUf+rzoIXunA2snl/MzXIxqGSz4ff9EpG3pQDx8WBKn+ZK4/vwN5X1IaGYjpUmNcbzjn57W1l ZrFmuJik9ZFPf9eA5Bx3jbLkFiuC9ULFD18kUqAusFgC3tgjBmcuzKdWJZQiNVc4Dhy/lzVE8NaW zLmp/elQ4T0J3zQVrOWMrQLGzh3AMUnBkvl1WfA6AdaRvUwIfpJSIrzqFKpIdZI5y+36n/tcHr8I KupSVt/DjmWQFGL30gGXbkw5C2Obqf9+Gs7CgUuHNsMk0MND33K5yATMJxY2sjK3ZL9o1C858+ae Xr0fCeI8D9L5KyZ2z+TeVlYKah2vGjrdPuFD44JmgWI+UIlydh4jTglc2h+OOAKVwy+XJL5RWJAS lY6rZftrerWITZ6/gPmNsNGu0CyE5mlUcN99E7ubG2qnLSdBbbEn4iqKvYbZXEOtdrxpF+tBAIKu YiUKbPVe7qCN6Fs4P+gX8nu8HX1vJJR/7TevOEixkeMVghv1QhpFMEP21tVHf7FlmF/nj9xpWLGG Tt14A4m49O3OrGtsB7xcIRECmadNUU88S+5tVuqmOqTjqBr61vjRw1qvS6MJaKiCfsuExLHUulhK dCYzLIACjMvPczOBmKk//nEA4J5S4kpzd0L4mqiaB6u5Sn8OM9J9bVvARzorYjcWNFJw0bpTJeoJ RQsz1rMmOXH7AjH2enD3z4TZ63CtTyuf2C+BzkJrE95/RG5jJkzoPh6LkJ46i720Dk7tVnOXRoed EkHDIhB5MAfmjd2jNnBy35nG0Lh6IG9MWSVgA+MuJepaAxQbNw7fmW3mYToGWa3IIkvcgAZG/81o OTEkuIMocIQqsXbc8wtLbYizJrUTHu03egMZrdQQvvSQTxrgrCscJHTSaJ4eGFJHtmBp0mUWjxcq Ndu0qcMAXPCfbk7DzegY1I/ghcU3M8i9JVdwtUr4CGFUuRpxKEBkz229t9MrYcVkLsv525cxqyJJ yESX7WugCKc4Hmif0PJXZvFCV7XEGzqiXuQyyimU0f7zyW6mz7GLzXosQ8XRDfUZx2LjAwwOW4Wm Zvb8bcgh+QmkX5p+rdFpndZSNpNTnfFMfh4R0CrW/mUYFoUa47L1t0+0YJxK4XK3cqGUOlPneudy oYeTP/YnowojHUK2Enb97HOfEI4nK7Sa2kVtizMGj1kmLzNvtvC+NCCjvQ0UsKDnQRRJVOZlMj2U eHZOwlN7nX6IkpD+NwvtDyiFC1cZJKpe3K+6TeB/hC/rr7So6YIuekNNfG+sUQi7ufd2ekFLhh5Y g69RVnC/KPcuclAV3G3+PV0/OOA01U+vVnwUOT5VWCpLLllBblJO137kul/OnVnEYVGD3R0MLWv3 SgwmAza1jI/1BYG1cYWc08aMXaOCx7ujoqTtzJCMylPn/B3XFfbWIF98pygfR8O1VmoJbawbwWKy fDFF4z9dH9cwnj9zFuj7ZROAFnItoD6cOsi5o8wpBB1PIpb8M2qPlDQEPMoPQmgW3TkS0/i/ygis IcxnTE7Q7OxcmFhp8YXKXgAwnT/vTTpB277tkqAbi/UfV/ei73T35n0cF9uQP3UTYCTA+bUHuXFU RubPvtbc3Uq7om17T0olCA6goaJem1S7jWbCW4FoQp8Zx4N26+zDfj1tI04HQkYXOBFKRvxemA4F CMQwKbsQzMs1nRXjOdb4pdtdYfN2zOeXTMKeLeUidq7SbTWFpLp47sjKi+ryCKbkzQoLqqgQyMLr j1Cgq2EqnyYkfT57Yo34Zb3Y+4gZKTIhX1AwCtZbBezEUn3uzAsxIZ6SgtJe9wx0LtgkxLPQraZc 3FVv56HCoiJumV+nSEKAIu2aJQEAQaiILWOHewOO4N1fpfvayYAOIt3p2S454Qivpdo2Een4Gs01 +jGpquvBd0zuu3JIeQSen9hchwK6zZu15yODvdhLw5yDg45YKVkZLy9aljUfKG8PlRrnenXPSupM VtLMuDVl5vekjbquQV3q59HYMU0CdSqQyBzjsOhtgZHDv+Swdj2pq6yQB0IV3L+PyXEhUi7ZALQf EBFhU4msCw1B1fDtLgi4H+bu7UIC7d/2a88gAeReUmToui2j/514THArnV+LYiKlBbQd9a0u5VmA ABk9grw/x0hlmch4qAgMVcv80Yigi+5+CqgZ65FdlSAUCrFuvYQYpv2KKzcfrisvV7wDzi/mq6zt ho5roIDeBbx8g0hu5sIvpFCtt9VknuMpmJk+sYAevoVHf2Lu8Lm+zhTcPuXxR0kdpUuTvBm8flqk zi4495wMcO0f+Tw592ecjIUsX5XTgfeRKhCXQlHIkQq5Cp/urwpAJpIzzSmupryhqiUvHxVR9CHb 0iig2ZZi5H2sidjYtneDWPkwZXx5UrB9xqY2Z+VrkiX23abSFcFKXLjOtvzJdvcW3kTMNGSLeQr1 d9H+iQoP5zcGsQEhhOEj8hk9KGer87hoC9WofEH+AuVajXkCTvLNvZGa5i+F4v+RdykxbxpElbJY mtnHEe9lT6uo+NoS0naa+BQLWKMJLk48G9TRlK2HilxeEPFzRyANhT8F/u6xgzq8cRjbCF0MrkO5 Jf/aKbz80XNGmUeGgmcUEFMzGn2zEVsaWfihns2k5ppqDreupBSl6eS/kSUQgVB6dNqPNWBOjO9a 1gQ2ZiRX2Jm2TKs3OVOFGSZf14y0T3li7FKiW1F3n7ASpsVpO5JZNLfXd5wXVkl8SMT/i0A6gtFo KOUdkGz5q9mU9NRy4DnSqiJqPMSA2+z6cO6vXlg1SNyvz1Ki20WW5DuO+YBB2HpK4mA9ZTtj3SbC 43gFbzoHkP6qzDWlLjxpAnn7PCNR+SnwfDfgJyXGaFh7Bgt2FlSJQuRw5LxcOoS/7qmWYTupO5wi iKtjK/9ldR8bMr4D0Zwoj6RaOS8jXgTsV2PQGpxIRgMyeUA90p8K91PFQMmZROVIykqBlspKk+Xu mSMS/Z5wy8vp14GxpGiwvTz2PBEbWUyqKcsFoXFW8hZgMeatQtd3Ea/PeWVS8a+W3TBGrrag99Ue veAh0aTZXwDeOaMXtMq/0cqfWjV0tgOTxQH2O1iH47436hLUpxJWUIZ482rEJmSj9fsECSsL5w3R 7CHvVzPcqg8aGqroNS+akPF7RcCI1cX61L6nN85FT6NPY348gtK6WFdnT7dTCE5zQjIwODaCxKvV 2NJvT5ue6BSFiXjqU/6jG+MJgr8tWFNspJXRhZz/FcAYsQMJEEkRyn4i8WDeejW9VMRgXr6cii8S AG+qlW7huTq6wbWnLZiIhlfkkxiYNvc2Wo3oqZ3JVxdCu2eQ42bokjBAY5gsO5+b6o0JjFkSOW5v XIdmNi0eCjoo2OHWbNSTKqR4Nw2B33leO7YA3hum0ON+qxjrRoHKHbMk1HtBwqFrx3OOmQVP24CI zh+BMCHOARr8SkuitzTTfLsgr7bB+LZKDlAeO/jEXZfg++ln/hz05GUncdhAJmJvjHtcv/cjeXUQ ieztFuKTbiyUGQ/VeYIqNNxNyfyQUECPBbA8++xfaojRMBViAHBoumfp1Ni1WGaRqum2+13opfH8 rkUHxWYDdGe6sMCL/q1htFZevq2oi0Z9j7mCzSSvgKZPFpPkM/Mkg/iQ96sVMcOM1h5BVhRrEdVM LUhZqsezJLXH8rvEuummXpyaOC8YPrRsWsLF5Y+m1nE1Pas0MFZgRtAIV7cq9kJszgIhPGmC4AdB Q+VzroNb4qraeKLQu/TZmAZL+43TiDZjuARr2J8hR7pHzA3uBYisGJlgKx0xKn4Wtv9THzSyvvfS 6KeFAegj4SqMDlp6XtRnby+tTplKMsvFrKCIi0uxpnSGYEM3qXE41dKcszAp9SPFxerPh1FOIeP7 n1fq5A2JHJ/G5/jqvcRAw0yuzDyqkvbnlb3QuEOQkK57hJRcVduHE16wbBiFue82Ch0um9KPyICE rBEcDyZQD53645w/VvJTTwlpDFg/kyT0ZuiE7zptEO0/16Jzj1VbAu4tL7ZCiyG2DJpFGWJMDAUj YD3tiQbWEExlxr8oUrYpHmwmSmdcejJEsSYUVn2QD6h6jomSLFElQc6hiQkQljMHynvsAURMCGs3 lod41SV0iX9R9PZiLYkOk/nKI24XOhLEhb12HBBDlKInqnQUtUmehxskoUqLoySDNAWjLwEFFinG iTaEBklPF7pK8UMeIEQhq0Q09XpiP/3qzb54TCNbjM8JCdfjBtn/WN2a9c6bnfnYwyesQxIxAFZ7 CF7pqEpVdS0r4un1r/GjYhC+cAugqNYC4Dtxa6IuZ/Im1Xzt3X9KsGHfoiL9V210EiSvD7NiOgex o+zzEtcap2v+i5eJtcezkiTPEJRlRGRTDQV1aXpP4MXmlOygU2ZUfCA7BlIAAmDDIE06jd0w3dC4 wo3bnWBglvveIGitWXR4uYgeWTD3IT9/nVVkvVURCeyo+6KqxcZlCPoQBf6gqzgrR4PDRvmwzZ6i hGoB7JKrrQw0Ov1y5b54/piSCjYcbcYNLkRKy9MNVKICErmd66+qiHfBSiHt/qsnyfHrpWxXp1hw ILGavCL/3l8ZPn5mXe0kEBJd6dj9q3gY4PmE/2J+X3jvmHzIl7EvJ+6MhwJPFfL8mLaIj4KOsWTp KBAw+yKX/j9bMM/N4pqrRjO/MERv0XPrSLw2c4qmOSNi4Ej3YOSDfkM8UeE5iGpr4ONCqCsyOikY ejuaZfoH3T606BsF7N9bC26OSdn3V7oTjvXoMWLGrOYzvicrtZLCZTP0pgvhD9YzIllHdUSQCuqc RcLYO3V17c/vADRSIHbPCAybkMZC1d9YgpKC+wSzR2lMBIPGs4hphb2T0jkmnPXtWOVMpD4ksi6t aWbTm2QGerYVE4F5Z6w2xXv+13EP9CM9L9C/l6T4Jtg/CTDyB4Mjik/4Kd2Rv77jeTxWh82Beeb1 VYHZ9r70Hy5zdsAFEb0RQ2ijCzr00HBUv+50ddGwyOuD81Y1tA4ma/XjY1ZWwb/R+6xoXM2kKPG9 bICGg0kxmLcadK0wvkTfPUd3xbMFtU15r56DdzwXJz1X1BhzcFfMLWSOq2Pa1692vGDsjH/ZBxk2 8UWEITKleF3U5Y/23fCwQlFAgorUiBdjL9UJf4wvgKAK2r1oaEjNjuDkhslr1qXdJ07gfx8xgt18 VjLqFlM1aXXQtShmSwzRqgAIypa9x47f8BC22k7FL6uVuS7SOtjfrdKgBo2aGnKtBixPEo9trcTt hGP8ZScKwErPAala1XQ6GhpYYPwb2kb0WXyj2mIWPxC3H8VqvDhVqMo4kTF0YkEFADZfvtAXs1NP mtNbu9jyldKAfV9oTPlvE6ArMuik28r9JL3GUPsBfHo+JiFFvHZzUgQ6Z0Okx79/at3gm85jNIK3 ZSgaIEDO8x3JTRto3EKrYxewY9j+Ci6d2uam0xK0MQsokrNe/o0eRfHc9VhTsPnLgslX0ANO/MzZ omJOe4hSxzM0LFLrnu2fBXkapehx08UBwad3MQNWsA1eCtjon2SyTin/RBg6qgIAYXxqrTQVuD5T VDcMBBKH6QyIKnaFaweeWJ5/29E6uzRUxxnS+SMh5KCLpUxnhQAlTFw8RRwQJR9IG8xECwSBbb0L 2vhkNTr6ZYCW4+2eXR+0AT+uUzQJulU8qh5B77aHA1QLSTYtKRl85KhqSl2cUhy+LMEiHEDSqede nbElsVcKFmt6Guf2k14o7wfDqdW3FlzvATeYt1cVjiqKnJABJwxazrs59EBWv+XkCChOZzXA+kKz Zjs/AA4QL1AuyA3mjjsAhez7Wps4VPQ7zyjaX9nYzQYOILNdcYKjnUOFCPQtqO3JHTK9+DTj6CXo cN+vgSaUzpVqly0Oh71t2bZbPjgGb/uiylE+ycHsLR9+a0c+3/MVUs/qW0gvJZ5XBjpqj7SLIWwZ BEKdHg4HMx/DW7h79qMvXWQudkJiq81hYU14NsQVqK6okEYBMJbx8FB4lQHwdc3p9JSLJi6fBydR Fs//jtzHk4wJ1i1jLWvZdirzYX7mG3T7u3rPXM1Gllm8zvGncu+aEvS04ArSQ1Iu9dBNT+B+cKFM l3nUHp15rPz6kdU68kt8+eve2usbs6qViABBPhh2VavAxZjSyK7wfG3Yle3X8MYelxvl35u0TfkQ NG7jbb9MW+hHfBfG0bvOed3xukFujfuj72/5cP0FaGroY7ZPszClU3QGfGel3W4qPhcTi/ggOzu+ P6zbi4WhqGiji7aB7p4TWnmYNG0g405fkaVfzgsVh/wmVvKflCpPxG7LORXLaYW7QCQoluA8kQmp P5Jxr3BIlRIb8bbwQd7wZaQHJN7vMHGcRyOWpvfBqS7ADRddXLiWq7AKU9+SeLoGTcpOltaongfe 6WCoXAgs0Khtw46TLwIMUtTNdBqN1I6QysJiB3jAQkY+lnHTL9uIgJnx3aq52XKQcs+GY+/ifx5Y QmiMtsvZH4DnZD9vA8xJBaTvqumf2e7rnrwOg9/YDyLegx3P1cJn/JbX1rauUuFP3DZ1gpFyzjjZ pYGp2hIu0g9ag2+rqJ0Sf/ULsiK9IvDBT5MqQrJLact/1W2/WlmX8eqWth1orUT2YDrvf5bfM4hJ sZH+JrE8fy6/skiIaOeUg73i/c+jTDYR2MRt3avJdHbQvj7xB2iBgeoWttEWqPzpA9O2t4DF9gZf 00HyqyIvnHk2hEY7GAOaOr9HObcCHXdqGngAOefkWdcIwBD2YripQWkcLv0hZPUwiLqTK7TP7a7Y NDNH85ElUBI2i9sOWFMyITCOh1Jdg1JTawERkXtMBIRmlsYTM6g+IBYt17Uuu8ZuyfIGuyeCCWx7 15m8sH+LuCZXus61B9INCJ0G0ghbZfvRF8Z0qWSCGZULPHs39ae6g1dHgwRCCtkQUmbcSf2+sU8q IXqXwMVZ3orVC+D8eM4PcTy0ej8R6DgIDHDRmeHG1RUfeB848YrHHiyw8qpi2oQbk1YXai3zbY7X 2zeYl2hvMpKoPQoYEogeUxQTGxZ1OS7g35Rx3qhht6lhSirhqJXOwZA40BUxcCFOm2MofYoz9EEH xsWz3lfAsL18wfiagqr0EW050hYGya2CUdmUEgrtvUnfLwGIob123koEP4paXyUoc19N/ZCO3aMY eE3LgHhRdvEFxZZHN3cDRnPqvsnkkQ6zFxxEZCcmUpB3/6chFfTzESOSJ8c6rv1t36OKiYEyn7Zg ZwLCgVFreEfdR26ipIDyuPNQxziG+ZEWWT7Us5Yw8BAXgfSvOpuA1MDVonpaIK1TExLlaXB++uV8 Ayyf0FeotB6Mxvr227o/D764HJQrtYVIRTrpJ9oM1/0sfvg79JkxZk0JJj3wY3fIiN3snhVsUlWp qjV7aoNhmbL6vY8FTqJI+lM2jr5rHadphAlQ4FlaTT5FWE0EB6WvNGFCw0RitZf6iLjnWMCFonn2 SBGnf2bmOh7zysQ1EBVtsCl88/FQMRyULMbTAL7+3t/AoS2nZjfOw7LKa5TZH8HsAqJ4NVqbAfOx FgLskKB2QNJzQ/E9TTyOGy30//m2x73isNiM3yRCv9l8ZUPeKZqUSkV3QBaexOwOPcikxMklX+ky R40jRuW022UZEDlyxeFxGTqwHRmlQYFBqDuN5YKp74K/LJ8O5HDq6ASFtpVhgAJUQCnkwpl89ZV8 tVsFsBjA2HjHiiYrpztC/z/Rqn3HtoAGzjQSH7hi8mVqR0K1A98dGpW0pfwcuz0C6r+4FvKh0R/j fHP9lJND08c0yUHspHRCsuOvAtdYVCxFH0B6Npsc0pwYFnse8lxrN02vAq7OEF5frc9TtPZHhake NZ9KrNI5VsSa2IrEljdecJVkQuVWonSDoSMmei0N1JcUV61ADkIBqWRYNnOmShnqdQRfS2rOLb+X lxuDstfkBlgRDQxubuEBOhQ69H84ZKzy8jyYwnSpS+2OoaRGmYtpdtmAy9B8EKiErFa8bff4Rphr 0iZeIDlZHnh+hGa5ufFEC2QL7KHpVjswVMSUnXSLd80RXPpq+7efAaf6PFsIURtUO9jm1tErrL2p xcK3NbWuiuckOR1Fzb829wn6EQYkVF8y1WFhhysUviyvOjz/k+N4mbWEfVcvxUD2CiG6mka5NpOQ kMkZsGsvinWoISwO/4s9H7j1VM6lKDkLv/ikZxzPInCCRHGQ6AFJEcOyt24UM79O9uKqPd6OhJKL C8Qf8EkpgSl9EthduQiyNlL3Zql/t+m+9rLP2r6o5xhdVxlN72P6FIReBcEKKsE2iaCqFwWlJ8Uf 9pgi3ihp9eMZ2D/f+tTruEwYvAcOIQEXSYG7plqWmzk/IdgfeTYqMExc4MEackplc0gx6RIXWTBD W11VKVXdWCVU3kmjdqZ7OTjS1vuZK4WKe11+7MkHJDGyif9pMB2ArEpt6R81rzhMr3oNKHL1Z+Ng nSyT5+L5iZA284K4+Zns2iL/3AJtXKT6vhCSKQYkcYh/KMWtM8jgVu2j0KuR6kK1N9j/4MM8nH7L COKXfJgLzlP9WZbHiHkl3oni33fMc1cY+I3yT/uRf+V4iw6s8TUTNjeGDMFimgssyWNV/8S+++3h ZGTiorlWFfqBTn6Wbfi78sTWwFsZA5Am06Sl+jswJmzTIrWq/lyuvBq/E2x4krQzpxy7PSfJK2zx +/R89UnkQm3QuUsyyty9Ov3goku1U+yO7sPVz7KCU7bw8RTTxO53/jeOINPCDC/SvQ1yjDfmzCW2 NINST18sskki1pW3gOBvY3Q59ISlmvQt6GsdBymAMD2X4Zm1L+figk/hTYLNGAqOdFTBVrt0/EjW W0DkLVC3Leiixt7WHof/whIGMCxru2ghK/f9pb3W28VIjz9A8SACkcX6owqlM2hK2HpCoqGdlWje 13oO5T4Galzpd5RqmaTUPmhL4ng69onV4+0yEnd2b5jpGPh5e+fqZfm6X96G7jCrlS80+gu/9gDT eEcqaQ3lhPyGdiTTIK3kes8PCCkCHWsV2iFz685fX13qQZv+SdtTPJho2sr5hIIG2Zkp+0maRmfN DI7Zch7yfg+uZUWubLn/PlmBE9WZMt2g1ZheojsihqJFYo8v1obqphaUnH/SCrIgRR75vJ363zQ3 hqdxSoCYK8UtHPl8WQc855dg9vV8NrtQinlFMDEi33jwRBumV5AIsIj+G9jo6f7Kpukie7dRCAIT ZgAFJQB7vLU14uBh0SqWHNNibaun6koaB5NM7trpzUj3XkgRM7Z/459TkONIafcaT1YqWCz6TNeJ 5sLKPsHQp3Rri0UKhLmgSqJddAkUYp3PRi+bCXkDhSLGAjMjCOaBkTw+I3k9lkEbI56SZ7SS03qQ zLam3usLkrva4/M4r0TUdw6n8aJfpol3z0WLJB1xok2F5Kufhd6LCJhjAxdFBEakaNPwhJF3+vrI w6tehiNJ6yGrCrUhkC5ywBk27DPjaD+K8wtRC2Ff4+i+SAIeaSFcGazrzVqPkMNZpP5rW3Tybe6p tHk0nRFa2k/no6qyAMpuKkZuJz4roOMqPal+EjehtjrEOP4+xI+fJrWIZzHy4qOpEV1bY/qisWbX MSiRIgHB2QoYCdxGnONuCtDAU0+30jDmZ1l3DZvPujaG+IW4aN87l3HiRrxczhKV3p+fvHgaf/aY M22/dIKn9G2Q4PuoKLKXexS3Tz9EOYZW6i3Bx5LYKS1wHq6s5lHUwPjsUBxCkw5ZDK+TMYirkKMd m4JZG0o2mpbkBRcxNfy34NBl7AtiOW2a/Oau5uZuO/iveheFxUewE9CKPA7oq9rvbihVx8PoZT/p LW7ruEIGzrmBx98/ptR97zyCq8HY+vdP9q1Cm50FQkKqVyPf46YFZ5sdw1jowFOsYD4azdU7jKhZ mw13HxCKyrF/FdT+7dif403mYWkzsMTs5EjsAsYx22f74xgnNjiRV2pH/lUyrVpJcCHHVeDvwYVC hEadYSyyGNLRhOL0hrXDpYLkcl1qqPZ/MmcSS12jxvOi5saSLmzrzRuDgdjoQvb8U9VkmRkB0dyF rOX0BaT1DcAQkJoW8hxRcOpVvtEBv4pejl/7/Yd39UzecGaw1KuuEo7Q52GzkBPqSas3KUPMjQQE JxpbgYbdayxAHS/zlg2u13N/xPn70ilPnFnq0p9GPWWas9bG86RtPqzQIblBzQyePtFUMP8xkGQM I+NrXMotBhXQdIYMrgSDkjbwN9m/H1PUaQQsRxuxsmm8gqjsU1IobjSEExgO/o40PoYKtU284apH ik7V+Ult3Q43UugXi0VITFHyuLCGwqnyGXwNvxoEjxHecMaWdro6tSdkZ+X+2HH2U7/qPtixtrNm +0Lh4G9AK5qQUf45OrkugPXYmPdWhey49pK60OiP00BM53mT5jT3KwdcstueXY0vylBO/OTOCNPh vXoPvOGAS/zeSLPSleUKY02rQE/tHeh2YriBgJl4wpQ/pVjBoiE9Y4ygNztrnRfMpjPbdSOZc+pF cKCIqoE74kMBQCd+qqeZx5nzR/K1maKwhkKVlh6jz4achJK0i/yRIH1m0ezHgRSMiVficSGfqtms BIW/zsiG5tGFama+H+V68jpEwtrWdTWo3cIZRujAy4P4zQfpMqJMuVD/1+wAuPt7UD2jdE9JhSUk GiMmRwG3lC4MnDe41uS0fF9nV6IddS4N3pDz8Oe2/Yr62tfV2PBRJH/oTLAEaDaTMVLg8bKYE3n5 xMmUnvxSVHu3vGxJxJu+btUtO7tV6L96SuGvEO1eZcwRcUb7FIozTAnbdCzi1lJXf4lFsX4tJPe7 J1STz1nsf8TGpdYwi56Ia+s/5yXUb5M/qb9r+j8jiSWFYkYc9gQwTVylUjBpo2pF/5rzlhHFuWcR lGjZ/hWxctHdtKpCsXOO+lsdS+qXb6feEYZxyBS1hBFYG/PGBpQEgXtAq/Cm+JCK2B02lrvT8COC GZDXjcoKxr55a5AU6nvA9inUndNyGWmjMHUptTm+cOupFI6mKA0xVV7trqRMTOYS9Vg3Fwp1V5lq kqFQosM4ED7hVqhtlp0aR/Fa2L8DoHPFF/lAwtzlUkEfp9EpwoKFk+XN89I+16jxWRObWjX/gqHL UYWleQxegvW9lV1tmVRKpe+u9k5aykXWbdPKVKUUVTu33mP1Cw9dN/UrU0To3ajRSY/J2UZwBXan tez8mxzCpEMdZFLyXYfhOAJfqBjKXrxJsaX638QUAerTDetkW4XlAQ37Bzak4uNeC2o3xi33Lmk7 mMU0B2EJIbFycI8ZIseTPq+L7baG917k2bQi2jScNjeDdfmfa4nyKtRUjsqKLd+IKX+eUu8W4YQQ 7DAXiqTKaOPMn4wNuRVgMxjy6kNJdUZwz0x6irO4cAU6ejuBggLY8xYGSyfFs6KJzOIJ3wewJ/G7 kw87ZyU1n/Y9Uy0MHZ9hQwBjgJUVJd6VYb9e/tS7E85a/CJZi2veOwtH9h6uLM0pNxRs3gCvQ6rk Af0taPW3dvFBa2o1ju2RXOdM3UaiPSiY7d1lE+AcpPwUCOaNhMBBwxcCqzXdZ3XsiaP9c4Qmcib5 NfxjYCOOiz7GwDrm7eU6Jzc4HU5WKS3kz61PTEocEIFZnut2AoBTxfQHtDNK5zq5jatWVKOgHhEc Kr9OcORDfaD6ICEuxQBeZOFmyuBJ65QyNDCiZI1C6AeqqaEXkWvHtye0ZSzjLQweRTr08H4e2Tdd 2IocJSqjZdtj2eDvWoF3P63h7kawFNn/BkmTjRkpPOlKaLzGatHYRRQ+w+CfrKkCS2Y+SKiVWLS/ AKD+ubDKaQCNe7f/85/WGW6lA40+0UZXWFcB62GBhYmKyJNCmyTo6VDTr5JjI1+Ph18U8tkWqFuC fb52arJsRDuS5nFHYrJzvzqyvLMTaQNrbl0yaykRsO+RTpZdJA5NrIJBiIZA5kRk17mzPeBQ0TLF YXN7+DZtDyDDarbfU0HoaUt0d4aCI3csU/vAkOJH4ZXe/p/oO3WLn9Z3gDI+mqWRSeK7VYSsigfm J+5qieWjYxeRnnSO6TiJr2vGCQp/ubh9NBP4C60L8NzYY6PaOYj0xIi4ib+hAtXLcUmQ/hnn+o3J 4fntV+xKyuYgTjnnw3WNAp9T4e4bkjCVgZZx7qUCuAS7RdZoRYUJBnICkL5l/XpBJNH0Pox1eZ/Z E368+SEWPdm6mlpm//X9FIF5jS++6oCNQnxephiRwBT24ucTf+Ff8PK+Z3vS6EtG20LCeylH6HvU FjQSm6KGQ6Cm3tH2iNH2lhE4oBfeM1AmJhp6pTHW5mQR6Q2iPCrKuaWQQyaIaNjygi8RU49D4ZkE FAE3Tsebd4ofVIPI/XnMMhKOn92jEucBRU4BwyUIvxLatZdPfWimA5G/C0r//gxe0JfZPxQ5SEtz F8i3L+MRuYIV/dD2h0RHvcslSXqMSIOLrlfpb8qs+iplnYELxVNhszSGBsU16EDHqzZlJOT/P7uA vCorh6p7WfMgrxG0Q0jFNJUtYk1Lep3e4mgrqEnsknD85MkGYT1UB1yQKvpIyHlTNY9Ngtqr7eo/ 9z4FOYAg7eBmRRpNSOj5oNJtHK45t7IfsQuIPoIA5+SWMsfmKN7b/XY1MDKFGvt/DrxFbVgKM2ay Aumf3GYon5HbJdLuicmfqiEqGNcL4oCbagx4LFcePIGX5vdgMhNVolC+ZOAhDDD+OHBnRmy4rNz2 JYV0JFgl+MJcFTbpl9Y6hq+RHP/5FHRIPa3RXx5gSXpG5g/QfKLkmc2XaS0hPTUSoimTt9kZX+bC /v++UjNjEzXj3MLglO/DtaUSmfW64vybpK6QrwMQzMYVoWJW48QhPjAiVMNzhpv2QD7daadXV3wd QC/T8WJTDq88a7tJIEELEwEudCC7sUo62QYKDKWwRZ+9Lk1eYUFSG8LhW3188syoUgQ6kOvkwQEX +qmZiWjrox3lf69woOoPFQRIFc6YWQ3ryFz90BPOIYexmSYDdxS1iF2LDBRCcyCQbqniq9NfXsgY pmcw07ai+eIpNfz2EGUJmI92h0+w8BSUxM+xY5SVQG+/19aTLuCWghMMUQoiMlZNbeWWP4y2xYtA GeFTGTao+eJb+FpXg8I2Dh9UBbrUldXvUGafZPeKjDEWaneapMTzwVBoEpYN0X6yXpj0/+axfZ23 yvWUWOcL03ot8qqE5pPyH6v5kK6ygoWU9LnspOi4GzSkxUj5IrBJi9ZBFCzAORcHXCHZBFjmXxyz AXKiZ8q8ed9O0Wd3oSUYvkZHoh20ufqKVZ5kdXcJ6YcadSD8CkMrii8WcggB9iHY7/gA7QO10yEU uq8ckd8jfEF0MWT3Ck0P/N3p9PY/x6RjzMvjwuPvEfzrksWFWncY2EVTl5pUYsYE58/Nyf4RHk7u bLv8ga1DH5+2V9J0rpWSHlWD1bYQsSF/b22JnDLWB7xB0aZGAfKCWf/kn/yrbXt7LUwfkaXhNwF7 NFc33jOQCCVfBKWHI5nWgUd82xvIeUPFB8l80zkT0b2xw9joU8JkAWIzdG7d4Gicj7TI6a94j5/9 BbXtIpo2cCWrag8tya/MTAz+2tX3lVMz2B3j9PStgfHeSfjE0wkUEkGaNPSS0dOuZPIo1/mkjc2k acELAOvkZKXpF65yA+69lnIGtzY718dEfUwZDDEz4v5JPjpvQs3P52OKeKeyscGZRp/ZHUBxAkSb a+upAlyfbQLToIUypRhdgJapFlaAFZWYILj0bgsbTPxDxUpjOi2xAgdzyXDVRNkumhrKEKS+EoVC M2l3HGeSWT6KWqF9WpY5KcAgb84nlo1ybazJkl/8qsO//5QYzoLgAXu2BtLRqx720jNdeaiiaqsw be18r+xd8b7iOp2+Yb8OEEzVJ7ErFVMHDiCysEXtFAxF5V4v2te2SIIDRds1POiSqxU8815eGgNg hdqBXhLdWUnUOL536Tt7UteKmfCQYthbfAhseg5CEr/0AEZ9cDQYAI8bTxUK7sm0l0qBEp11CldV gAmEZUnqxV6fmaplZkHQKSaUEwVOhu/aAN8dzEC2n7tBntpB/IKy7QWhuBQOprM3ycXrB3jYubV3 TKg1VsswUk8Cy1aFHpZ5yv5ulZQ5vrtCLCmqR+WHyXBTD6VxxeDkQKgajNKFi5NKrIoUQgWr1N95 bEgLGZCCxFRyW4qOyBLEumMgazmJxuN7lCd1pBMIZ1E/pF/42J/n5Zw8WTttYnFf/tF+a51vsVmD PUH4D9UAc/L7DhUhwLuf+t07sYT9+sT9OnUWcR4QY88D0oTq2lhxDDR6/5ZoNINSevFJwLGKLDRo +HAp0l+nHO9KdMEPlfy4E5FCjeZyKwi6WMYuOMfUqJYtdYQSHAHijQsotTX33ewnk80lO8XLlIdS nsIxg5xDj8zBMwF/NKEYrZivSISCiKH91bC679+R+/Hh0v+V0bzbfebc1R/jOEuvZIE/kgNTfs3a LyLJyGyCYrlzzQkP4xVxyq1bnx7xL1Ms2GH3zenva94qfbmYlVGLVQ/O5NERNzYY7wyPk5L1Lgns UiOeqm4B3e2ddzqqB1zDFUpPIx+0J0pUmP9G11tEKX4Y09B6PZrtjYZKnaudMI5+NQpxzPT06tYX uNquJauFbk/W78BM8y22hCdLPDnJ6S+4dfxKMW+VM6utx3gxQ2lOCfbyJLf6uirvNvQqkFOzwOE+ J+WLfOvthofpFViCvEqfJMschxc7auXGwzOIsirOb6IiOI/6dpRtBGVKnTb02l3yk3SGLpcfiHVP drlcXYpq7uJWK9Zrq15lF/M/KLTiHNlp54AbImGROkqmCdtDFsfUk21hMI4+z8Bq2mEaOYnXqxdp 6f4fLKeprLPnLvnFtA82lZxHQzl88iiM8DtMwb9G9gilSs7tpqolxuBQWXG9wwBsZAUlAEi5hDvP dVYY1dbewEtDp07TYdUA4j0At/MIr+iybSrysR8i+vK2az+0HdzY6XB+YLdmgNelTDLQhZRwnqu+ mQcd8pTKVxS73f+SHMmkd7p1VJd7bt7o62RQ0JVfOnIF4ueIouV+j33YBHBjcRwaytTi85e98MIl itjydrVWnMsw0/svfH7wUKm/UMhN7rOolbnWdpO2LmDfmajV5TLE77g+WR8fV5615Icu5RQee9Km 8EwaRz/jw8jChjFXYgMmfoG6aCASe6g71L1vjyvKd5zpvyAVRvDkm3+DDLE++I6aIjFwdpp+dEJV QU+lsT5udT8YtkFBinl/BMOkmBz/m6iddcNnMarnsfcYhyAxew0EnxvGpcxkkyqR7xLtUokqRHED SKRF963hNYLI0NuUcDhd8iUliAZwsPyrWhXFbDhMU4BVR7Zk6cwFG4oBw3lpiWee6Gh/GZewVr6j RNjARh8h0Qy6vucHB6VzYNcf72M9fqmwjFq34/KLxT+OFyyqetZPOxjWv6BFoNOEjPaxocwCCsz2 J1PeWC+9gUcPdB/gZz7A3sflfILBWr6/WocIunm/bbHVqDGTjO8iKjtOwwGTKYy+EHZHZDXPmtbD iKpSPMiWpEh4okeV7dh97M6O5ftBxiW8PqphYxEUYimw5C7DllstqaL709+VIdPJ80yOWY46KW4J 6ly+cnfErrLJKbeks/zNuhLqbS2NRyhtZSRu16lK/PyouDFbj9+JP+l+krVmarxYndqYL8MJp444 yoVaSKe+AtrnrLU8HFjYjOBvc+/xsZxtLZuKUyQYT1CDwSM1urIkTGBdHQdR3TjOf8RCNEbSPDB9 yW3O2c9bEa1Y8A7CFVChVxHim9G+GLQ6cEBv0rblWJuFAC+ealGXvlzroQ1/AgRaF5xSFMJYU+n4 rhzUw3tQlDlqxrU/am2n+SeL7CeOfenyqOm12zLrjpuF+1cMNWRC4cUgqtk8b8ocKB1YLPhdhpZv ce9I5KYSa6ERKqfjfnIzBh6SxqLwFKHLIQvVlQeSoNpvMwrZKjEv8SglnTqHdoTu+4Q2BfKKFPkc eWC0h8CdFnMSPqK+OVOKhSRD/bsNMNIGQbVlMubXLR+TVHevLMHi8IZUSu9bkUcmNGui6cPLf0no DPbJJSjQIVrsX3h8hcLPI9nMBjCL0ObaNs/WiEHjESy7RYErf0YY/Ekf/cLkRk3yanpWbojY54PN b2zlSlpjfd8QBr7jYaMyj/uySvlhbvxqPyj3vlFQ/fifAQhI83O5rxG+KN8LqHNONZWzUi7murKm kbZm7l77iWO4k5fTxXeC8B1uk5PZfWWwOm53lY5eq0p1fx4yRai+JoI6GASjY8yErEmxJwzdYE1E xJo+TpczCnKVL7TWJVJSCiuw9d3t+e4+SRgOFypC2aP/wabT5YGHhiru9AGDa0lz6+zCXl309D1K glT6QTaNnmvhibhQZ5u3ZvQYNcR2fsf2C0372D3EGJrH2mrd1H3QV0U6C7lJSIAlhklSZ/M9qsGc wFfj7MTGzkVWMtNrEflIuN4VxRhAhdhzUjMIFamdA1+btcxyjvJe8BdHrXVXCOawUyWELkDVDEls sTHV1aQBTwq52zY2RnEuxAvZGXhL1nuVNSw9M/C16uf9ho2pd+uUODw/sc7K8e/TkmUnmuskQwLp Zr7ilU+NZDzJ6lYqLDghJALmVUT9g0rtQUVuqPh1ClNy/QOLRSGIgb9bDMi/8HvEWXlQ+kTyRJeb zUxjetOhk3hLPUvKSo48D6SI6w8az+YJzBIfTNGyPNgS0sDmqL12L1H6QFK8q1COycgw5+WGL6kH tsiOWHhoT65qoDUKO/y963tBuW4nSEsTcKgYePPsUUMLrbAYxqgoCPqDLkdJa624twSz2kthOVb4 pvwZ4Yd8zk6HD5hKZGMA/fFgV1zVXAsJ24CnmRRiVDry+RPd1E/PpXJoMYKEei2fAbxKhAjIXnWW jkbOZIQ7lo/wzfBXZ8L02j28zbpg/glf0DVRT+E+C+Y+I5j57etxWFC832WniDwtKvWDEF6DHvVq Xjvq4SI3w1VLDfi9Bx/XgvGyzLbGrGE2TcWM8tOVR/NhcaMcNNCmLf2ljbMBxxfUQb6jKjzDRMVl /X0ykAmGo4qoK+kB8mtTO9R3EgVm6uzxlJI9m156rgSrPF4Q/GSCjSM9F3/4D/Syg46ENDURng0o ZR1AmHysDF6+waQSMKnGXLlW41Qs/k+s/PWrWjTsa6pD47m/nmXTGzZ8q6r8M3lHyTlIIgKqHTGT +XlUd0woben2TXOLrDXUGwkS8a9P2mh4TjofIxllvUe2VucNIZrc9G3HUU7/6WhLioEJNJABdmN5 NvftgEz8ZMynIA1qMD0Sp2gAxuifsecBz4jxsQG0PCl5c0nkOvSPYZRoY5MRJ2gxdY8QU70V3oFf jPaWyGgwQ1up/fCQDlhKeoSdg0y1XkhpCkTF5V4rpNqrgnEGrPtIy0oWEuo54dNENuRprU1sP4A2 9JTno0Z9G2oyieKUOHtSY92wVgCE7CLRViNcwUxzzuZQzuvnzmfehcMBRD16Z99c2sCyCAFWZAit uNSbxt8BjeL4lU/3cdquXTDJPCqj68Lozfn+7HvXrArL2dImO5dIMUpWx5rCtYQ3rowK+YznSIMX KEarcoVX4Hbc8hQYvt5RSXeX0wkvydi05B60jKd6xl8/qRE3VOLNz8OMVW74Ajv1oiIHX3Cq5+le upyqGeTCFKjUNCCj23KjssddDa3cjn5SpePhhoiRDDKUsaZVSo9WO0/4QLPaUUYeT5sB9lpocf+E 29pKk1t69ETd7fWb74gz4YFxlPd7liqCzJIpjZ/RqEt05/mp6OFYVBsSxQnM1hlodcgk0Pq6XO6r Ab0haj4MOWW+KmdohzYPLybdCSdiJgbIy9QcrIO4nkMtVAjzhYGhGjED0IeOGcRa+vfGjeCfBiBK SKB08ji2OPqmsI+V3oz3IeIUj9LRes9F171suC2wRYcQncYSlpqoiBU+vNgaN0spk6ez/4GMH3jp +q7hkHVVIHl7OxmqKJMNPzPdbHG5kkbJeg9Immgdv+7tmEyxGcetznrF64caOh1e18TWbPoHxbk4 id4TyIt6H3ZWeBJtSfZNvc0OQMXBtqXA+miDott0a3BM3/NCm2Jkrx5u/XCc9r5uDUm5w3lQvzUz XASWu/CskSWUETut3r/2QkhX+5ENQdMfrdwd5Puj4FPxDtlhWibTcpH8+oY3mLOTyUyKska9+LCe 8fkO2Od3L+fJdJbXNsE1s+MNoC7aS0TfbTVUc0OQw5xZZUGDEJu+8Cr3OD+YeQUaAC2C5V4jmsYG hQTGnV8QJefC11vy9YM+1XqCz0KgKfz7j1YjIogbXMULSatCNWNESrdZm8dTe5uxWLEW4Gc2ZOKh bKgcrfQXm5qV10nif1zq0j0Ib4cr2GjP8ksQVINHa6vAW5GIsku/B3LDDod79H2LOLWEUafFjZ6r V2Xd/Goa5t4QftjFGh8rqNGGYfCGjt3aoiaXM0BncnncsthVzrRDXE8tvEgBlqwer60ZkAKnRdJT rQcgl7gEiPjTfC8h4BYjfBPqN/2sfhDGrvCKAcwa6oYhhG06tCncUrpmTeBTREDXDeHAUsk42p/t jdSz0o2p1EMXN+AA+8M9NgXREzkCqkkqSvuOT/q67rscffQpBQ+4yErZfPxjbTUIvFRknwMAjo/d VZZxfYLUSfq/rcb6pcGu4nOhAiuG5s2VfCqviQHthfIq0fkUvBMzxLbQm6TRS8Q1Atq781YEZtUB 5376rsEokgDDlz/SUEZkMIpjk4kVEQwgSOkv4MUxKKO2FCvwyZZ2A9Iqs98IrtsSHFDrAJKwDn6K t4/i6cLgWNcUa1+KS1CdUmRaBP9Sqg+MTar5cKrye+Ra0XXxu1UE/Jh0qI+A30CO78RXhOrVCfhf NP+RCkEUy4aifgj48tIQkA1+YJl8Tl/BaAZRa9Zr1GFdGctPqurO3XQ7EhJENDCcKjw0Lw/ZOJ1X u097ppMZkxtm0JUomIv6Liaq5pa3h9dMIhGJXrfqmT5aGx0WsgHyWbdmmA4FdsBUkuAFoIRccSRh CDDnNfMaV/+4ssBXWzHvo+SXMuJDYtRH5s+6iDzidFz63MyeEyjy2CRXH8IANbS19v8JGmwnHj+W 75Kk8lyr5KTict09gRJaZhLw7RMWUUpDI97Xh+qAqhtVBjxXwCZdnYewcwAA4XED0CIWpmfOiM+5 LzOxPD23jZg2ycsSOB5hrV71YrgAwgmeVGaVNfM/9yS/xMdomMZPm3XVVvhtos7kxog2N6kda1GN w/iC21JCBIhQrsEMxCMUe7d0dO7MCiPNZPVzMdeE1/SaQIv40SoSMuS5azNJfHTDBZfs+S/gKJHS Q6UNNDwoNlqfRwjKfHe0yogTwx7W2IBwC7NTmWaJVDS1eCcGa/siiRcUbQ7IIySoP+kllNVkpi51 7dMwiT/p+lEz0f6IS07KqUZMR4r3UqRQOhov/H8obGJNh0+zNAB4SyMqtbFumBk34txZ1VH5Mn8c RXP4p1iDXNOvd2w56tuntY9a1F/Z/YJBJl9a+VXaKjS92gTsGDSXGMmR0+qRnWN5kMYITeFyT03Q jBIN9nrDofjLYavlRYRTebZSBKLIcwICzO3f4Xn5Xv7siB/MfGCC7kLBaidkRvGJzy++TLoj0yBU 1MMNi85sYSDP9qcrg9dU1KPwBUWARtCa4HGnK1kBHbhzA+E5QdESCWpMlwTt6zDcW6dOIPstQSUx Zkp613RO4J+EXnt12aGdDGoF6CaNmcFSaDuM7MPpbT5xNFIVUoMhQ+C9ww6aBJmx7Jc1/mNX8bHW bih26cbUTp0MueTO4Ekjs1Oyu3zoxx6+aEDKrZPdSOIYT4BdTWwWVT6ph5juk1aCeirvJgTwsfr6 OsVspm71tRL7SvtzrJEjPCXxeuTcKUfUQkzyN+ljI3sHmcvc32i6TI2Y3OKLl/iu16nEeVf3plui zbOReTK5KTZ/V9Gq3Yth/JbVINhx98N3G0pwxUdMRIBbs9qpC3Wl5U3GSagZHzuYHUDkcpK7K6Bc dF14Ctm4TbQwdpZDVun+ky9WHSvAfK3yHLMrMgyTHCiA7PAEjjyay5CnvFqqwxC9NvT+cJ41Uqwt sKKNfmyNy8poFL3b6b80TTauzOMlRx82jElip2hg3AKNXFCmoA5PkF1skw3A1tz35nwPFXqi8RaU Pf8F8+4kTXb322o84V7NjfHxxAad15d8mBFe3BEzBxS3qaSYn4NNjbVL8Z+vRZghsk1bhUyvVRmz MZFBORr8L8WcHqnhKim5NWFqoPZEs7Bu6uMv0B26hs53qN6xRhZbBBFNDJ6/CRAVugJ4EhKgI5xz v6J4HHa8iDkBoejDXrbg8Xn2+m7wIdelLdvpqrx6oXForFpvfumSe0kcdy9IoQr9+xgWNMh6QjLv zbX3mhxRQt18fmzalPlnHNO59WGdbcMPfITucCA9pO60vuwOkBoAj4ncjxUxwUKU9jrTyo7VUQEK XtrpUNpCMsj2G0MLU6H8K7QTSj6QR/+NTk9u4pC7pG7rkj5nmPv1SjX04sRIWIzEA4uZMhSC0KvD y57VrcHpuz+TvFxsnxDuexawZvpoEkBkEl/GFc73i+M1NBqIxk6j4b4mtYA9bTKIOGWozUqavBfF Oxqc5BB9uBALHbBaOra5e5mv+PJnPnp88gKQPZ86KfWFYOvMnl866HDUuzP+BW4/bm+LLFiJEFxA KzSNJlOisaw5eLgeIixfUb9E+3VSyXwGk5J8LI3YvL84RMU+zICfvVGGvfin/IdnMG87eY+gyS7F ld9i7JUGhBMbPchw9s4lRnG1OnjSyaai+DBTih+Vr6hcEBbOeA4p0yaikhw/wKpbqV0JkjRDZmTA hJGoGbOXUHuW83T90VEGciWoRQLVea5g3kQrAJF6NBVyj+IM2+fLhOCfB2A/XxJqJd+g+UtsU9yl oMpmMxieXn8iby3qb7l1vNKu3d7roDMS9JdVE5pWDYJeAeVxtOUxp2q73V0ZNlhxpjUyMGBzyIZ4 QYEnSFyoxGXdmmxz1zHoKO4HrYc3t3a2Vkdmk7o8tr6YwzBQRewY0hQHN2lIAgB6cM22qzbXt/sH dS99gVkRjCs2UX9pvER8u/mnAZWFteT9RWZINt4/n04DkX82YYmfeUB6qjMZynoFBUN+enAUBV3J YM0PGZKHcEE8Of9XBXzyDHsbMI7EfdLz3i1JRd1RjPjlVvPZ2yHWuxJz/ltpg6fYfpvl9pYS8RQl VoJuvRHupACUMw6x+PNiVijvoJY+S2o+wfpILtNOJrQseg9alFd8XyCkSSdZCZ/5YblNdxdzsasu bU2lPNAlxjVsz8zudUPfNAJcKGa8o5sutm84XZuq1iyjVDX2lC0B7Tdl6ga+rGuPB7P34IQiQ8Gu TngTdxxwIePkrCw5KhJGp3218AisL6xy92UyP//VYw3aF0LB0AVOnQ5l/GZI77FiEWuDzwFFygA9 6l/LZJLqYPYRNwoEKKpGb+UY3qdEev7ueujeFEk8T2lcwpB3fYu0W5xSjz0OQXYgmE3H4z5Q4bYx 8933quHzGvux33LQKptXylvRNbOeonolLC1023ttX+y3CAe6iEW6mzyvka22x7FtxlVdnoa8x90k Nn19s7UCxFinq0L9/1xcIBTMM54HpqgcxoH2CXqE4h3UjYz6TlwP1uLhHIMyFiOh1lcM2Q0wWgl+ BiaQKSUIAtqLYQU18lMDk/mB0OtJU/3d50GNO8nhI/21kpFC/s1eXKDoABjXprrV5x23pcZgg024 A5zZO1csbwhQyn6WVSXn2QaWGNt5yLxoKcOELB0g/bSG157JkZLjre5L03HkXntXikayZbysb/Rg yP+Cavf/77jwaepr1blvY82QLUAbWA/8tni816aMBC85nzlVDxEnxkBWt5zbQe/z6YBX1scB9aFi L4izx6iyRJLobPOhhvS3qi3r8SH0BNc8v0wBfWt0vZiH3aeEnwOjD7JfJKzWupKXylWl6Ndk1/tt bCaJU8jePfclezM4B7qXlQ3LxSJRCoK/c4QCdDnhK7gu/cKFYkBkAFVm12jblb3lFlzG1gzW3QqU hHpjWhVUhE7MCxLQ3uytgqACl8OTJFkbQRfd77NCrFsEdw3AqO1y6JnfSUden5rfMgQZOnRB4ibq Z2FGaQLBN8KrlKBMCQc0c1NXKgZW1PgiFaYehiVtw3RAr3oaTooKEEPWacU7TQA9va1r37kRqYjr HjCKjhNQGhrLP8D3wlT5t4ExCMnCDiZLdGbVVTJA7qebfFcBfAT1IBlh6ESqRyMnBOQIZmRpQVoc D5BQVh55kZhYD9YH4zAVDnUohKzv9+P62cWnxtDOVwm4qFmEe9QUxKgeauH9MyAIWnhOF2nqWrJV ogUuUze3ffy+frrvjvNd90Nb+DP9f2ATcXwVc4pl30jVyJhBUlXES4K/AJg1JkfvsqFZtmijkkZP oCfgat4RBlH4l/hsdUvAXfj0heTKDbQ+tRQyx8OxVeYSQQqqbpHO+fI2bTOvlrpMVBjHBHpyg/5G 25syUadDDf18j78XH267rKru7tSne6+PY9EGtNgzFH//0iIQKGEVTNeH34+WUxmANodyGnhIMcJM YXNN4chXoHYXIz3u47A+DCMqtka/1APzCV1NRrMbY0Bp3VpHEY/vn/wFpAQipaL6r8dJfZgHPwq7 rNt/ZdNapOfjNhYU9lCFjYV4cLi5U+To2VnvQ8Byw1B347CcHKEUw1SXrbhCkYf850yB0iGfH3VR Ig433rlJNdscwap/6lck1YcB36cqJURNAcuQ68XveiqPJzOk6sSYzqQjEihClVZZXuq2Eq36vTKd TPQRM9NwnZJQxgDdT3sZZacZ9V6CKICtrZPBjOEnm0Ap7UGDLdB6UDoRGWmq6GMWWbx9Gv/vVdf/ Oxp9U2AIcup6CvfYqR3PAhzxl3OBSfi3sQ5CTJkm5eQDRaATYeV/dKEkWJlr1iKyiyBTaLjDsTgH TY5Fi/wEaHUng4b5G6tTOfVJlcqLbZqqfhc0jbKdrwpKDRQ4TdVfawRHrgm31elUjQCYwaabdJME liQXpy+5wNx+xdxVoZ5FCU39bpTE1KmqmSnOHxvE6uW8DQtq3yGK33T633DVweEVv/NtuygURzra +VdC0VJC2W8WtZ5Fsm3pR3AEYW1Zzx2vNkoeEiCH/rMtzjMXhiznJUkM5/eTom81lmJiKzdwB9Kj bHB1gWW3T2Qn1BbUQpgkfpgUytwgnhKYpxG2mIUCCn4BE95G4mnQYVQEBWnUXe87Sx4ZPGAEv5cV rjHzhPDnKPHtVBFw+vTbFTXk8V6u7YC6fxPnPGjtbc4aMivoVNi6PN0OBRA6XO1boZdCC0FPwnNz bdLelZmSYW253lsnXBBP5hrnO5BOvJQMICTwzAGFIQ8Cm+LAjaetr0XKjRDN0mh0JqToB3irLUEb OWTrZf83vqH2oEQP2njVUhUELKFYyTm60QwuG9pGuLd7J+hU/1BUTbKJN8rMyQU2Qk/khgUigm4s AIjuc/jILLdXqDmSHhXw/80v3Bvllhd6R+50th3avWDXRFwwtpiTW6CBrU08h0yn/dh0YoV/c48S EDCJRI3QnFUaENOImTE43B/APX8xh+OjSbBliv/niSVfsnMU3vbrow0fsOvmk3tyCMVCPsV/oAP2 Dt992IjLsSi3cyn59kP2z6n4AgfasRRHvR439JoseAarkCXLZ+/M5WBmQaghfptCYnGLy+GfnN3j 2ptp+0zJujrAyIYFRRaNKZk0ziwsmN4hVYq5J+Q+6pYHgXPEZi/R1jfQ6m3NBzVPGMvBeXvY9k5C WImfSJT+nvK639bhCT/PBIXLhPzd/U8cAXIMwZhM8Pwp8JKOCJ0exDYvzh+2qZsVb9Dts5qyqlxr aGIAJpP24Yu/TU2ZKk4GcKCHv5CxCABWFvPp12WAeZgkFp4pSFi4j/wgtnuB2RYtbMg/8XCAgsTo 9B6LvurqMDmRhBxKPeT9s1bYjl1X2Bps3PG7hAFl38D2vqZt+SjTGel2xwQfid7OuEd29ukRGPAt Jsn5AdxINPBEh0xWfaXO1tlJzRCdeJnxjdItWIL5Heygo+IFIUXXCvAJEJdeg1dHF+m0VKM+uxd2 r5leebm7cK19OLOuUV94D3iv3oic7du4Ci/sYw8J2f5Pl6tjnHcEy64Ys1Ds1ZcgbYf0JlTB7hFF wWRuhcWa1Gk6MdiK51lUUQ8vK1h8PPKFqIhZZ8mSMLzVrlCrpigQEXZUY+cgEtb1umGv+mhT/npH T1rV2i9iFMPKyodu3ZHOpubzkwvkcVU1ef2nAFs+4Q4sP2OCbIwcUaz5DJ/UgOLAZ+BhK6+NYok+ vLKgOZTaQNN7dJOZMYqMa35jKuUFd2iNBPRhkgE4CRm7SzM5zHhZhDPsq20A9jbGGP1jZKQMGOja qDKQxf8MdH+8a4HZoukFsNv7nU1VPyWhScgJfaJQ05kteZG9fO7+vptShHK+rnCQSddZAJlMpMap +iNN/IUZELuBYPk79Bqa+VAZkTZsw2P4eN9ewY9h0EL/RSxNNg58ulXZ1HDwMFrG4ddRzQLRPeh0 HFEipKlhLBL1t1lm0CVgYCrXDBsBQBw0aBd/XNdkLYr98pN0+rhtYXmAx0GwptAenxzrI8BXrHAj 1ypDDf/xFM6OvlfVuaEMGyhx5i+JafaM7U0k4u0ee916XQCXdaRbDioJyUg2ZAArQ5mZXK50rCvq sgbKF+mqGY4Jlyr8534DBiPVdlWBpGhCxKUaYovOsR61TZeLXOooLH3tgMHYAKMDAJPMnxv0AAWJ 66fHfckp+qfJdjXhihXrjUUOkINeax4bHGM6S8QfERggptAVhY4YWvnRQG+hUAAGLdsWKqgZ3bbl 8MS24P6MoSrQ9HBYJBMd9i1NGWBTUD8aTG+FQyyz4rZcxWV5vdK33/Zx98oQdaSHQ5Ozt0k5NjcY 0ly9ttbzw39Z8PfH4WzbPgwCbsJ/3A8+EW/mjNZ2wo/+XSPOf8PFayI67rAU+03C7lFQ/+V+ETOg LH3vH/5CNWr2h7/Zx4Mk/zvHeKcJNtqW4VFjikk+kTYCwDyjxzTGoNbWQfWGHFyTczSSrtA3Udf2 c7+B/fTEaLEs5eltekvQTX8njgWc0jISjLJrJajsCku/JYOzZNv/b/+dVXMQ2BmzhusCtMNzGYI0 EDVYxILTqhCHIjA6dgBDnAkqt97QpkZ0IbraVbWVBhyzk3VCeThnkA7LQUEAc4CSNDGFnWjd9W1s bad2O8LHPte7ohvbQEh2LhHLY6G5Z52gec76uB977RO3MmTRzdcqhDBETHOHhQmoJMgAHzoEEbGd cVRB8sjvKONocdLxt7eJqe/s9cX6e+41+92sOlPkniU8kor5UFezjOxgfCCESiyAeWvdeEGokiXk 8jdidqLUzlpWLOLhanBf7vb4V/laYuLAV/ztMXkdAoXeG+6B0iB/t0ulqgxcAvY31LVVPOOrPZqz wtKWnMDIZaSGJxcwtH2rWRpIpnC+m6rRu05YTIYiaJojbdANxDgpcvcZl0GoIM8fj7JOeAuwrXiO HQYqOiHa0dUJfVSFKCvHM6/YNU5DPAbR3voGbyHJICfJbrqaBsvO/+jwHhKG0MC03Kh8lANduzf2 EVDAClPHkDljXUD30zd8eOzUdyE+DSFStRtKdEgEnGEixmeNol+rwjAHv/QJd/ustvs8wkUVOwFb r3L1u4/eyXeaG3zZpDs1b8aCuw2TfnJcam6TK5OUI8qzSbafYtpbGrIi6cn/9K60ifrihhne9edg 0VZOeczL+gCr/rdAKLpgZL16HQA/pzE43BPouqIHLA0IKkfgSGTbKVBi0WJ33eXaBgTKdP4FOCBt H/sb6gcAkIFcHEPqx7xG2rOuyXJ1weaUFierRlA36FxtjRhFQPAkDDyyqpOSXq5m0PIPW+ZyOT2X 5oPGerW0BbQONp3Ft2e8HZ3KorayJx0TWmuIdubzs6ROpbf5XxJzuSIsc35SFGOlUyooR8TOfvgP efqRsitKehV0klC4TCE5PCTh2VpK660jIpdWkMOznzYMsy4EsS4YuApW29H/mDpfSlFOVXwxl58i oLHCBETt3ylFAqcXqAtxlYx0qYWX+Dalht1Ffdn8e6Zsk3dgeCliHS/NOttE4k5cYSNXyfl2sPph 1+CbA0uQDnjdWBJ0W1vNhusVrCrHtOPRvOsKLY+QYYnGJamZ2QaueSavhvshKhZOcQLa3Be/cuke TQKQsd8SQqwibBVUIjrMWFr1umldXgqLsaCZI8K1PqKCaQA1Non/3vgCvodNyj/6pGKRwsEDnG/o c18WTxk3rAaPU0xTdcr6IXtVNtWsNsYcQDUUKebR1r9fMMHH1ikQxBZP/5WimkbIQEmYVl/5YDM9 HKi9mBSPtpXzBuYqNk3KePQbmnVqnwCxJhomUrCY0EqquAz/Gigd2vCHuosKtm7NUSiPoxf5zjCP UpvyI25lzigiDOU8EPy0OVP9yflr+yBKZkHvrF9h2b6YXkj1YFu0T6wc45kimJMe2GXYPsYyewwJ lFlWRFs0kH4TJDs7fh696HG5eNbvveDVu6iIjvInxTjNFHv8mIW8jc8CQ3u2qt5vK0Y7C87TLDiU YU7Ym0Oik12+kfznbQnV13rrL/NS42W4JC3gtkbHtzLh/VBwc6uLMOIf2Z5NAdoR03foDNQbEqx9 /zv25Ka6QJXo7wAlLk1z9+sXu+pb424xvrzyKTXxKjPHHq+ObX1zxb1iRLZw1xYdLp5RsZAVHDOv vljoywroQIiJRvZZlkDDY48gAQ2yB0r7XNmJ9+fTkvW78lzVsD8ami/KTkPtkOAQ3wX6Kgtl6eWY AAftS7DjTbwdv0MK7KpWk9C90xLI1Qp1H2E80AR8Yh6PTIt0d4gOEYdHvRTbqnlny4FsRxKYtOA8 UGLBTKvVqkmcdmk1wjrzznnr6+xzQJgPBYCyorR/dwatE6wVvBrBoWa27GfRYn1QtSU9IjzpBArr +VQZYJLg7aroxQRRTMpj25yWBGdzyrqAyZgCk1XszZXsW4+EouWlH4iaWT/liK0VHkuU6eIlWntt dJdHbNyX/vqvfaqQZEu1W3h5e0dwJu20qCE64QDlrTxRq49hpGXnCInlfp6CjWfZbutls4mgIWJ4 LMX2P2o5erNQsXeJ9LgwD9vu69pcmgQrVoK4tm+ML5XPzLDbH4ULo8OagSM8JQfF6EKyexnTtSKb BBzUr0Mvn4LT/Gyo1YrAGgXce40M3nmicsKbjqNkeu0PLHa4cGbqPQ/ns7bER1OQEbuxK+bUdTyW vrO/UEPrVt7VdO4X/ljePnqZsqEyyRIr7cwviLIeatxKpdS5IWBkqSjkryLMQWJfgEnI2iSeNBh1 w9M9CjHQLKd7NxHungfmec/oSPBbgOgd544XaZ3D1CwyqWnHk6jlx/MWlYFyDyMoQTma22WkDkWh d28E3GRqMtIKXPOq9KQ6wV5CXfdv8VD/LRSlgNrp6awc2H9iRWSm7scy6d7i2F6pJBQ1uiVH2wiI adFC74OjwKOtBB2Uh/lAGRnvVvjkM0XLPeIjL3cTyTQwiVuwufwgsoR39djLj40OEqOTT+X/1h8q VePeCyEW45P7mgKTaIR344rgqpGDu3psQLpVdE9exWf7XsQJ5FgLUgdtNSRvOPwvLNMyk1xs8oJ0 v6fh90fPTpE8PFTD2TJ6RuOIMOsiILx87w4lo6gCznZeEkhy1NnarW2qJ9YVstzSww+V8mTwt4iH lej57Qb9XwBC7JdbyKMoeLZUuTv5azNbpmKXTTR4NYAaWRI7o4tuzWDgxCnZA0SyYq+0152hjdPe teU03FOPWNybn1oqxHoBO+E+YuQlGSxWLudTyrsRc7FE4MCPIofoF2XnHkwr8HHF0ZS2ZbebQGN5 EVC5/IYNfPelDmSKTJPW7Ahi8ay3AmrP58iMJCfsTmE7KlUTpnDEZ4lzDaDRqpXD88kPqJHuAR4b umxIVq8MKFzjgvz9t2wP+5UIvhpev1TqJaMCbB+WX6BUHEuU2oLy+/pRfIC0yA0mR7PPu7bOuS5c yAlAl7pDrMD8L5h3C2XAn5Zu8PWd/m0MBlyuPjZJvEWYnes05nQGoZo73tNteWBYEPXWANDX/k9q JFDua/S0+t7779p7Kr3WrJPXPshyg8UGkp+U0L219C4dxLXwu4595yNf0bWT4BB51iOzJJOL7SqO aJUR5DbvT72w9EZOddniL87m8IOdiNSCo44nqA01YVK5+zUEluT5z3J1fnglxRlMfTSxbCQpQ5Nn YEeZiCGROXTnqFGlB/8A7/nZpqwLT24J613b4nSKav7vhl25SDEYzq+hw4eQx5McTncN5E9POpwf U5GInb8Hd5h9dewNPe4x1DHQcuMoeUBNAB5w3AGbOfb0SHk+CYO1hFJuJM5KZWEYuC69/VD6uvqV z/xilPZlpzFDGUlJUqQghChGilX41s6q9Ab+9S7e9buC0BMx0pmwGJ5vTR57DrlJMsCCnTaJzXPl QCwBisowLF+ktRYqk+g+dkkKxsgtydZ9KLWnJfdIi9dcaEbMiX0OEMV2AN7RUk1epQ+Ac4h112mK sRZucukna3JJuDGNWAq5eBJb3/gztbS8vo24Mk5rpybKA2ghccoDkXn7q62yZn3uYVjYS0/Eqt8z 1nmdrBS6QsPGz6bIG8LDpksyuMNaPrvADuP7E2a3lKV45XKURWhsKaP0NhbXQaldMU7SfTvYD/kq rfjhrCOgQm63bKe7oguAGFiFVAZygIFajGNgHic+zYpzXj5iZH0240RP7o6pjDzAS27ZemvHG1Jp oJO9kCFB4xKBqxeA/lqBMfEOQ6WsM2TqU4Ir1cP2wKSe835ycI7qVmLpMozsWujmvDs58PU5EU/R 5zscZ+N06W/mvPNI8F6hjGH1klmPO5hCTJ6pjfUij27I/2EHsSZJ8VIBgPHBBa0Ru4WWr6xwS7P3 mEogZENIH5ai2WmYZDwcC++sGXgV29kxy2pulMGltnUCX1KF7vDFxJbTZlgAnsdBAp96b6aFoZsv +BvP8YYfmDrQbTtlYLQDX5ugUL0IhXaRYedg56G2FpkH2TkeDjXb6FPEM+ZSq9edqS2DU+bcNxRX O7IBh1qbM4B0zcflEHIJzJ2IQzsfOiOmcZqAMSTlbzF7bSAFiEyH2qvO3ET1ZSpkFl11ZMsKj9C2 wZ9xLZpTCPoJhkKaCMLuB1WuvHfFOcvA5BlKWRTVqXZM9jE6DcQaMp3A/S9QB4sgP4lGXHHkk+kq gJuWI+3L4sv+bquk5aQcARtXuxVwMlUNgbZ38Bm7abQ0y41kGr+hOhyxYiLelh3ATgvkBEV90BvB jvM4SErEhdIYdoVj8eQnlvijkEYp+vRx42cz2D76KdFZqrvWuP2wyLDOL9+tQFPawdpAYqABlFMD 1vmuOLkCNCal+BdgI6NIb4IIb87QliXS5WLMdajLckMc2PuC0dhuUhSYKkE8+SoSRUYogTbUJiph 9Sw3RvofwJ8f4ZqMMlm6FT+sn2Nr5iIiGJHNo0GAYNi0DK2uY2ycUa6aMPYYxV6/HNBGUDk03LuS j9UO9uPYJoBZt9Ht3KLmjfC2ieuAwrAxUiK35hFxW7N9NuBJO2TYEVA5NQo6sLoOIggn9pLKwEJj NCcG57fHOJr8KRaZ42XktvIe0/Ml97vpXDvm0hj4YjeNUQFTeKbxlRvT+AH+mnjFcz6rm5rQcv6b iAXEuE6/1fMfRCWVixHKBWWgMkEfc3ViNGaGeEBOip6Uw1c3CGMkSaMdpoYVG6dsfOLV9zn2dPs4 pRGg3YzNmLBSuDrr7QlfpmBibBXGv8PoXTO7OWQ/RnJpzHkrzgPfZXP+XVUDeScqfGzKDxyJ0xJi 772C6K9yFfuGisCFyOUFK54WaPcafFWzmBxGSQCZv9OGJtYdQHVq0dGSt5aWPpOzMrTXG04lqELL oeWhPbT9OCN1/Bbv1M/0dqakw1U7jmZB5z1nVsigx9nivty/J7MrsKt09z7I7ddFpO4qNs6RF4bp lBUSraiJbOHsIr6ZKa5NLtJrtojJSzuzI7SEzk/qw8HNYLXOeqIsrX+oKbCFBRKRNXU3lKG7l7lD oroVCmcMP/jLqAZUCWXGiRtqrso2mczqWpLvHf1ih5YnXiEh+yQX1EH0AbbPob76AXGA0zs+IS3p 5divXiJkp1dSw27x+To+AKva5fjS/y5QjNu82rng8rDKheuoIFyJG+kPAkliCCKAbRgOSN1Vt/dD cXrZ6/ZGJrUGGtc0mzcLvSAY47b6SMJGA58F9DFyFFbRHXGrqBnWJoAaeeI1S21EXQYbEKyhJVOg e5PgthfeoXhfs2LUJaeZTOOVZoGxAOTrrCVwhM0wge5ZsigRDWhkoddKJkpr5G+ucvTJmn3Nb0bI dBEsZuI/6Pz494Yao26XHZf3lp6lVu1xHMXvpDGNBo9de4SvAvU+/N+M63enKrA01DoGaDJ4Xrsb 79ciSQOSaU722/vVEjJe9VVX58dGQwYsVXJaJjPadAk7soIvUSlZsAIt9ctvT2QHQG9etzfRaGEK +OzA38I013dFPi67kqnouAy58JWE2Oy0tqmJBEobFhiovCp/F6e0sdo/oCfWZfit0PTZHWRV+Dz3 yWlxliyAUtK0c1+jIjoj92+AS83eTdkVUAMGojMTJ4yWdMP+035BIxP8qeP3X4HuPyJboBWDXBlz jXpkYgX3VWUcvOw7M0jFSxe/EwMnoenM4QtvMHqIGLpnKSZxnQ8TpQ5JVbCDmcqqrpRlA5B3XVsC nGQD3o1GWFrJKidw9NgzYASO8ZTFr/pRtGwY8gte/rmIhG/+DISPpK8ntRXiJOR6JBTrZekEQ+0a 8BLwn37j5OIjY60bcO6iCVsf3rlTbcDouPCJLLEjIN9bd5CkK3tf0pff6f917VbCeXSJHq/dkRad ZPl8SnmDMXUApleMd7+tSeFrqKNHgQG1TRAKmYQ+dNwXsjPhPJfK6whpKRGmM4R8Eb+1Vca+n6G0 IRSKhwCyuIEA3DpnXWzTWZXBSsy+dYlP8+XYQ6irq/rT2BejNOkvccxtdbMjD66IJ7LjupCf84in IWeKS6QtxyqGVBjN5uGnR7KofKndtX9l+9AoWIVUtx1ueV57tPHx3xQ3GmAq5VjT5IEFxZ5xX5gj euSz7JnLYymuSSPIdCH6VZK98LneaO5gBv/3Bo9/IsaEPxbhRA91KS3STIYyc3B8xlU+/Ib4dC0k mv27Mkw+Grx02whdQIA9bz+am84ZyWNpxGh9WL+td7MAuuwaSuXk5PYd9wl4yhoWvPDPh4lCHdhO XjM/Gh82FTCY2pX3w6r1gG8YO5Oe0aJBb9uyjVO7qX++NGC2LQH8HTAHfE029uJ+Be0kzxTEOjn8 n/mHOcF1WqZ0uJEQasMbhFzVirDt+CC6hok1A9fkt3F7YtpmrcCSl38RfqIPSxm0krB2Ee9HXhFM 5vmXIybqbndmYoVVetHqL7BmbGd8paD+koDq+U7kSiIJMiJ9RbU9zA6rHTXF7cJn5qkEpy7NRw7Q D05u/viTkheI/C6zntQbGuWelp/tp/cEb/jE/DpfVejHi/jPjx/PWIBHVP39MIEs4kFNKmAfk6Ox JOYMD9EC87L75kwA6N7fmryt9xZnnMffpH1hJSoSDJjAQXNWxWC4FT2Meze0a+f9d1wvzzhrRmKw fPa7kL17nCEBm7Rfizi3p/mw63nyXq904eHdxLhfzLpzmCpBzM1HJD8QBYSnBPzaDh4rNdSV/3G5 lMhmRsF4ocJ68w2n9oMqAklokacxcXEx0EsfsdCRnGYtxNgediQsiR9Pgv84p9dfi/c/xUB7V/ES jYqn73OpoWhH5hchqhAotTzjdfQ5bup7N+AzO6Bd4jHxbZ5BWG8oR+7kA3/Wu+TVyRAshzd3bj+M fsHOoONd6fmZlylIyqEChZbcSfrByKKkOAKYZ1/NABoipAHB3pWWHAL6vqaldDXWpvGMIWDV20ov hMWLZnPsrI/mi2znWGDr8vDgkKwXHvAkHLRzYvdM2UozBAxjFbfIsUcfN62R/uuBr2id7RsQManZ g3NOchgGlhUTm7b261+F76hdedCh6pG4QmPRIm9HVf2NdpAx623LOr6pSyvpVB4sgq08e4LvmRGE cTqiKx+s0fOVFSq+vY8k+FCagQOoZYlxTXw/Tr8E19zUejtUJDlZfODGFKIqDcyP7I2L9wS9AnAe WWlL2tz/4+ZZnfG2xmhG5J6rvnWDPJsbXTRuIxNrargrmwRA9OTbPlIO35izFZItIvDt/wMjQQ9y yopoQCFN9DjdfSrxf4aqErSTvqsZj7gKAzsNz8BxxjULzD87rHTCjxlMx69S70cRTAUa2I/OzFWv 9BfBmK4icuGg1MmveJ850PqQBjMnvESkVVwCGyUXFvC9iqENZk5xSCIPRpCeegj8urGUiiqqzEpb y7hpPMqY71DVqjD0hFcNe/xzUEkb+BzY8i6b89gJHqsaXvg6resWG8/0VgVimM3m8wdQPOjN6g3k 2b5xqeYsph54pm7YbHd4eRJ9Ag5ME47dMWgXfFD384Nx6NOjuL53wJLQEv7sUONd4YmZtkTX4Kgj t+LNgL6MQ1E9KPeQJC4+PGtCE1Va97iYTX+7eObn5Qo4rbwfppnqbYWdzKjIvDYfxOkm04Hlhhnb SiS9oVY5A2qiSZvbMKnC6zQwG8JzMMT9d+wEiGATtawD5DgUeF7SIIaf/eqcX/Vxchl4xlMop5mc k1mk2d2hCBpd1vXxESzB+hwa9BDBhs61VF3mSJDiWGH5B95pO4j8Ik7AHTXTbVm2+86tGEoFeHlX U4mOE41ecS+s7UuY1n/F8feeDdibPa7Y/VmqFwQQakALjCWT2TLeEhicpwL7hwE6D3xmmBXcIGQT HuAPrmRvZYPLqHLuG729P+QDLd8ZT9slWZmjAB1CEpHEqvOyxvXzDCH0fXZDPlGBI53SQUabN3Lv +so2XfpXolK1Z8xuIaqNkrBRfYlTtvbVxJhBq2X573PYC1c9CPWkZm5af/yZLa1s8AHwV4SnSdWA TQj1Ua5a8d/bxKx3WPzeF9cBmaj+qbByfhdiib/ILYsMWKF23g8C3p+u+s38wIuIkatE40POwmz4 vM4lWj1Z25eILYiOX+0evf2i7DdNSKYDlsYWUD47TFIVZf/hah0DIWswpNKou8ZbE5qwYDVWv+JX dcfblumw9mj4TUa/ZQIaxF/RyUhbcBmfTlsjw0gL27WfqJFuwj0PWjcF8Dliq2i9pIE3L90G0Lsi MVzXuvoX/jJ+zLFWuAEYpa1dqvhfj9WYXNu8f2p5k+cCXRpTDLIuXa/f8aC1Bo563t7xedJ3SXTC qnHUiy9SA0eMCQTLHTYJmQmjTvGYkaXHViVpIYkf3w6bsy6Oe/5s4Mi+duFduSF7CvCIzpKJXR6/ 5q3NzkruDsTEYZSL+DQ/gn36xthaQAVeQFM+7GVNCItb27SbWBr1uy3NisYWW2J7csOAaoXagPrQ hdYiZ3zG4RTk4yYtrBeUGKOxoM5usCraMTtpk8eepeRH4UNIWkQkE9pX0Vc5CBRTvw71nrulrl/1 M/cxyxgGzHp2h97f6iBwU6+a2JLXeIfFZwQYMYJTpCHojtHcZHguALS55r4dM1XO8FBHDq75O3kG oiGEiHxdIYQWo6xaSth41MtLfJARMFXk5Naz2k+V/drZHwoHIuDB7xIfOp9t5KlsDPG8lVXl6yvj sH64EvIKoDgRTP7TvK15/37l4ekyV2glP8kkqhoEvOmuetGxTSuc7fJDN7HVxNUd7BHHT6a7pPMk EVEcI8/PeIEJdG3NNbtNENseihNB1tfq92+gQn3lXc65W92KUeHZsMZhHCkf3WIw4bHev/NzAKm7 gZxHGscjvhGPreEEViMTU7dNrbEn26JJwwy8D6FNATLqpNfHUdzjkR1d9Khayi2sTlUbjxkH+CP/ Tp9yoxIr8DApT2O+VwLPCSjiKP1AXnYpOcWNtOHhwWd91aLDtkZ7m5Pm0jDtDluVvSX1p7eH4pnM dOZnKTgeNdKaY1CHO7rXe5/p8CMyq6Gm3Tso6TjyIT4YzlmfjjZW1HAJCllZkZhOAoCOhLO1bSD3 LoE+5dHXwKF/63xiDNY/2xy/rHIFRA82xtlgMKm5l9JTLaAY1WjL5NP4g2IiDCA8ZWXOulLZzUm/ IGG13gFEprr2VHLvhSbHbWD4ygPjm886/Udw3ynltKE9tJLtjV91H7ccCt2sSuUOQnWJhLhS2t8f 5j8k9TrWhQebaIC4P8Z2eHy03QmkowXj2MPMtL5tpLJ+a9u5q2q//rtJ1BRusxoRSaBJetejy5xP pkOLchzyrcC/PkLUzTb00jOnxuCSdjqvBimZTHo8NSPekiO6HG/tNyit7BCCvN1soe1ulU1wui0F gLg4bKHai1TNlM2mi89gSzwKn3MPLp4KAc3Hql28YIV84K9BLj72TAhJY81TojWnA2nAPk++M+PX EenhtXC5Y5S155AvKW42gWWdDzwu7P9yxeX58EwpTlXQmdLkb/g6qg01yLSFvCIKVCguGKviGmek /E3oLKYRl6zuZClaaPVB7nabTIdQ3BMSrAc7eBJxVR4GpLH/7nCa3Ik6+xaimRqJYosqk4cpmZkd FEWNmJr0wjZ7tE4kZaparl/m4wXJM4KhMWQMMXNfvyzrVXF3E6WeurQMfwDOPwaxtfFTWkO4yF+h nW0kw7bI36EWV/n8Wz5HE0Hla7CtI1C8/GOUXcm+CJ9+q/82D0GShDqoH/1pJ3WpnUDs3YfvhSbG zfNA8SepS5S5YL7ffYQIKp459hqM1qGu5cJxo3WNYpcSoloH03+ZmFGh4eoDdRcDQxNrnVHr6Tx1 C6fIJEDb+QzD3XKHf8rZKEsG+hGFnLssSkiGcH4YBWKVoAJx3fmXG4a3dR9AZkPaT4mY2F5VsVXu Xx/Sm1A5tfGDvM8WjohXKZd5aJ9irQ+IRhtgYvARZwyUyXDFrc6Vky2UoOZmcc8tK/9B+DOLFbN/ IZhdXCozrhECaqDeAzYRgGy/JksagQbDZecrGn9OrNfDy6T2tivJjo/nqEgxcbyyAuzp2c0hOXKp ZplAOlQZ3+gt+Xco/fALVYlQYz5BiQdz1LTJAUiUCd4yRu0EpEUMGwoHs5UlG0E1VXc8bOkZ8f+8 OH0lq3jtSNK0ecwfTFyKrsj/GDYf2OxaD+hl9bQMNpg8wY0EYpsgoLkUyP+0qjtR0pTco85cFeoZ +6ly0LPtafxsV/m97HaYK4kTCx6nhaZN7w+/9CDzlSUY/wq5EFJne0smKlQNM+62tALf8U39DprY ul+kMb4aZy6PHXnIgLAR/K23YO86OvpN2SzS2L5qGPjp9cGlhtopwkmFFxksnSHt+thVTHNlXWb4 DcRIajHbsoLnZBmYUs8DSzHL9uqgLxh9NQlr8F5u6fQCZdCryxyBzSFcWHzG6UBhRnNAIbXri611 lVrpsIZl3KhbPwcvyp2zuqrmshATIsWOZiG2BDIj+ltOBPulmih8kScZ+XHXRjeDczrc7KPKyJrh Sc/P5Ws1OuV9KaqLOip7GG2lkCeHGBZXWm9LN0u8kl1ebUHK8sRb9x4DqCjgMlmuyIMgQrg1v4s7 ilLL4qxzdQAwkdqgBf2hziWVzH448g2IxPbb9EeuE71NB4MPuqPsNjrUCH67RH0fm4u9pN/TICgm zp8mweN48nO425q48ZVZfxXegLoVNKB1JPiCVVAN3U/TQJW9dweq5uy9/Lv4dsgfeZLmJlehA/ih U+03/eLeAlLn+T7uymY2SygoRYkNcvphGuOmjz95CvMASFfOMwBsmFkOdBnFoZ/bJTa4paH4I1p8 uq3LHz6pMMERqGlNlNa5bg7hQr+64hHuTvzYjFPAJhYLknj4ojE0nhEhgHXs79yMMRGMCYQQP3YS 3tHgEOn4D0KTvMN+JMHep2+ONxuFd5FAFN8P666GEmEspx15GnRJEvkGl+I9In5tWgyUsfrN71cm Sas1rNqUtSK0cehxsQ3ciVfY6XMGiZceISr5TZWgqvdwrM1M1auAYsEre6lmE/tLiUQkjf9UMejB TgUxoJqFjnGwubaDvMa6ynBZXc7ThOAr8HAFbSU9oB4wwZd/Y1gkQdxZ/Rqn1TglZ0CK4Z1ksawp l5e61d6kItP8jftbHMSs7GrD1pEINmgj4f00R+shYf2oWAukFCKfgJKcrYyA5EIT9Mui2C2UdcUI s+s3PBGm8m3H8sWYWL0eYpvhDv7Hp8+SuvksmWsMBWQL0xEJTqe871Di5n4qR/rCRsxTn5QjyvJC A+A90kQSg4Kt8Tw1bZe1ZHOs0lRjqJ2nNAZBG16Di0NIAJa9COa83AqlIAgiOECpEdfu5tipfvqb wFq1UL5/Bq2MSHVgtOu6wSwv2NyXER6DzUZMvh7egcKeQTKYw+Irro5NCpRDToIkJF/2h6cEClkB 91UEgkMxluFOt+0sdN7h2OMHjAVPGR7gfmLe7EDlPevGpsZdtaW1RRTizdI0afFW3d7KqYTIwP4Q rr05wvSBltWRkr6IYYWMCRLne1c9VTqGZi7pkYOIH7fJ1mDMApdv30gypD6RlswivOcY7aFbXS8f SIY6wb2wpFc+aNcopfYejt2/jElqnmirA8UstSSLELMqPGJnyjGcxPmfmwwrIQKmOD/LDMsOvf9d bK8QvgzHS1i3lTtQQWoJO97m/BQpyz1eoCIQo9lWM4AQoeaWMdGAagLsUZPF6N9jW/HMOfXtj7Og 3p35ac8T9Tn0Hh0rOh/ugcTgO3G31egXhoBqkCMCvjQkAFXDN9h3WKSMfd0rgL6l3ucpHE7ihRd6 xYA/M1F9SJgyqhwNG/jgNAHuTcNia98CtPYREocnX1Ci0ZN6mXUAf+srfd3gxgqX+ohBFs+VPX31 IjWRzb8yhMmIiCnpxwAq7UywKRblC/ntU1bZPD/iKL1r6dRa0jXA7jbnheSUO0BYwkkF1wSu1Nt8 hc1ng5AszXk0AFKr1KLLjU0vFhJXOgBsFJEkByNyijCowhcbIaCJwTSnbjAgcC70aw84ArvIG/CL AHKhlhLNbQDojSmH/uhwBwg90JtI5iY/shCGhgOEWCqR6LK7VW4vwT/DtML/8WJ6in7AytrVuuud EfyYcgZ6mGJy9G61/oY86cgWPuVZMe0zr4C30vhyfLmwvaMyR6zSMb5kCy0Wp7m1RnbA3s4mhCz7 ehXkULlukv4oiFtD6oOlyiywoK66msUVyoq895VWosWDnuix/WAGzorY9tHJ2UFM5BHQb9//g2k2 9kqYJ7iBiJiJiNd0x1t9sKEKfmO11twIjaQT0vlgWcMUD9XzzeBStsHR6zd6ryvmkAwAeJs0rwll MoVs59v8pXBjhLBSM+Y5qAOPDrg1ODi1gZ3dMt38u+q/7wRAZGhzIPhqmhJWrXmR+YkPI1r+F+E2 qYD9hmb7sUIcYJmB5U0GwzVQS8IboXk10U5m0ji8PgjvLRXhvDkntg2QhgBI2LB9B0fNplZflYUj telcok9G68Yn9zHql0xSXXdgy66inCPZB+dO11zIW3zZ1IMDiRGk5EaYUcnT7N1GGRhbJCn0WHCj vmNKPFnkxXJtySYhL7NgeZCt7R5iMNx95tKX4fsX4rec6T07XLp0o5tRSfkQSUmyJ2Wxvd1bkcjG WL/mtoSRDc4JlUV/zdpeZr5kDz79hqW8dzLHH/D6tYcz82J1WAE1Wxaw2EOWnL4bz7RbvP6l1M8B 3mOM1amoLB3fFzAmPCtd5xsMVCsssuTE5LaAx8QKK2TXNx0872ixwPNrUGx81dSFdMgu4wZ4bQ5d tU2ADoMlp3MO81aN1YgImta+iIff1RiAgCw332yL5PN9/iV9kDg+NG/HtahiwZHdkU0t9t9/9GSZ Japi9VzyVtjL6eBoPebLApQNC0Ez3khO1Tp7KQtfmuP2T+HPH34HvKA7XQR+Y2rorVEEPa4o07FJ kD3DEa0VOprY6WQlfsuoXtoGFuUQ8VpxuZHcK5Cyb9WhZCVb3qUJFNNrdoTF+U+kwHlYrdtaAY8X oArSGNFE5yoOj7LL96nnCwQviC/3UdmbBXclHl3E5Kap5bAysz/TvpYASWtIcRH+iErbHkLzYqBU PvmhiHbQpyb9iSk4N/UZGttOMg6DjlXp18j/CLiZwwI1gBRQY/X4eex+s+un90uy+XM6+Ok8tHa3 SYlZFK7thLPd0THw2bH41bb/S6C9pkIiMWata81+QXtvK+ShvE1Hf+B78/Ttvr8flaos+cHJ9qTL EooCPHUQK2Z1TnH36zXflQ/QsijOeg1rpkGVIKObBL/zC7mMR+Fu7uNY3KLfCBRlqRnePqHpmy9V kq3l98X8AbgfI/C8sq/gZ+lXf3beDnFOkUgpblJtI/atEsG6bKJduMHxUFWbSnVRQxSYEHUVXg1c u6RdpzTVb4R5WhPWAU0nhoCGoC4px9XFgoS4my1VpuzM7V/j3Gv0V4/eJxcu/UU00TtCwyBty6u0 2kwvBmal6Z+bM9MupRld7CWFgphAtn6XlKCPYtcIA9u5HTRDdWirFwcZl4Bt5KRQpo9PjczMn7Hg jK5VM8B4aDONS9fVj8XR8feu4VjUa6JY4Bjeb/KIJzfpBxqaEz8euLDOHg3W8sHB6CdqalBIBzbd xU7pFeKeC5OYViBxptWI4JC0R1nIeyg1B3n/rMbmi3wbJ4NxqGHsZNst+W+N0ftzI6jmsRII+Vfo hmVFHjRe5EnSJNALdAtq08S5JCnTpDd07575YVgNT2QMcdctHYj3IhQhd4YqZpGiSCk1JtRrY/w5 mibxSbHyk5A+G0+FCT87rUj939MAaeYrk8kksEfbDb8CIJkUSwFF2H5xUeBlLc8n4eou3NuE5bNB S8rPrdWln2uY8lZVAiJ9XbVRscQXjW3x0LSRDdRGLxWq9gPrz32tCLXn56FpRUfnqJwMDKXHhgba msYJrvZTrg/0uLNFGJUodDfT62+s+Kd9XWDWpDTnh6EthE2HQ4STuQvq5Zj/y0Dkjj4bu2fbivna OXpHgBxmkCsqw6eCjY4qpcyoRvBKAXhtx/9jP/hzxjIzRDtHyRNiIW1zj7WevcsMovQIY6TeBIQ+ QLhGWtQHYiXvN18iBnGeOCGF9u8NM+68ClXA+AXhJ3A5hMbGV6I8ZtB/DFhzjq+gO93ocVrsvhdz pEIDggm8EkqwF3xKMv8mArY/jrPirc42ROohur03ZMJWHMtmwcPJeN2frMFJN7XHaBbmYPiP/R1u cNIhKiq4iOac+1Cy0qgcsrHh8NGhehuE5Uxg+t4hGZd8U3HSFmb1SHWk9y+RqwUlgl1yUbmi+ID/ oooBr0MH7dPV6bOQ4EspKFtmlJ8DLnU+klW/m6DDGSQpcx1tWoTIMFxWzbu4gcZF6L/CPMqW2Br6 racgQcg/QZ6W3krjGS996BHwk5X6GYxGDNcRrm2gEHlxaYnyoXeNq3+7zZWU8mwuFNttNFqOoEYa lCK4x7SqU+jRl3R9sQ3jG/BN/6NO6LgxJCJGqXzK1d71lvxodgvqDTl4f0hRTEgUzIOSC3nEvgYq uRLFdACqnreaTAwLA+NaxAA9cwSQKmEcU+BZPmC6v84HL2hG6UwIe0McrSK+zlWG+9ynGs3hUenX 3tznj9/w3YoiD3pjpuEsLJx0xtqanrhNeS4ravOl7AkdwuNeQqy568JcytyiO28+oNoTAcZiZ8n3 hWPDPardNllMo7v6e+yxRrkdOrV59mTboXdg2LgiRmvABNnzPNNryuxgecBCGRtuYiSobPhVeSdu V4ZauPXdSKkrZw05izGK9+B2h6+MgzECpe8qvEzmEEpasxM3nkThDyp13vZwFxhcSb1B1O2Rlqy2 TYCKIbZ1aqGgVaG0nAF9XMi43KTZgdYO287h8eZaiv+ZOLSR1PycSgJkJ1ucFxH8DqA9jyDGZ565 B6ZuyN/5PKqnDUYunfFNxFLF67fBE2F5CfdNPCd42uW5LQDjAEPqNEpurypc3jLFCuXQ7RkjEPgL RHjCbF0SyIbols/Lz1Di5tMvra5N029aTnnuQ0m9KXeAouIIgvLuuwe98BKnhToLAH0Jhw+EfwfF SqsagYlQTPaxM/6lOs56/6WtO8dTSDkOeNK0/hvHhVMfAC3c4IlSQ+6WI0bH0320okJTUR22/Skx 0CSCQHLjgi1OKbed0H/ReaJl4QPsfk7ncU0ClmmW4Lo2myJTilDxZjkYDYWnYKwADigODe88IM2Y gxwpVneJ228sJeDPCtH2miOgubzU/73hJHp0Lv/7Son92tUPLMMOp8MIXxvzqHVt2LKE2Wlrp45e Q1dgOeSLv7kiQ/xRKxYWypBU1wrCGDWjyEPNbQf6wHZcXJx8aCDqNUqp4CRuO+AXflVjnFXFEohY vDq2+7Wc03amu26FNSxZyJ8kxa2kJ/D13LwN1QfOJOmKPkSqEnqmDMnXpEmFEmfCack/Yrz0qmLA KBeFhxVchybr5CJ58nJM7eXUD2EWrCHYHzFCk2IcYN7D/T0+w1l3oBe4oW9JndfoTnIdICbboxK0 yRlsDvY1Xz6yHMD4PiwWshxwiPWTCRTqgAt028fDujnyJ3O/D3RFNFDM0Zvr+X09Mr1aY8TqCQPB DRgeA2p54KEekhhaUDcpkpdQ1bbNqbfnSEBgC4vTgLEkNWHhiNkxWyhreM7tQKNK6bFjIzRtNahE mmBbRUz8K/b40bkKkKQAy2hbaBfVeHyqC4t7TE7iQUh+q7VIUaKk5CPPmX33dznueNiLvAcsYMJp Ho5qcBjYxv5IYNENfWwitOf9V5KPJk9uHKK7nqbLnt8GB2EInM3R+7w43AyLzBXR4+2y/4L8Wdki y2SP1KATPsqXr15bjSQIrfZfR99Pc7cOO1z1UqfgWS0C15jdAnbecpwGBwdoGPN9PFgZCVTyHOmr iKOquXhvlCIuGdKe8pcPvzptsMcOFh2QGXrZeTVJdTYU3QyJNQIhKUO9VnP/ta2YP2RpO5Yi3dL/ SV+9yeBJA2V6Ubot0nf3Fg2OUkqXl6vwP9BPSlO3DRNrjkiNQ+Z5gRr62pPeIdG+2PtbT/cn0eIF mBnnBZQR8BY1lOESExVTN4F211WI4XoemCaR0tlAcDvtdLij21Mvm9VoVmE7X++35rlIeh58OaZP b6nWtbfGCaCC5CJANj67XCWiQ4jsMyBgdxKKhO6zs2hqoi7okOKwghPWMpZIAleMVOCL0hTeeHNa vt//gBDQU/9y9UItWGdzechTIs9VKZOvUe6OQX7/NfC0B0n+WApNDbPWAqXYkdoUXwYT3gjsKazH jdIAQgvOBp89YpvzpZLGiLVRl4Ewk9Dmvh9Lz0CBaXup0zGPDuEEDnBQabDY9TB0F5n+YEaDxPBu h7s6G0uErnqMCsv3PznzKVcukXkMVUGgYTlMi2/3IMm29qhmTp+UPijLCvsobHBztPPUW8U3QOCJ GPxTCdJuL4j5DmonWSuxcAAxvyBLHtPcztYXis4NS88FKWF9VRpkdgZ6wOamyNB4TfMIOjNfFwPg NtJ4pj+p9KDSYGuF40Pws8ojG7YzwWiVksfTK7qWReoprYYebYWpc3DRz8EAqJ/IbL7JIhvtSmKM 6+dJqbx7+MF9xYhn8pqCCMBQVu8cX25zez9I1RbKPYKOxvYj65k+cphgfiAWEqyRbJXyMg/MtO7e 0DIwnaC4UeO/EnPqoCeGX2ofmVSVlmZ1k8kTogFWLK3u0b4o02TK6A2GG70WlgF5pgpur9NUamNE jPg+mwOlEv6wg7yjYoY/a/3JSdZsAF7Kav1VGzifDI9SVRGd2sVsuLZQxf03UB1aIW5sH9mgNBOC 61MK5pvImGw21rwnefxem/hFWOpBQMEFU3VHVkRHEl/Ur7X7/5IiKor8c9qS89SMN19e+KNBRGUy wX45Udh1xH6tP/oCKqm/FzTkmd9Z0WURiC4OK/kG/XdfSYywwpOL6Gu7W2Ar9v83QV2cKh/QHDT7 0mIWVsosCOsrABfGQXNfQipLCtzGyFoAYYBbqK7aDuXi88IF/gYCiO0ye+w2wGOf8QsBCyKQsdML mvrIRx8FbPaRneB1i54jWRDVXVjEONLmP/TgFHIA6J0Eflnbro/5RQ+NBhD+QbiXX8yTk0rycpfa 6yfNOxQt+qb+dDpGTG2eafqNt8k63VpZhpvb4mOSAVBMxX5D/CRoZwJEAuWhN3NIxUAahwt31JN9 JZkfXAwcoXXi7cstQ58b2wtS4bFTqhQijvaCNBdyYcPulI17BdhT1D5i+yitWMi9y1zpI3CaiNAi Ki4zR1vcoXCYZoRKRNljqtMRuW9KHB5SwxwCSx6/hXcXiNzN4x71+LLaoTLtcPLBX0De/OV1Q17P XnfO9XW2rXmpXpR+s/+DEd19JCEhjdkgNrxceJ7IyluDB3+l7L+dtptH0XHHaVR5ZyQsI2tEY2+u lDaWj0VHGlBGhawKPtUBkqgotRcLnSVIt+9KMNt8JorP0aAot/zKxatWMCmMV0Aq+uMrkvHoqScS SVI8bpV/+9Pi0+Sl9nOYDW+qCjiwkCRK9YrvccGG2m7uBlBtg9i3lo5acZ6ro7h4+7YN5USFTVQs ifR6B9qcPVTyQTyzBY3dyxhB1/XaHZWh9RScPgQnAQXGmBVZ9lf4gQ3SiM8+vgsspxSzIdogQyvZ KL4AdPw9+o0Za9SDIiuGjf/l7KTyik1j+odu6XwBa80xo9oU2L8kkKKi3/i9xFZxqWnY8Vkd6Qjb IeZZoNx5o9ulcAGQCP/8oYTD1K9nb9E6+C9Io+3n+C3p98dKSoEQifawkKo4BmaDAtQVSVcO8roz GxTXNDkwy8ioLZrAtUggTbKaThOtztMFbQ0z9NVJ+60hD6SxtvGvDO/GkY+t+R9OQfYx9Zyl1tEn lzn0JjEBhdMSFzvp7eazePAI6+vlfxTK5mfLgHGcTDm2G62OkS8Pw/tm3EVxwH4hYL33LzcYXkhG m/vnvZwOtPDJp7NlQewWeeL/OFXoMao7XpUy+A/9kQoA31UDUxGY8ui5oc7Y/tsXTP2pug7qbuF4 uQmxq5BwVozm/2BfsLDLDf8sYQeVCbiOWtwpkIj1YW65+q9P5+u+4LGKZQTQhi8OqkEu5ZPa/prV Qu3oOYOCyBUBt+UyvL0jLxpXNlJNTHT50XTZlWXqnKDh0MoaJtGX7AYpWS+OKQIDaIJJGTLp7f5u L0v6iYlMTi81gHGuiKyjSwrZNpPnIIVXUNVze1ZWrLMix1MS4SSLFa61ppGOiTVraHJyM0kxEJcd ksN3XoW6PoKixuW8XD/MFzI7NHdwKr0rVwkZdVAxRl6s0ozYttRCS0o0jx3cuF4xXCfj/dcZbnWN uqizlo1xG6T12VxH8BF8hF/I4rGXVMWDrRePPL5mYSeY8VY0QwtskCLGIeAYlDpvQi4jm0+e9Igx dbVQZd9fkatb1/xyr9LBcswdKoGB7H3Pkt7KfjufhFNbiAh4eb+enIMGJDTnK14Gh5yECrbTxjTy xOXlRWiy1cfyWiBk2ViS9Rxs4f/xYFZtVTGiJ7iR+jJA83kO2WJPx0/VYmZ0ebBZqWnUfnT60Eye 84QAxKiuLm87tucnIKTDdQrN5OAIoju7b64QclT85n+UfnYZzeP3JNzB748lfFwpg0M8Rm5Qph77 M64df9vIrKiC7sx37IPwyceMj4EW1stUwJi8UOaKvnLpz8JS+P/Vqs+f7vKuTTE/7h4X5hUY61wp 4T/NIF9cQNnaEjCpBzhKFKrJOvaCiK5ZnwO4Z3LJ5VX8qLyJsmvtXxfJ17YM9DArfVNQC00cfrAA HrXiJaxnGWRBJ2wHPGmGMnQJzWsIYTvf7LF+aCJzouzhymScnW33ZJ06FsRpAwRr5XjEg5KJ0cR7 jE3b1f4f6zvgWqFfjH4yABjgwg9DM8whrIvgV1sJOntUv+k2snJ5BbKWI3BZJN5PIofqcbI/BMWS dc+rq4wliVdHkv8iNQI7bqVfywoNWQSzfN6B9fd1P4fEGAt1yaxP2rXMcHhLWcXPbUc3UEzlZ2ZT yGqWITN8zcjVIt9PkixpporCa9vuE8jgK9vIrjTb1q9CRsxd/QqETVgWMR+amjgjMflFZlwdU7/M gYeEPSuy2GfhiGGDTCyIKzPIliPNUPtZPIyiAxFWz679+hVZeQfyPvmiaeiCnQDL2O6IojFiNVC/ XYNH0UvTFAR/i2hDEDDL+y5bx0xyZECNlCdRcw4I8bU8BgxMZlyC1xDdz4vWDsPlKnaAJoVa80BU wuKfw02pin7brrUsbx83PWB6fwHTkPDPUDhoNjxMPFRBrdtAif/b7wNxYHjHihMuBZYo9GhFbWTm o3ussRZWXLiFXfNAUHTwYBrOBwf6+gLfI31rQQ+eHIv6HhFr+ZMho8gb5cAllIRAhVjh9cqV5f+A PNzNtd2oEIydrxUfA2dWVNd9PJxiO34rDw6+VHqm7EtdxntZZLjGRIkSgy8IP1EWGKNmOhyxPHDn +7zse/WcI/NbcUuY/kI9WXiaA9ohCGVsDhE2KrVSxoa12VsVgxuC7C4EPSqLE6Odp9Fvrzy6PN9W cU0uRS5MOZ9uhhBlL8sN3qIxpxky8uazW72x4ej7poYXfMtzmouc6yS/UPKFR1YCpSWsKR/x6mPk hgDyYSQE/l0ATj/FeV6wFMi6t24bMyLhi3Yb/bC1XDlvq7NsjyqdEIJQ37Gy/Bhm2stxPlJb2BYX kj8IMRCXQEL9nXB5wyvQDdbKICpYAIt0usMgwkZ+0DBloTjVi5NgY6RoYk9bS5oIdfibD05TUrUx ZNHLKUFq8rFlK+EgO81+Hmdl1h/kXhIZo+y2Nj8sEpLZq3im+CjPrM6D4opGG6QYDTYNJRCBe8Ln HZROHocDutOTWfgdk1fyGkZojQTCZe14P4vRu9UoGLBR401Bgypus3jRyrxZcRBA2AJwuI5SxUxu 9r+qxnR4ZjrTgTF213J9zt0+w6AvlAyNV06PPtwCX1RGWrOnYA1TLnElm52c1TmWKdnCNPmiMSWg yahzSje/HpMW3FJdNTW4CNpNz1DlptmsjL151Mg60VhJ5t0X/HZJS4fDIvFG53C5WKZ7GDGdgfuf sV0utRNQcjugDBCrI9HXZgATA33H1aJUoEaJQEx74LLYSReocT0ckHJpKMV5mLl+A26U+1Qs6uMf yIKU+SR6M33zETeWQhPh4dLq3p0LGYi6fDr82VdFIDI3Yi5cTotyBf3oQHV9UIpDfZsMPjYn/tir pUy3pybgQFEcjxHIbWcXB8lUXqyH3XbgjEw4vxFPTT+ajMj5GqqygRKG8UWODnJV3SB+ryWKxWXJ ZtJH28VqltxA9Df9L4od+rXULi+8Ml3GdS/016jKmN5RlkoVR4bROXGnfde7c6UdB93vLPe7enSE vXitTqgK1wv2zh1iXoE9C6UNl6Fk/Gx4KFCt8xWYxrdaXrf5smk4vD43xSn8wbUJj1Fx8r8g6zHm EN2uR3oYFMLvjr1HkzyxQVFaxYWpEJVHb3z/aec0UAKqggY3TQld8xd1jKNs6w+HWZswjwUGaHoL BAnY7wClvOl3SgceB0gVsSaaEO9zL1IzWxXu5adSkN8i6Z3FDmFVOQdUAHHIZUKS9g62LrcmZHxy jpI9yCaW/uPCdhufipI29wbos2HsiC6vIapfNQ232udM/ikMyHHBV6YWFI32iWyrgGNuvNwQju+n xMPPMS09jPJQo613bz3BbUpEqXvWBBDVMpQyfeWpdZTrSZxJVwAupCUsCq3VhucktaIQwnVblMi2 24+FSPY3FyYaNkzNrRF9jjmCKWhhHLVBu32q+6TGMB2r9IhA60PRf0vIqYJBE4xzfRhOykzZZbod yrZ1ZxnU3LGgfUJP3/T3nr4NX3+LuK5pgVHVzcm3XT5fdaEF59CAZYHG9Ga5ETBVOyJsYAjBG5NK LYsRuVvlAssKAr0VYaiwHmy3aP8FsR+hQSd+KqpYxh9nHOZ8ozSKErXJgp/Jf3iE9TeFyV6mDLuJ HnfZ++tNPESb7HZVfoRsYrKhabboBBT5XSSOl81ctQT4XjAK7dbXHZ4cxVYXopm3uNxQzHOYaZme owJ6YZRLiZ/MjGns+kigmBE8QB8Ssgp4EJOZCERTgdPHGIukjzaGRaY3t6sfIaotp4U/0Vu/42zN I564JKeuhQVETeiYbWnFSQjyrzkWfrm3SPetlab8bcDhK0iLnJapDJy6r6/J5vOgwKbs7E1dKg/x RMuRr+5JHmKRmVQoa2KJnEpE/4kwIsB/7daT5iUy1ZXVuh8ZRm68RA7BHOL5qlEZZmao29FUFqWm 5c8Afn835PxKRr7bGA9DDQzWVkT/0KW07w+odUAj7W9GumSOYFGP9+lPMJ03+FSd90BMDtyOBOJF wtCna2nF1qv7JdxIxKwZ+bAhfMim3iNbrELhjnc7oDuEZfoQYaSola8NEBXEqV2vhKnHzA9Is/9i 0QMK2e2VCqpgOnpaIrsfdk2LJp5D02MBjPyiRZ+FpY9dLjs7Yh3mFcJ6NSeYPpCP4/C0tnkcp0B3 KtNpAdZGKhKeh0vtZTWjcWaloyvRg0/qum7Lr7Lw5/9EY1D1vCS9y63gUqJ6sMCVHiXVUXWk7lJo 78QVItQwDIhdlTr851q+4HpjA6iOl99XGVn9LIyWZrdKKeyeBxxsbtVlPxB0+RG1KCAoWC7Csl+1 pr20cni2cCAhqrcX1JvGV43LboJvKjdrtbRWkFbT/xzbWX/Zj34iSkNRcc5ju3oDHednwBjJIpaj y8CKPSCQ+n3qIrjxHNQxkv6FdV1RezO4/4mE2uP46s+TyxXbL3HFqG0Hxix9LlDnRcScidqn+DPP lSgK/wenJHR6ZdYo9jjgAeODyKJQbJr0JgKhRcc0bmYY02TtkFgqitY2rozLNxRMZQeMDKJm3xbD FrDIO6Atw654QWWhtmqmcvQ+DTJICaPkq9G1i9GYh/wwXqyys8xxHqHEIE3/AeVD897xCD2SDIvp VSS+GbqDTj4iRXkXWiBcLYG78cwyrRdi4FfG171lIV9L/My8w13LXcWapwtQ5o3ha+d3Wl0OwSWu 29YS0oYCYVkBbz1zNy7x+GPC8NEDY64YL9hwJzBE952Y0+CYNbmKSx57HNSvNzxOdwkWSwfKW7SN T8wFHXUdKEC9ja6qwB2wPcWMGq1BoW7Y84b9VmoMnuTLbeOcZocr4PX9gNKQHTGCUehn7/VwJ3VM 2tKQMFtICXGpNTDVj4X/duXa38VJFI5SUzXMQBHL1GhX9BwVEfJbMCvLkF4qiwxfTQHb6C3WTNTQ o4rYC/2rVpKd1hsp18+PnDdzC7qogQJ1G9jOoCf6iVm4LEar6BDDwscom378n+0Yl6TQRK7A3eVY 8eCzEUIkQIl/F74a8k6pt5eKUGHBa5t+FKm1sOMULYxqDfMy19LniqtFX/YelGEJsN7x+cld3wt6 3OAXEsgckLoqACOBaFgqSYOuo8sjn83a8Tf7G2iohI8De45K5uJ5xJZuwIE5VbViSeQuG1mhQBrb biZkWcILO932vLi+js/u+YjTXe2BW5564wZH5vpEDgRyb/1zBEFs/wtqidG7+vBD9zIcw6Am2FLc BwZBrYo4BEgVzQiLso3SZdQR/CBR6H2VFeII4rMzTTgjMqMigSZGlaQwTBr1a+LhZw3QScLdJati uMJhTcg/k18l977zmuBxNzdDDNsD8lXKaKT2oZrFecM9PQj4GgPyJO/iM6XQ/oa5J5krhoYhJ7lQ aL8LB7kgeQYxWU5nLgkRUjwcmxlwgYrKjgVw9ljckyGz9hM02poNerB8p+dLVijf6Fn42V+Ec6HC YaCoGEejz1gAANglx17rno6RNg9k46eT+JY9EdbYKKTA0hbUxpf7dJMBsTR2TYj3gbFXMMp8jD2g QgBVgzyYySSq2VpPZSxC1RXD/sy0JI4doHA73kMKEguvnFdKgJ7IbNIJ8UmeV7TMle7Cl7Fd6LsH Sf79UOEAOFd0NISH966vywzXOhlr/iYsdOqqln3LOyMlBmzaLwmZUgMbNfgUpEaxHqyEQoszolE6 cOH+oeG7uTNsvkWRJkDAoUcboSIV80+G9QSQLEqwn6H3LHzISlkYPSfwRI3dn/jJOJHLJinYlH8J TpTjqnRIDVJwM13JhuaSYav7qDhXJL1Fh0DImfn8G06cMLce5C5d7D9aI5iyhJ3pZiYZ+DPlP9Yy kqeEziICsEUCLPcH+EDbyuVOXgp4IFtOEGqMoJ49b6dkA0alpWKPf7fupCaImFvqEqlybJl6PIio fJ+Mrj+zOTreQhmC9CqfY4Qzi8fyfVACgHjekvS1VVGjWj4yMCt8PwbxXROKr5fD/szmiekGIvC0 F4hctaQo7K7UBsXsbv41teDoLZryNlwUX1zl1atAwgMHmNxdBoqQ8S2ntfBMcyGqYmWEiC9ybGYn JRNDnUhSONaD3b8Npk2BNhnFcG6w6M9ozI3NwWb2TsHn+Te3/6YeTcHJRMhWKZhKicscyjGWB3D2 e3pVr0vJTGXtYIGLdJ+lRwSnIydkmfS3BIimZAkxCzYZKjb9x9MHO6V0j/3kb5IhGCK8akTI4+Qr 0gLQfZkBhT+hYTRPGQX3Vy09U3oiiBVTb4hst2hkDcPqLENmBBDojk08ogJIXoxwWa+pVwcFUlBd UibUeAZRLdyibyEQPgNnJ6/d71UQDJqWW0UvLznGlT34hWVEdiy904nR4XYaFtPzzouRfBBJFP42 XRTviBcPfc9Qa1WKIjn6GHcnXDNusOnBXC3arZboilTZFfGxcnj1DAFBMtzz3UcqT/RkKsRP2qYa myOkYOXu/EFEmSZAS9AcPA7bGcetoR9Out7LsYq6Xq5w6vd6xAe6nHozSEyQKE4HJ9ADTQhtZ/87 Y8CNRHIMzL+L77kKBYbV1CpcMkQLdqwWiQcgq0/x1kyEyWOUhzlfmnLRzrXa4FKQ47ZAFJ6LLsgq EB50EjoRHUCWG2V2L/cTTuGyTxnXDiYQCHVh8k8R38pHgy9pPYer064x9azM8EkxQE+mYgn9AY01 Y936rkyykJNmU5v9hW9Cz5wEmFDvtRAol1ki1sMetdJudwR9jPeAkjhK5g87VcVpQ2N2R7ghWAzS WBnYl7SJzjBdz/lfbgII04FT5uY9P9rG3y0oEuWaB69TdOc1zKxtb1GGtiudM7EmEfeuUTkrlt+9 5eXIqrXCUXfN+OGK+q4etF98yQedutn9arRrj6SnYVZl3lyw2VRv/cH0Aj+RqA4i/sfDK0FSpsHp L5JSu9NgDfMA+ngEq/X+YadppXtDNDusafYvzvBanMliWcSazOftTFB/zYe0Y7MQaYaf3/Ka6baM PliQ67/5GhbrySpy6yRs4XmvMPRhTQJjthcA1B84zf/PJ52fMpc8ZSdMhtEi631KLK0tJ6H2CZwj mJMC0yI4Ni1KvOKpg9ukLG9cBHDrvCHE+dOp9FIogk3fcWLGeSil1Jiq4DsNuUtb2MP2XYvMNNfI AlKKsVMVDT28JOnXN9aludJwYmh4arVFp2pg8dt+wpgeUi4GwGA7gC9Vls3I44o8ITVAKe+uHoGK A62i0AB9NjsyjkVP2wgpQD7YwGrzmd2cYE+JLDbAeBkpjbgIDY+zIIOvdg5TfgWaBn1txGBXTRSJ KKwmRzNxxRq2Kc4GbjU76VOLp3fsp7kGXYHhfqC8en82AlJ+2eVJOd3Pj2yZ1oeluHpCMXEHdUQB cFsqPqFs45swj+EGfNznxaK21a42G3l6qAuNGqWsxAjKvjGmRtgW2NErW7Z+5R9JBtYcEpvEkLiA Us2SbqENunHu6NkrbJoqxNPCU8vFuvHnOScV8kaMQfUT4sAQziy7CcJ23NXwM8kBHJaX1U/nVVPB +zv1kq9+vgwERyrD8ZHfo/7hDn4eiNXJ4PJdjiJfv82USauBB0TAo9DGSwGLG3wrB49SLrhVUbU8 YnAcYE6iyPxy3vZUOXhrZ2TjlxAzxKmg198RDPZ9LO5CUyfkjPEZiaF3W3OAIVXu2Hq2EUHfCZxE HmSXuIz7klI+tgRB4oL+DGAE18mwgOXTqlz1t76hzeRkThHhzus9O9BfT2fPqxyztpocryjMNuGp mrjmv6a1lM28igux7bxh/QLyTe3tYraFc+I9Z+yQhMJ5egwNCJEOgx7+m3SnS69LiN4oA81zK5q4 iChq9nUMPDqZ/dl8n2a6TwZo8Navl6sgr+QHidiiDHpIUJ0jNJWvdeYwwhmMAcC/fQPVkL4HZiaD eFO4krSd57CltHsi6mnrRr2tNg2qqkbSVsB6cNj+Pjrm6qz1O0nioLU+MUKOYVd89ul7vwO6ZAHH fwuNxGY63HR5sf8yEmk2fwhBF5Z/qzCGu7hiA91di6iwrAmf5xlZUYoEfbqe8cZb4bFFATGfL7l/ jVaEm1wSQQ939qlXA0w0b6bZKRMz17fzL717lVFQBc/oImHU8UM9b/AUvLYHdzHa2GTzyzJH5zq6 GvjxjhL0fCvK1diTJVrBEZ5n/zlK7sNtfDiNbg4FMUgqTDLq7R/STD1BtHwke2UsBlAEr5vcB2HO ec32w4e3Yg9UBuxwl0jcJqALbYWzqb6Mw0vEe+N5awHHWKr//h5VSaxvxGzfb+MZV0VJiUCrRa2Z I7OV1ZMqbh5DE8GbvO3Fn3oG1vhp9tK7VFTp18Xo9Kzl2YYqUv1o/FU9fzNuzEI1pmKWzbaiBoDG W1zL43R6jmhTZhLA2mycJMRLOdz3mjKM9+uFXn8Z+ihlFeIhVypU7P/iSv5uIbWgZu950/HN4osU 2x4tEhLqTaI+JEYCEKOwmEA7rkZQEBiCmLg8gEz5y7SVV1EMt4GexXpRzoudfDd2cx/IiPGNV7+H nfcvl7LfWNVdVrM0quWCssF+YsZ6msLMA27OUDC/tbJJOmLBa9iQOqT5xK43Dl10aPXrW9Aj8Rrx TIzegDLcWbMjDdi0JdfplYFWdW1kyHntwWvAd5Vq02LT0ZCYAyaGTmFI/UHwlVowhWQ1L9bAZ+oV sJix4e5Hd2bDuuBIHB/0nb+gE6xTJ/tJNivmUEWxRVTS19x8Y3Pbe8/s49NqLhudqpmDUuZpZvPZ Cj8ZecTEB0iB8nzEZjTdqY9VZ90reggtRpg+vVq+x3oQVnJ9LPcAH4EXsWSyliMAWQFRoZpcDNF+ SttJkcZOd/VALNfKp9Qp20mEZh8S59Q01PSEmLI93MBJ3JM+MXjcW5+VmI0YvbNDM2MOtEj61OVg mlCNTOrXAWykCv8ZMLHpdnFHq0CG6iDcx3VHF3wJYB2MKdVghIuCOoxHKshettVMIllkWz++NBID V1ImfU919q2r8w0nJ/fixULToRukQ5hKqYs8DsUq/LRijQOyzE0W7wSCpn2IZ8QdnpZDT0/RckqU F1BR1m2eMGrfwBGfK96ZCJWwuSxcrIhWnsVdRAzguEp5V2EqHw5vZYCn3QxRvrqdxeEve9FcptMC +pr6XwlrROZH9Yu7VfLxTu0/hI+ZkTeE8H/a99pHSPKplZ+6c5MTgGI10LDuosHjIaOn9YFASQf4 fzkNu9YYlNAwRMN9KeeeOZpHblcPQ7s6GjPaXD56L3nb7brTtwJK4zRBlAfrXajSwW2HaKFyczv5 EtbPjJ3oPFYOWPH0T4KnnOLFPuL6Mu2AffK8Rykx2ixhlO6+34pR9vlp3xiVtUudVEUBSWxAsepT OSJHnLBmaTSJVQfrkOUC/tyQOx3ZlgQJsKeTb3zX6BfSC4XH8fG70OLkC5Ncg+8VlmA904uwah98 h4MXoKZnjKeFDHWeegyS9LFuknnkuQknGAu1we2lhWlYatvW07AAjICFvFSpd0uWJ/0Q//6N5ie+ 2UsrOClLLGgUngOPJkQBhE8yw8fxbN7iLdaGScsmH1IVNvNXrmO8rXJEoiFDqN/SaQWw+fctBnNk bQSxuYdvgUeNAtdkuhhXWK38bz7a1bw7lpJ1xAGkO4Wkb6WLH/1ju4iiX2968ez5P20ui8Si8bY5 Kli8mcVGi12MhPbpHx54rEo2bkoPJZQRT23AsazAt6Jdn93Cq3i2/j4x4rofbCp4m6D2IoEFYCx9 aj2jXY+kC5z7kCQovu+GS08+yhupJf3QzTuiP5z8uFGjA97s17p+fGRzWyDj3+IIqnYCjB5yTJqe 4vQdlMIjVOW+5PjGkjRgc1cIJUYqnD81Ywq//F55oA7iWI0z6pl/S8KsksVlR8aW9ay2Zr0jkS9Z 5NTrhom3SXH5SsB+ZxABnsHUYoxcra0ejKCatLy5eaQ4m14dGerGiP7KG7CncXaprphAlpxEaJjH i1pzqkQoUw2Kfc9oAS7MkgoU2OYNEyz2IpUtzWzp0YS7EUPN/NN+/78CB/KskVTxSjv5AYZzfaLy //Dw+cwByg55Xz0CokEDljANGSZB5wXp9Bi+VolhNEaNcEZ/kjzW7/HTmNb890kIfa086YicMx7f ARtcuOwDPzlI93BCM7/6+LNVsIhjZN5f8hkr1PrTyJZF5d4bIN6stVwjdnbQleY3s+5ALTjmc6qJ 0M7yvArhdIpLSAad15lbrcGusIReG5u32yw4zN4BsyBTpGeDagLCXHGHUHv1JEM85F0bi1+uInOv 3LLD0TS6G1AYIihwPjye7mtSbWwX7sexz5ECUxaH0d9UG0AcGP2/e5MX7uJbCfwjBqnpnUfxjfZ0 gPjbguCTUvP6JyyooFwzbJtl5crM0sZxcJZsE2n6d/mfSp16aLMBCGXwtUpeoa9x4JVVc09zmTOL 1SHBN2E0zn6TRT4zDnFiuysI7YaBm/CAC2Xe8DaT8436WXc6/YO7d14/adoMPgKvhlt56bZ08JCu GEZsyoZOXbhHcBcI9NlANErhmuHKvDB0YAM+We+d9uEY6gpmMJ8uIu737lEM+gzKE91MBzSmdjJS sMQ36mWqI+o3DmfAgb+ZvDqG5gRQOaz9EsuHdvccTeK2oah95HsAsAY+oU7DfY5tV9xdhTg7e01q 3eh1qMMFt5EhsicYUo8e8qv70W3km6o9eydpR5iaFsE27C0QPZxytVBU5xGMLaosLE+guXjZTcTr pmJzFyV5QW2lvFZzKVo6UIgmZcuDu/6wasBrj+j8HocGeU67dJgr+JZr/rbPh3XMT6piZaqJl2Ds Zu6oZC822v5CmTXbrpsfn0mRZ2W9xgZuSvaoNOW3XdGbErdmd4CDug3baum8QfUjyhgCks9Uv5Nd DXsOTBdM6yG00YULT1Y45Yu6jTheGBCoP7eVSjQk5Smpwv6mgra6vl9UDzneiI81vDrPPlQz0nGp vFSI/KwzNNmGBmZxNRDfH7fNk7Cn4nvqT92O9hWxT0H+ZMH4zcpKee2oN1XkBeMnh/6ZTtR5KpGL MtG2hM99Ry+L/6fjQrVeM89+qcHFDAc8bFLwGP1gWdICGwwFvb+OBjMmEAcIX0tfROailadavJV0 3MqwtAX9NZnVz5IZu1HN7Qh7/Akc5FmmeqnZddL95cDjrOFS+uzX2cO6cAvXOJfJvbCLDrTB16dw 1SBpt31P9KpvXVgZejLmuZaKGM/9gUamioA5QHQuYiklxyQNj0k87SIhebFr90/yM5XdaXa7+GPK 01b7ojsyQaPMqkgbqyZuEJbHNb0FkK+WJy6BhJoW0nsP9TylX+KW7DBoUP36V4P0CgLmHvzLQ22d A90DLFGw6YMiC0TF48f0dBFXY3eWexVSpFlYo8JYWjBE4T2FxuDXUSq3C8zjSiyzM98xw038TI9i JB5+n7UskXAWSptbD8kjuF6Y89+TfxbpcfwIHxvGrECk3zExUZGcR95j2T7jy987l6YV4hvVs0wg qoJZd2pcHdzCjwqG+B0ROqkwMXhDk8UnM+rQTvCJ25ouUpoCrAUqdH8BbkXAwDzcQawbjNp6wl/m 8vY/OtFA0Blfh3zrf5VbNe2mbA8jRbGYijAXnIcEAVDxS6CYH8PJMRTjWkAp9/KA5Wmw4hm6fJ9H qHB1lytf+mX3yND05wRvf8PkglxDbJE56+taNS8aD41/f+Zt+qdi7QacCa/2IDT2u3njraCzihNs 6D2DQRNKhPoEmUOquKn7eUjTPjthuhl5yy+KohA4RSXfYwn9uL2qwuVPWGZNO0WX7OIA63om8Uin TPVHTcZboIXbiDb8WJQW8hSyB40GvkP1PX4xipBztfnUynMNG4R6mUEpmv8Zhm07YsPY+nPMqWN7 0fp8GxoW2syBI6CpqRSnBp3Jt8Grgqm2gtsxHnCcSySmvcHyKLs9r6mgINLOfaztpRW3Dnvig/vs vZbOOPIxak93a3eMpofA8S/Z/5+rmtQCD3py0D/O+DWDEMldLKrkQLb8BBDCV0/gitRbFJ74VgxM JSax8CgyaZiJVPcIr/3OU3wsZUYcLGmQCf6jX9T/iey62Aoy1dSWo/6O/LuKG1fbNc3HSpUZ0Kqf QhCuUnVHXokyCCfobPqs2JmykYhqSPM8oJWYShBKcUgsAZWYEQye+ZRy8dHPMVNiCd7najvjenCH zKwkEYs6bExzvEtNEH6xbEECeSEQq1eaHQX+1+S+fWvwGmdF0t1OhoZ+rCitiaOjjew8kW4xoiyS 3OkZmXBnOnZXkKBFfaHh7/VVXXFjm/Jk7BcWUGvb5Kn3wft583a5j0us9Q29MadAtR3/9K0K7G7F +qgGqWMik5zgXwHtBe4DaB7Mg7V1PJ6oPg2FIbhR9I1AI/QnZfvI0MLn3ZRIrRNeC1uk3sOC1Uwa oFur0X5KGIO91MoiHYyx1B6r5jKWFQh1Umnul4v7u9v79KpQt9b7gbGPvRQJO61mhROcWCvOZZfD EpzFlHihuTVfu1crC6ty2cQOPLuzR4SpEgnorcb0w5TLXu6Eu98zNMSSka7xtSEycn1G5JxmJHxT bVniBKBIC0wFvKoM1/nF63Au9rSyAyZoVhDWVjsZq6xtDBA+49YKLMJe9MGvBjWvVqkzxSIA+x41 0Qm10k3JBIF0BBmqhrxFT9h/UUlDxJixQ3VBpZamxDmAKSnYGQmdeTcDVnhlgo9Re+3pX27z3jhw dfRN5lJR4n5T+4htuPQd9A9lIE263GdRNfe5cn86NsMWZ8dXKVIfOgz++nOrb+tufd947TFSHySn aUbq/7vGg6g86r9mzTankdMeNOt8nKM8BBkLuyInj9yfKI6+EgWk6246Waf6bKQVMTcjk7FmfvsQ BSVSpbcu5fFYT0Ue5b3KizHJmy+k9eVpLKiSHb/3N439uVdnMhBJVplJuwsnOqD/FkR0LLUclyMH vpnyOHYijcfsBe4SHtfKwRF5lVhEy3dmZ1B9uFOMjHPJ5dPo7ueL1gI+2aVrYRj81brQtZkRlNhS ibBotw0TKF4UibH6qEOnG/LdRnVG4vmIkbpIlTk1BeVoPPvHO7oPmnRoHlC73pxalZFye6SGzX0r 4CnfJJBgs/+VMEjZtLtBUb82ldrpz91DBRaAMAiMHgJbvV0x44/ei/TvUyi53KIzUUec6NACwjrj jhPQGzftjC9+Zi18ZhKaFSZQIIsfDMvAOUyTdWCewhdM8eLEag6qGFStgKM6p/X5FJBtI7ZfTG9z gjzs0a27/wZ4f7X0RUXhmlj0HWfELUGeYTk1bIF9Ude1pEw3ySTfZwb/qHHO1oU6ezZb/BRJp9Cg g1YhdZORe7dZGi4XQwBD6r+wpjbgqg0IEUWdUlxa0zML/VWn6NZAsY6q2dzSkVuISnEY0MH3Jd41 3AePNI7tLrTa4/gFUMKcGCs7e1pSJ3SBZzSdBGam4jLC8WcA5wfd5J26Qo1x0Ot5eoSDbZ9zqmCy VeLAWU/DL7CCyT2kaQmuWJ1CNb6x+dqDqxde0SRiwBCE3jhvIG3fvzc/4qevHxPxSKcEG7Pf/Q7t u6FVpvYMCuG/5EyToq0qE/aSef+RIwSFyCWY0N8B7s2bowvDeC6g/ZhNBBAS2U2/xpKcqkZGCE/I K9wcpqnl2PpOrHA0eesVZP8iVp217m4SuvlFWxmKBZU8dsy3G/a7D023VUm2p8ubdwJ4fSfb+7LT Gub0hv2DmPzkyuX0KvHNsHOVKjRI19Y1946BXE1amh/ZPPt13IFnsIvr/8FzynRXVmVvZYErpJSz Mua5emUJWkt4ngq4ALCD+18SkD+EhfzAJhoWzhTXIT2U7vqKwyUU67Z8n41mZoANdfK66fCrc5T6 VX+xKaXXCuUr+x/EPF5n1jiA60KxFHX+rqoZ8wieBaXidJEofqqCbpXj9qtqeZK/8v4GnNriO5Ye Q9CcowEWSG0b4r/+XM5fehRHkR8bnqJnNLeF6DWt/IvSf4e0suC546ZylDtx6IZLoLXxqu34SYFg e1Eoj1xPaR+QuMt+sTigXm7PIHo4h2iLGmD8WktnuTQVhpmmIncoZV8rfaWv9FUKCHzEnnp5b/7a rNzx4BwaCH5F1V9sZYGCeqhIKSxbbRQazQV4eTSWH0Mbfy2e//mD+9nfNGuaqWkmudPVOm6zs2vW C4JHmmQY3OnRsG5Dj4Zw14HvafHwd/VDond+OM0EqWHodWwnl+Ew2yWHGqajoBzFs7Lm1AydFGh0 kasJGdph/n3ked03AWEiZYg0Q4NfOp6kr2KtslBTVFgBj8uATaR9EO+cSzi5FGzUqnzyvhltUXqT GobPDa+mUIcmsbkghjovYcDnS3blACjv/fNVL8+ds1L1an5naNKXc6+IQYDvSJzjycKAaJvdVsgx Kax3011D/QrHW0J8lm342gjZzDd9O8c7xASKz3XGyqIBZZFUTjOkcVIds45Ibc6T5ZueUb9fkOqn 99PP8eHRUxImxS2cBtavskeVffxS8PwDQ7Rd4XiaUzqi/M0lSZDouGVFc+p9Obj5IluujJfezCjs vwM1nsh1uH9oKPErCSIxIpviC1A0Jj3is3N26OgWQCmLvjbJIk+mb72eoKDye9fr0rsjJipSKT7S /7vbLX2Oytqh9uID9Kpe2q36crsDam4wck9Y8fwC9nLOUB1lAfDKCtZjJS1J0oFE/aEl3gQ2oMFB IyXreOawAZ9r1WzXUsgtCqBoiE98Cu0DxJSM4m/HlzQgE6kOartvq2or1QqteVijaUBAcmagwU1y ePXw25W3leAklhqxdUKtVduk9YqF6HniBwVjnrqaZ1zF6GwOKMtX/VKzJCegPt7hliCy67gqFBfi 3sZMPT2CsFGE9s06kkljXH27rSfVwLR1QbiWu7oKyOe0FwmXq05AZs5CJG2/7VnbOLci8wKBvbpI jVphayXuDtquS5997Nl9ETQBBD/MGCjFGpFnWlD3VdhMTa/jRvffKvv0nWSaYGtcsmIzGIwPo66o Ky+PWHx+iuMpEFK/QXX+6kUqUriVmztqqSAFV+awyv76ePj7Yltza+GBACvVswV7EerRW1pa0/t5 uw/7yQJf7nzSNlbPtHnJI2iWyhcmd822xiTDUOnJitM/8qgSOWCAg2V06Bh1WiK6cP0c+TkjO52h vodQEGCmwe25+LHleGcrJnGP0xWWKc6NBTHqdnGTbNi9FTis++hr3E9WGg+mYDCrRfG3F49Lcpu/ AyYAJsav68uqh3SWgfCp7i7ttPQZxQWUemyjjLitumhEOneuDjThql5r3JI48AW4mHxO3MqmITx/ tsyg5HRXFUFZP8IgUg0cleeHisjzQ3VTefB6WG3YiEjPoFKLMA6f+FvWelfHCyS4W5ZrfqqgVlwZ Af1kmq+iR/PjvXIjrfGj2rDQeIZEA0nNzoqhfiDaVgNNbUvlZZWy3h6AdYqevWuaTa6uTFO6aIbT 4HGTz1oHRw8at8oye2dQ604v8k2L5WKH7UyyDPVP3PEBFyYfCxtZu7ivUui1baIfMV0b94wSFnu/ 3thLYInKbiWKpkht0Pv+eWnkTwfDnAHbLqZ7UT/EICRJVM7jLp9qDNOCDj9D1u3AUZBSGUOOvSe6 LbZ1GN8scW/gk379uWDdQ12GLR4DTffrTlmJwbDQfho3C+wdT8+DWvb4DubD8pU4KgKL3d/wUcD9 h7f0G7K0wVF/znm4/Xa8B5QLM6EKlq3V04nl0C8IarZhhoOUxwjGPyC52gDeKwu8z+nEJv7WrY50 KIk8vwXkGJvGt8vidG1Homet/pi5eOaZq565e+0/Nm6BEi3HoG40V+boEk4HIFGBzTlmignzYS75 S9A2Cxzka9AUBh6MgQXUv82V4x8vZ2RHPUaDBZO2YVcvTgkw4H1fpTSgbg/YLE8/srwY4tga9Ws/ ViU+UYNGdyYMpd58i18jShTSJEwXQrtt/sMXmyqTZ8PfRUxUziLQnNCp6x4334v8gMcFlMhaqU7H Wx4VH/iA0X32c5kr/TB+pDNDxD066DJ14hmywPTmx0UgDrqMjc76e+h0aNLQ4jmgPCz4xDwQ2JvR iypKdKoHaneMhiUUUb1KuY9KdjvEML4YFQ6y2SB3SnU1OcV9SpfiFeevqWBV/8FLm5JwYbQSNS2p iLUYbjlie3lr76/t2YWH6YMZaOYSQSZLFc193yLScszz6udkQ+M5PJ/QGIh9Jy1qOzVNBFmx/gCr l59q4ODSDXx71ZbMmulEM/uZxQDlWTIvSBwSqGGrWAPKIcNCNqUSMfAx+TQVM2i+IZ01PApa2Ixl pubatxI39a/FCQgVjuCLmzDR1xVYAiY3p3J1yhaFNMDdNUX1evATOecooa3vtUHQCpuOf7at+OjQ 9v1lz8dIKJRyeT+oOnne1K7pSQzgQG6KbXsSntPqRfRbiHZEp9SD5A+c5AA6UIQDbZapw8vMGr8f uT6ocNOafqcO/TkBpRNULe8HQqnzqjjxVDh0B3gtA21l2YEOxVOF/dOs0EQfFbnBO73PXdY9jZCj aeCRYnTFfeMWJwR6eriBH+iXlNoFh6565JwKy7omXhn9tAyQxo6AKWsBJ8ATksVW2Rc6dN2Pcr3U 68WCcZiQkRWoWKQ+Ivfcup/MDT/E4g9Zj6BwT2Z9ksFtdg3aNNROCIuRecjuVm3jBUgU64N04ksp CWqlb1JYlrFtpzu5gawi5D9RhyrG2gskHeHZyJqHEzmOxgt3AhGVALTvunB7BiqPb1qevxvOSRN4 GKUdQR8mDQ5mQxvwUS/0MykM2aFicBzt2Dryu/uQGV+7LoDOZy/sY6TGxoQU4yaSLnXsNrDKikyv yLaGga9KEIHlOYQ2l0jRd8NlNvGYDBXMC71l44So+Nlg3bN6cpvc/Qt/rClMa27cQxVEh+J4RJER /AdoK/NjQYQAbuTIaKMvZMSI00b0NT1QrTwE3u2F9RIyUm6Z1RGgKS8G018s2DhtuvgvOr2kqAys QjCd8SUxA19wkvEGxmcFcSQgxS6OoBqv/HCpZ8/0eVNhvwgWj5RpOeT+v6sZH8ZP8MkfRGHXJjDn TX0pik7HFgJbXO44KaHPR7eV4Hw04tEa11El8uYL2jDzNZVTooKWEjoQUt1EPt+twUeaOCci7CWn z139e/WcNtUV5O8DxInkdq6wWWZaXIOnlvZYVdC/phMHGv/X6MM3AlTUCAm53o6okueXgcNkajim UegWBWS3lr5icAKO2O382SHvkRmo1UDMMtg6//g3L09TvjYjEHBw0S6dT6pWmIWdCNg7OHjoeZ5N 0UI2yvR8zX3SJ6YvNmu8Y2aXpvpM/r7d2eH0uiIBtM+/W9wVYhfOCyCws0/ngZSbOzhnHj8h98+0 /waGJywem1WZEUY+/sRn80SKkmZ4PMlMbG7OUCKA7F2KAhXkxVJChV0TQXSCodJWAtaHJA/I/FCj MD2yaCTbjHq/6PDJXguCZfmAz6rLm3SyFonEY7dHjj9OiyXu9VIfPOLl/haqUO9usStqTfJPvbUZ W180hr3Sv/5TLQnZUCH37G7RRHWQRIcfQ1JmW2cQ+Ea9gZ5yQn7zBI4MNvKpwD0AS8+mQXWkbVd/ Df/BDA73BzyFNXQVsYVTnrt95aELtULSIIknn5YGBJ0mOm1EXADtEhOcAYy9fa9c6Jk0nZBX/6cW GB8pTRFwyVXxlN/9dYCxt2W/vgMDdTQ9KsRMEwkvT3gcGQFqBY/MQk7knZMPEvSTejvONRsGyvQ+ WLWYTYtejcqeAJhcGZVaxu50VHTU1cfVWPmu41Ryn3MlesAggXYJagYrcDoLaJ7XjYcMGUlFp6c8 2j3B7J6xcB47kt0VaA59M2loMdG/6y1O0GovLxcyHDoAO4XskcyQ2mi5dvILItmW3yxxb32/RoGM g0EefbUtlA+G91lwuw5fEbvibSf9Mc9ktmCA4cjEIgGxKiLH7yVoDBfQWJO8CyDdt8/vJ40Yw1CS DSNA9I2mP1Jh6t3mSaKRd8ygH6cWu8SWLCFcC1LEMggG1crIYH3QHjeT9xzYfaMFagibaeafoNja 9nlVgOD9EC2icHR3u3xm4vZBD4wv0eQ+B0lOY5jhLnCYCFkEW7ORmBp7fcx4WoALHSYS+PdXEZrX +EBhJUnimOSdae2Zz/+6+VFB8MK8iu2al3p9QhdK/xNyaBciff+mzm1E8QdHgS7L/osjsdG0qTle uqTQo7nc7m8jYoC8c6JNKNhnnFx1EOoccT68IX7A5Bd5sS5wCkoI2m9U2Yi5pOFrwbkCmt8iYac/ pWGDrmxnoiWWZxC9wThU9MFiGdoO5fAQXfs5q4azK8GJVqHqmQISh+z4e0K367mCy7hLU2beZ7yj FRxpfqqWktbuMEfAdPBtj1A5lxMEXFqVRrAxpA2xrmKdMSG3HeFMGkg/dXiovSf7g0MjFbZ0TynK hBTpUoMv4roxPLcNNcb4RHzmZGqiRmSsywmIV8qzjQhCG0DSwEVAFhEvGc842SV+zzMqjYs8tSZE civgb/R9suMnu52CVt853gnzGLFGkDrtC5uDEQhFww2aXusA+oXXV8TOhvCEGWtd9xQ8YnT/XBmk hqEcURi08bpuqoJswhEAUAkVCYA5/YjGC1+zPuSczFdC4fDhY4D47O9Fzf+4JQI8VOE2ubhTr62u TaHaiNA9wJIn4LzSJqyb9cfqdN/QaVccw/1vJwrZPUFOInQfbUOh0FEcCZmkUVS4PEoDoJf2swwy 9AcMfztswjhdCwkdPWFE0PN2No5+hVJNYPe7AhRv01bpnRtFm2t8uXe8eDN86NUTUfKsUCtkI6Yg s6WmmkMjrPSP0AMTffHmjfRNRNvyJbo+AMXkYvWA4BwmwjAQcDRnyMm657KcN37iM9rKOh3Mj+mK veMCZ5tVPg1YKL2YENdy3FdsTl/HtVjEEyQOmyIpyPRMl0zqLrlwar6yhCn9XYRY1Il29r2eJpkL q9NHg+eXsfhhkhx+oZ3JCB3xlb9DnUyCIcKh9Inwdv59BkgVwBoziX7wPoTUdMHa3qW7sYmYzEeR yqDDsifgdV20B02WsaG1Zt5Ty8Lf2GARsy9x2nOEU0wBirLj/PXr4FxQo7jywbqmFbHw4fToS45a wB1GJiUI6ik+fGMaasqW+WMIt1wvytJBU1FVQPHt8crhfiusKWeG91O17geINygkdl+z0lmdOupM ix+5AfEiWPYbaPU3osUMTGvNYCcGiLSOHHAYJRYxvX61JGQvViqlEbB7e77G+o2EYE1HSXFbmulV m/D6QU2aVD47kU5yQ/iS0Ej68AkW052V6cTNoerQ2BXADnHTxGHyRXIRj1fSPTFlMfjNNP7bepNM 2pt1mYmdjAsFWZA3o5FNmToM+hsGG1IvJTs+i/ez0yUlN9QGD3ysZ17U/418Mg8uvSKzZXc1gnGn jhvBL2laDbOdaO07mWGgXqyICg2IBDiBZxe2FkfSPxJV9/2ACqlecuV7cN5OYZ+HuV4+CQxKYA+H byZXaq3UEGB4XlQpN5DmvKi8AaPEprUXa3SQnaojT5UaB66KIg1GGFdL6bnyS9odJCxSwcsXDLSf cmjif3Rty2hEEg6ORZr6OoRmkpo7nX0M2189TIBWtxvrn/aZMGHQKTn2BkOx+5RMyI30b0DyOPIa KlDuXx3KKtKAm9ahDsxrBGJUksaOsiSOEJ2iPt30ZjOyuITVWtW6/X7G2b41LkA3YmF/ZQ8oAgtS EpMlwE5XDJsCFDbFWpp11qlZcz6S6C88qbdHGz74VMpk4pihm8RIEj4wskCcGx0IrvpJ29cW4b3B 3vhjo6VE/fg5L06IBa1BzjcXIs3y3nQAGBH0GENpG6YRhBnmLfEJ5UPCvBr4XkylSQkAqOET5n6n GDj5o9BgzXaKPW3hfRpYQM7605hdewfmd+zeEBsxvSKcu16q0P5qdIMGfOP5T+cMHaH3X9AiqFql xpDz8z6oT4GX9lopKr/7IsxjRUp/FXNow5kWIkdAQ3zMXMu+UgXKBQMKRqIkEUCS5r+tq5G0Xx1X 6LspY0q8dD5TwEBexbZQbRrtjk92vqhCcFniI129Sv33ux3/SpMiGmcEDYaaz9C/cAQ/Hhz4aJv+ LxjhckN3Krsdj20qdRUz9ViAk9uC8ZlaPWxYt77m+dExW3RAIbHRirVYERiDROqpFDtWLWDnbBjA QpSAyqAaB2zO5luedmikcm0YrvkhS8miif4TPgesPvoHunvczjxGphbHciMsdMMZzVXoLEtyEYHh 3xtrVhAZkzIBMAglGmqkN+OQ0ETQrIykPezcXwHC3RQixesdiZYLjr3tpATAPPNoKacz5bfbF6ge CA5qL5bRBcLQoT22sjC91o0391NgRQSnR7DFhiGcRN9pN/vSp+mnOj6UvbcGCy5oCYXttq6JKZyP rE091eOzjrgiY1nEEhlvYCa/DAmB2vd27cfvcGzo1IWDRAyIUPp7vsGq8KkuQj8h5zEjV5ELl9kn YGJQhY3LD/ZX1SJy9qD38kM+CabWF3cNuFrHgNDcsqcwbXPMysZ+DtROwCukAbyA9U/XVgotGPsS qrSvYBCgGJOfRnoEHwzVzCLw+UEjwNvIuuWRl6IRQ0W8T2NvvY2txEcgkDIYyPOpGqtOBVM9OIDx gifJp5ziUei80oMN2NDiDGVjrAZoUr3fJ3RAx7UigdTJl0CB//tBeFaZ2bT/wZZ2dotjAcEU4RJS WDf0Z5zhA8+cmSZdBsEs3SpqEAWcI9ozDMAw8ajyQjdD61C3odyjzDM7d2yZTL7A/UrjT1hW7kNr /MkO5ehGWhx33PbIOLp7DztI6PkIIxSlgvCXsQVmRv44hvJp7LohOe3FfN+yMB82t262W+5SC+4L Ge42Iir5LRMsadeagJbQh/LjGdN2t6k+EpD7ZlvZydSS7R1IkAP9lLEU1rRTcAqJRgB+yeA5zEuM npUZpYUY/SKVxRpyBn+AxJakKKTfzNQEwljZvmYHHQGFSz2QGXn0PY8y6szaqApad4F+RqEN/Mfp Mof7MQCwYeoCFTwyLJiUCtUKIB3FJNIwLYFIs3k6M1COUKki954DEpunnkXVspq+zg6TfPnc2ZrQ mOz87Acbh1TtDErtDLKVGQowWOIkBpRwssw57Mm9co1lrWN5+LtlAo5rtKsyNuKWLOfvp8QDKcHo UG16ViJKnO0EO7rfHzG+UQk8U3d0Y2Qgag+d7zJOt7GirM/ncBkUQTUiFDNG81J5qJUoSodzXQSL +ybHrlBlON6APh+rpXagRisMdDHKfobUw7NRo9UUdxnUUh4c82N5lJlaIOK4HJGIuwRzhRf46M0f Y+fUInzc+jJLvFMFMHFBS1w9Q8VfhCtDJ1APTVQTnrIpalx3sg+mCUXoWco9uVXoLXuO/YTGZKUW 3qzKSXo7lSVRnQpSX3aUYeXiV8xzg1CQYPfcZKlb0clvOu+1E2uZX3ElB2Ocl2mxpHnbT4+jO0H4 T1RO2Ds7IucGTSR+dRbNmfU3JqE1cdj6vvOGedffHyDjdnQUOBKocP1VxggxWEbsgcg/cRg0cRdu PWnR+as8xbXLwfChtRq5klRtbN7kBI5Y6nl00C8u9jjXqxnmNpHdtn7x7wti3qhee0mwG7M0UFUa yqsngkfcfnrYtQddWJnwwpCZh9JBRa2Qn1QrxNSG4xywrbQMrUNyS24NE7xOUa/lCvEPPQlbvUdV fsaTBDoyYQID6+8Dp50DAj2YPPRsRchjzRM6s3Dk3OBO4iNaRKcnVCHwQ6yglcUaif7y93XB6QeD v/O+VGQ8WJX3iL/kPuue+Wgl/wZQIGIuVKlCyh/rDFdnPOd6M0VTONd/Byk0ZAUTELwzYklHyO1c BTAt+dtAeTMVPkUyKtXLXs4cZNliOHHGizL2FQzwT8r9SivyWJHrVgE2wSgQcjvVPCSzlU5ZZw0C KR3UMwTuR6TKp/xXB1tsJwI09Inqr2COhBEf/rC0aiLsgwVZ7VwK9huhfYkqayZcrxNvPH+L22ni PKHMzVRgJILbQ6CA8KyEdYrSUuZjCL6/0DmhiOoACLIXWTwEF0Qc2KbL+2gKvYh5C84R+cNw+sVj HfMSYyCgX2P7LxFN3vZRLlzkCTTT1fffLCBnCSUdGpAvaIEwOA5M6SPZxBkuXziWQWB53AcnCuyw 8YLJ6LCftGjy3Txy2uySEdE5DP22UzQnkSkXQA1/YiesjsLLI9GQ/qhUGEscqb+RVNA10N9uYJmm QjzUJOqJtTXy3xGq1VOpp2VNSYuTbO2tQuH9060iD575lQO78nQgLN1xhCMyHhgRog8hjxyPyHmg FYE8Mgp89GCRnGujgEZf5Bb2VQn2gyvP+DDGI6UDelDAOAUMAYgKGmyyy917iCf6wGV+zyyHgRG/ gTKeWnYnRJD2CpEZn6XDE75GrBt1P/yHHmapt5mZRNVp6zjzRXBasiw93nB9aLz2Pb7omF6Xjz2w xhvhhTsfpwfDIgFMsmc8YSrpYBn40IdC2jwrR5RQUU3gCfbKjFsECYDrgOWociA6G/A9Hbe1zGEB 22AWrx5Xal6XOOo7s21d+4l0SulST3E0271hNJ0ZF598KPFV3HUdFejNdk5eantCkeqMw5yeGO4I JHWJjjTOiX9L4JHJoCeMPdQOuFedL/39CzB1tuxfTnAjmTyRMdoexWNWLa+3cz4ef4VFB8FP5GO6 fvLzR0wLXwbYXrRqU/SNtvZNe+Lk8mICToIapfrpcCY4iLtyVcFsoeJ9yQ5K8J6LBJPdzlRNGQOo w7tlsN3NHt9TgCBiWIgSeky/k/VbhRB73oO6ndrtQSZWA8SmOe8YzHbbZ0vvGsjbrI9f0s3u14jI iT43yJPw8+t9K5Ingi9O0OrcLJfi1bNFCQ3BCJwB8hsdcOTv997EyPt6n+O9DeCOwHsyL4+RdJI6 no8lBe2TM7HTa3o3E55ESterUvV3BFq84m1J8l+Ma2EwPRxf+n1iyu60HVTWFOdHdycoieicdXWz g52QPX3QBC0S/zzLy9wBlHLUcm65HGOauzIWae0aWkUcvHnq+YDayhDH087RiOLMNqms0kf1PhhH ypKzkHRSKFq0AoMu37a5IMv7OZOfjQqQxpZHBwLFmMp8qPKBK26TGkQgHweGLo/mgufiLCIdejnl scko1laZaCcI7E85jmNzR1On9FVlQLl/PNuw/wlK0BB4WzgULa++UsjakrYCIBjZAiYsgCI4LNE1 uB4z8Y/PyaGuJlYdQcuSm1TaU1QkRtay0UBL9jPhXWuHufuL7wLp07TFINK1cyvux/XYA48rkc0W IHwGpkOw7/xtOIe0z0R9Gm1RaX5Qm21WOko8gOKrFc86ZAACZ9BUMr+JYr1Rc2ctDfjcy3d21D5T kM7HrI6NRbiCTcRP9ZCsdau75tIgytpo9r9dQ7L/WJ7JyNzycmEtDD7cPq8nHeQz71i8467Z5l5G 6XdWUvQ8kLW7EGqxaVsCupxJR3HelYcY7qFIZWgaJXLuOhQ+GQpz6blFUwApkGRlbDe/+OqR6ggW o63BVm9mwqXnlhpQ4ZFn6JdaKQeinN/AgpBV19fFtwNExlmKsK2v7PEbDxR0lB7sxDOZ8zHvE0h/ zArHW8/mnJm2wn3m2KaJGkAtb1xjNpfyEWEV+WRbzsr9VqJmSOV6svxM4Z2dzJ2uWbMJmhLMFJxa FRWb9yHwjr286KPExlAM+82LNkWjxo59pnHhNGczTjH79LFts6gxMZ/AedWWTBteINulnPCQ9L5e SqXlvo6U4dJycHqMBIcyvxPPKql9Mmx/wN06eVGM+tUzwcoYtxoH/GQ2jifzpTB1QUcdzkdTVpj2 FA1O3v99IfLf0IH90/Ipm6gBbzuUfdXFlsq5baMDqVn7O8MnYP4tPsFh95xiGmkafEKC2Fuf4V+i +bC30lz4Qjxu5tdp8Snv0CjLP3dzSc7dtErrfTDM2ZKLzhLWoGvkGt4kLTFhuqvpo0jmNEcFLAm4 PB+J0Ys3iQVk16+uUt0LjkoMeIzrQ/P5TcvORm5tm0bZPKSB78f67Z2T2KnWAU61WtEYQ8WQJJts xQObVifTJWD/tBZHNLL3rybP7yrxtp8u4L3lkIr4ush/iOAbNkHOx9I6iiWxXOwNMEOjx8h2NZN3 dDl3AcBw02FQl3XSjPSkZjV9tEWIelMY4oyXCUJtK82n3XgFJCTH5P+9+XJrQbFCB5+UbdM8gI61 u4AZQz18MFscoZAzAj2IfJKEB8XBCJDf3HV9onZIOHzuJ5kyM6M0q/dpJjLnvvYAnkk31KHJsNuk TZVIgb68+aoYx+m8WNqaVUpezS0QQBeYO07zKCBPO3gwESxP9wg2czD3Ni1WCH2CoQEX7S9qE9C1 t6gw+WuwbZVVQvOzyjJPk8nWvgfGdt8UqeBNn82qedOjeLuBa0PqSr02V8sHwyIw4aD7/V5yy3fG PlC07aWDCma66sELPsnyxDmQI0kUU0t0Mt8BFf/pG2zVZVF5NLyKeW0h7E27z9kllm2B2i9YDJsj Kx/P4CM2b4c8omemiULdJBakyY+XJDa2mpebaK1MNORlL8nQbC5UaNuVCGKbiDnV/G8Ls0z7jTRe HBv5+3Z7Tpkoqqg7QEYSj6/BbBQ9HBO8yYzmt6cwW+FZ8NZz0NUYRE7mmEQuhV4KfXjGgis7SfHC r+LKdYOiG2y0rV0yvRuiX4UubYOMDKo9CxhQIIic9Jrm3dTPcAhP1yAuFoorws0cOCP8p9T3E1xN gbcV/sEFYKS8iTUK05UXsu8eX7lm7R/St7aWhqDg2Me/fCUlPnrEIomcRc/bVfg+Xi37RYhDUMYy fE7WYyxyOsmeBFLbd+dQtiLJiFIw59jLCGvjjXxFyRfKwaD9DVTbr8vQVPlZBSZy4vYNeqE/Q1ju ESa1pAsQ/tbrsEh/gu+Agf8KjCqzkK/aCJU/cm5exU3r/MKIsdxisd7xBGAb+bBPC9buwHu1HAu/ U309c0MCsRPIxBGtym0exRTcxj7h94/feqGmDzKd7hvyOqHbXJbJGjKd46bbLiG0oS0i0bOaooT4 UJ6pZnXcKX6wnxxAhYA+ESG0Fm7GuwY9ZUh8O0SxsucVYRmueotI8Obbn+3Fu1TIA0KU5lif84b9 q0Mkv/WibO41HbMHN1Di/c3FXIa0u73pKr2KLGDdQtCJub5rLWD6bkvkNNWK2yM3laiK6OU/K4I5 sgJ1YDZR/sCS6ZzFlSW+FqbkkWiEjcM4DU1gaMybd3MXZJe5gcbtuemakXv8AIAyvoTFQFANNWDt Cjmc7Qp3edj3MV751FM+rBCGmf7tN9KiLZcJYyDxZ5tZFQRwe2eo1sUMWq12LE83ENDqKyHbEHH5 3wcYSOoEbDTrTKDniDR+gkvhjAoAVRjiNwy0KTT1kTbndT8j0XOJucM3y6/st2Ck14dxbvF45RQk eprSCg5iDsZ3wr6ZnlA+GIiLfrAIoOvtCi2QBqu486eXF4cn/0R3YiOwmUCNt0rled89eb4grte4 4SmeaysZValAdFDM2fVg48BLpq0dQLujHN0SxAg9eysQJVfllwsgyQeR6PMsGIyTy7ndnyL5EaJ5 Z/gqLDFaV058Qb8Cbqwc69s17q52OLPfeLCSqDlhYNOPsI4VdpYnxeV+XrUc4x/q6IIJjFqwO7mS 9FOdJtDNy9nb9qNtrBUgo5aflTlZV9K1TYJ+L77RTNiUgrq/h/cdvIuAZq3oAGtim6Pw07wNi2WP eYn2cmZjWpxvzX7ReyjnroMoGwmwsO1k8ka4YpSlwqEWDtl+HqK7f1w+kDr+n08l4KSz16SfHy93 pA0xLFq6q4j7njdvKDJVzzOLr+GBpiHqo9RDcWGWalbZ/SD/LBVbof7WwaDawmJbJcKBXnIDAmMr tpGXFMBSrDjwoHfgvK/59JPpUDuaQQAVy8U5BIzkvwNdY+DnB3b9Z3qznUX1YH6px9vs+3YvQOVJ 6uHLbhklKC43O6ou4SsmUGRrJN8smbzLOuHZdR+IxFBc/LnnqPfp/1SrSMKareU+NZxJXSSpXCz4 qOeZqVBxPNQc1BUiwRYDgH7fpSOyu3d76dwfOx0wZT1Qd2nuYEtzZEhAx0LEMxA5QsGZO6G7uw13 5w+07eySF22xO/UoZ4kTvNPN6DRHTz9+kKXkbI7onx4x1euscy05dgd50qmuBAtA8d8PpwrkG9f6 T8F5B21IzN4wVm18j5LCrl+2uAbc50P/jii7cU0hvCOdaTRiEwMdUuHxisV2ZFlCmVd8SplY3v8S DwHwl7BFrwAT2XSd4sl3bdhbb2VVSg8GUIPQQ/i98PURxjoGNR3RmVbpCykahm6wAe18bD5B7QoO lHUbLxFKuH5//P71DtpOE+rWjCDIHAY9rqKmL+DGPrdphEvMYa/10h6eYMe0u7VshVc27wjl4bvs gayz1k9KdsZ7yiTalcYSOTHpUp8sSEgAu8EVBtUKoI0L/6TSRivycsZAlP0fAdtkIPbl/1Yd2j6I IqDb2lby1HUWehepRdzv6lO7rYJa1KuLy56fkpjJiC1xlyGPGV/vhYN8ETJ9wmm29zTvHjaATiYa SmFirmTFMyE0QE3a7rL2rSmzViBioNIEnuIHpYMbXdZFqaiIi1ggh5rdD6CyPpRYQ1EDvHYVFvJF mqRm5nPBtBP+7nR4K5Vsqr5TGGJHLHqnBxnW3c29FzKdWE2fLs9i28U05NU11jaU1I14j/LyOR7g cz59nVY54GZ7XPkz1wVmFjggmcTuUne9pI0cqgiI6ocGzo9/aRwKW1sJXoMKyM6KoY2Mt6SHhpV4 0s8MHRyKkcSOMxG/PT+XVC4YKNAbK1rEsitSs/Q5FFC3rtUbecVm9HNKrdC+zSlj9ym7W0KV2pcK ZcSXbYXPF3m4a3k9OoNjR5SOLGy9CG9mxC5V7vX7C4tUwYzKgfSCvDQubnNBvrr4dnxqi5rzabgz CcUITtKMv3ovzk4HlMBS2+GcKeRS5oEQPvAzMJ8MKoUNzrOzmuVJciHQx2UXk8W8IuIqhBtBom4f P7U/Hov63gr6C3ZBlkrk3YQdN4jo4ZlX9hsFZI2u2W1O2l3f33oDKi4o9QO9U4/TbLPRggwkuL7Q Py0TytzIDoFN1WrcKAQrxqO8B9QssJBkTSCNZtBRcNuBwPqRmRkTrg2qutaZrURiT973moXqPAWD 077T8U+91jXcTuzC1VHcRB6ptT0oxdVIIFdIMloSM+/ttkDua57LN/oHoTyBCY7UgMcEzGP4FZML kMa3xyi+yPkj8CVcosotqu+uvfbEZA2OPSo/WLgugetwBvjuc2/u+g4y/2mbKpEW16Iy2A01XcIW 5zew30NDxBGcfGYUS04oH5q6dYsXxcHxaqWG5Rd7BKrkJJr1ZOZiyEDujQycR4aBge945kDeMmw8 gOSmILRNkw0j9MuBdAdI/zos5IeFGR7JhVNM2nUNCwvJgXeAmzE5vSMl4q6O0REOiEmVu8IXMbzh ib6/5n04zlILxTbdYpfn46NxR/LlBo1qkTmSmBTlPezko5OZXCClTcLvTn8422GCHWuaUSKS+hVF z8zRGJfD+umI1Bz0uWFRWcCjkOpHpSyfArqRM5249ej7tqcH/cb8kmlHewziAK5ScgNk86IUiddE xY3P4otwE1FS7bCqzA8u5pM+Sx2D6RzYXnbHCGBTAl2tZ5nN6Fb3/ft5g4ap+nBpuaX/a5I9KqdA WXAelrfAJ7+t35sq7lYzFczjjoRZgvlPjhCO7r9XC6MUWg/b41+ZHdFqkC6yYkdPSii61DWJLoYL KCh5nhUr7DqnxNcCXZ6w79BFmsgDJdrLyAjqIPXF0GHJzOTdYbm+az7m4q9JfjutjA2wCEkU+BAx VbGN+5h24hIjzeDON3Znnwv65J9yKP53Ai//KyuzAwsiqiT2UWUPyVs6CcjScRCHrHhcH6hhRVUr fNh+PYFGHjs/bP6wYsAF0+MKEInBhy2or0PiIATLhp1OX/FYD/PEfGdtJs2QgZfMPP8+4eG0vAgY +BPMoxjf3P/TVc7Oz6Rn7vwGjpvYkGmbznaVriDSAPInMiQFbmeQ95sSsO8e+a5Qos60ipIY+6R5 Z7A7tV/gjoXpaMVNU7jYJAhTsTz1F5eJdushdu9jjcwFqNDSVvi0+iS1pChWFDOS6o2wnk3hTx/N +n2ll/IifgqdWHibBrHcPuv6+7NsNGpFG8xcmb+19saGc+i21EbWi7oItvfvMoKVtYzfYTcx1Y/o cUh0QcgKmQjJJIHsBJLFDouqXSsFOvnLFqYZ/0/JxgCNL03SGMEJpf2/jjcrunN+ra0Kh4pIqJrz 4SPPYPzS2nVFGO/qKDbWuprbMGwvmouGz6/ZCKD7z72hs/yQPPg8rgQfbx03CgRs6UPESUQeEpIs 9qs9dnCKNlQYUBSSdqV+dinye1nOkF84PjLr3a6f6o+CZKhbxWozbo61kX73Bj/BaE8j21oLj8J9 eB1Jtd02PDQzEHiT8Xxm6fGe04fofDNKx/fKJStzhMbm8GMcpnAcnyZju/kthLaI7X/9Ibtyo4Lj l6TjOFwZFYxvRO2P/xR7cZyW2fD5ZVMdSDoDye5IYZqaLLDoeWCfQG9v2Njgwd/64tpJvFcRL62Q r2UtihgTAwbeMhoSz0oWluiRA9ilYdeTm501jetPzYLizjmEEUV8bdpcAHzkbnYdZcmQkvD+t7YR UuekKHnErr0NOgkSLZIfPssJQZlVng3Z56QaW8Y+s4uSoUE1xtoc/b6Z7p0qNr8iQALY1Jb21vNN JmKyDAP3kpioyazNa9CUwdDhkpzWJrQQVq13kvwnAggynI36kBUYgSFcz5Nd7Zd1yoOvXqcBg2U3 St0G3Tg6sik0i3WsdowOVrB2B+Ye/aB6C5RcJn0JLMbmg/y/sbgsNWXVz/9+ojepFjuSXa9YpGd6 xORLqzFn/BFtuJBYHZoy4HxdfHpUXdxqy5D9HyJB1xLrXATKhy6yEgZKfQM6f/yYJb6QJS6HJsHX kgZaA/+u41PrQ5Qtq+VAXaZWXCDKKnJp1MAa1VJE5P+azuYrZXx6OvaniBPQvVEvRW7Fc7rqEDYt FcC+YZYpW6Vl5+/wqSdDi7rXrlbgoPl2hT/sB1v4oYbCRNwg/jXESNbLe7kkUO5RzWISr7ep2fp2 89cDmrCyAYd7u//jNYyZdWbipaypsO7Jmj3iOBj2XUgYDJglJ+fHYVGgY4a/paYvZ47z8KUGmGA2 9Tt+vlmJrrrtrSdSKfc/hat10zChNx8NgASfmNZh8XurHnr/1aAN+aH+qgWNxhjfsQbPWIGgh2/c 5Io0fwK0v2J/HEutSJJuLpU4f04vdiAxqT8nuzTT0LYJGv17LfQyutk4IvCjQ1HFoi89um3scKQg my1TSvNpNq9UD1v/t9RjDIA7MWa/aYndXTjlpKpWLMfPHCwNiN/jgqscK44ncMKCq2R9mqclQNag 8cOrBNOV31R4MaOpr+uKQaOCUPkYm3p+ktNJSOO5WBeidi9qSTHnfZ+E7ghlpZIxcNWge3haWe/H IZiIL5+7IQlXIg0oeg2KX1zhaUHU8DLS0YETpC6Mt4KmvBnFKmYE15T/l5PGEhCc1mqIbhcTN6Ts TuyBz5zN3BD0aK0Jl+qNt8EWcQAH8f83UTVjO2sWJV+BahI6LNWXz6NdQ66CCZVXabqd7UmIobuq 0ROoAYBHSR9J+ORoRTMj+UP1rr8LXweKH0iJylPuR+s1C3ApIs+bXbWxKi39cjsUj2/z4l+64ErD qsRseGdEHKzwcoiqplxHbk6of9+H0ES2K/rSh+nVCi/9mnuz2iE0ffuRUMzjEfBPqzsU+37RH+jh RB5fUeQ/PmFswvg90XfeRsJ0oPQ4JkfAqibSS1CbAV+z2YEesTsn6KtoYauBNCBrRkHmSdHz2GAr zOBex3h+28iC5Q4vovFS3OOb+onctaqXyjsOwGd5lTWqSkRloZh9t1aLdmM+jCnYMb9Nuy2iXinx 1qfVJpgnpJrUZ4vzix1A57QM3GkhImIBz0D+EaheNuSjw6ZVWv/duioxXfn4CmqPWLk7wDMMDeLM UANfio0lE/N0ZY021Wh7qzD69AAd6gIYwgc/k+4GzBYLoSaLYs7dAS6O3ORLDqN2CkQ9YypwxT7J FS286GS9wQyZLdADZVpPRetmaI1ZgrUuQoxFdEcKYMm962aVSEKug0+7J8tLDkd1km9AoS4177oF Uyrrf3xkyLBjGoF/WbD/Y6AkDLKb6AD6znPQWF6ZJWQUhBTNA5npr87Nh+pVjLummaVFET++6r3J 1NWfqifRRBkNkV5WW+eYxwH2yee66tDxSpB44Ym3/nEEnbUo3AQ8cCZa/sxs295f2lc0lFzUZXAc aCZsVq17TrVhN8JvS7FHKUpTSzHtH8n8yXJPiPkmm42GRNiZmuj0NI/3ES3hFFzH+6U02QMvUyi9 7Ah5vXuAblaQaiUJsO/vcKLOI0SRA9GOVmzYqBStxevr1xry+Qubx0kYfQCaUtDqv7nCsQf4tQVF H3EP1m7M1jtNGYe4M4wAdMMT/i7V0xPcmGYPinGSjeQ7nVO7vyeuB6xZkTk4361q34f5rkaFUwAi h4RSt9YggLhJxtcoDHPekiu8tCslDrhd1SF9J7V8YIe8QfCwGVfrD6YxCZYQw1a3Lc7lvwa//gA/ fpTwSm8ZeZhGOXf/vjMJhJC6gFgXJooKdZGO2K5dO27P6Vvtxl7Oztgf4/FvOQWA30W6ZcriJyDi DFrUKV0o+RNlRzmSamjZKhr57E8PpPgLcMZBCTp+9rkmohvs+smiZCJdQdR51R4W0X6fDeujRWaE n1YpOMCTJeaeCbuX2GSXPEyDo1zFpqtJyyMpe+Q5ZhA2TB5W18hTN6OobFxkc4pmqTPYA4XniaLc EmzK8+E/hdJ61oE9j8uqzGkb/VLGeG4gOPPnGh+4ods7vEPBSNUCfdIjc9cSRJkSAsPCaDsjtDrf l/6CVKHhRJlpPo8h7zolKJcR67TxmUK8HRchmC6KGfcSaS8HvZJ5Jlq9gR33H2tRQicxxOaQWrvb tno9rNk82BPvZTzD/VSZUM1H2MM+kVpLog/6zWRX/CnhuIEfVDseByn+g1YLh03+98FapkSCEQeD YotbSA3uonEpXLEvRZBgx95xGiaNZ9Mlp+aU39LXPhIMmTyUyC/2RgSSijIY80z5s/9odI4m4cKC gv0mG8w0NHFjWX9ixqtgs505vmnzkR1PP0WJxa9wWdey4eyCRF48Adi0fAWKCZRUVBqpzb9V00fJ nWxHsLJ9Vhnb58pinh9XvuC4Se+MESVXHaol4jwkpG8tDGbiBwgAvBCsNxrSHhf5j6SJfHrOtDz0 FrKumxIbcPLnAEgghANWd0JMPT3Ne/mLHsXXv2w3BAc+scCofovc/5exjTQrK8Rai573w1LWVt2W 4nwwB6w5z9UKY1fwUxiZDhaCm9I8oF1tK/dm68RuFhChLzQvj+mSAIew1Aa0l8Gj8DYTY11Ja/jA DK+UV4kVw6Zb3tmHzGKw9MfSck7pIgFlLA6s9vT93eo+pWEztsgZXKJLUjsTYCAaLSzJrshMfDq3 Qx3/nwNiEEfAx5gDW9DjmQF4cZPI8lLaDTs2yzzNnUgRmlt11bm6DIKldcs/NL9mVnFIKuqO71os UbtoZwThKJdL9axMlhpXKUuT5vVnUNiXTkCnwjEvH/FzKPp7efzvb8gvbUsZxUgeQHnZv7lNgduy 9WYSIeJhjppYTHeJ+8NfJyeVi8jMes8ig7VG4Og0IwC/0HZaxAWsNwora6TckedvwVNQRLdKH4Ht WJdv720HBJ8P/mhdR81RYOHgoAUkZYt7eb72hoDG+YH9bs99A38Wke6HdP2aXtR97HDr80xTmmSa 6QxEg/UiBuwtVo/CB4l/Kixn9ibGpMiUO7QABB1jsU85aZXw6xxzLvYdS+5WoqEMS1JHpIBK5dA1 mqxOkb/e6zR7JELnTMOmToAs8W2Wymq3U6G6LXVYcZVePijhwYD8/3UGlbRVXOIURJmPWDY1RanP Jm6D/q+Y3xapBgGeDIfid8FgEsZMU0lZJzPVogRGwjdVG83N7O3pnCzQXR2L+ayaPd+8yAtNq27R t5gHFJtQoyaYQlc2EG221Y+MpkPm6iQrS4vwhtAeuGCF9NFXcQEkz0Lkq10ZVSx0KgRU3We/dB+G zlHm6r0FadvkZZMNXt1Pj0mWpk8JRkP+3bwMCHcyDcZEcCZs9UUOBBj14YAVjZJE6BH5BhK5+qah F8XVrjwJT4yvUQCH3z5/fG3LmBa9FcTx0foGxKRGcRRIRD9zPgzjNpONQkp73QAoCgzKEgCgDPmz lETaM+hoJCnp2mkfT3F6a/MxQIaRYORiiRjb0FYHrifSFkTZdUTLnZ80rt/EzV76M0to08qNUVlh ezfx2t0cpxxKyh0nAaRcH+HgWpFkPLzsLMQbAQLtRBrDA7WSkUIfwd59GME+cu7KUslPrtgfYorw KmMZBAly4r98ximUgKI4nzIzQAzRf7ATyqKCxTDtYl+D3BcMULJMQhRg36dDIqRfFarL3SoRGj1w 3fzjP0daakEFHgUQ/y80Fdh4R9igphocgwx1ZwZccnhoZRt1bAASGJXvgPndzn3n0G8ZsBZ3kisl wUTuqidzbIVctDRTDRn1Gq4/NEyqRrctENHgohZyA6sHndZvB1rzZwjbV+C2QYL/pwupd4B/pvfy 4zs0skB83c7daxL3MxZQN+xHp06kBeZb2hUqco6rsQAMzn6skUR0/OASyClFrzwBbDGst9O3C7vM qJN00cA9HRNHPkStyn++kFlLn+clSC0I+U24+TKV6Oi7QKFfQPFsi8QLL+UBODFjSuv0mbWLlVeT ZaS38K2F37zNZCzQQCQOCYScYzxB7gqdj+/XholiK6xdgtc80Pul+fl/EcxdFE4mZzXaaox56pgr ssRbNyrRA+IXGB5ncxGgeYRTS/4oKjufgmZF0b2qvrrijl7k8SQyfeJKl7eO/h7PTMLyIv6kyQ9O ShdqDsMpNcluL6XjUtQZRc5GVni8cubbfN4CniOAW+cWcxQ8+U+2YcGBbJLk9RUW4R0C12XBR59P Y9qqtfWimVG43PuIJxTq0jRvzLtF2vQn9lbgAxvEK6NDvfHQWsk0lssV/BWD/AoVOYFGD2rNtFcb YoY4I9uPc1lwW4yip58X6Xh/4YEi/GFojNEnTtvV8EKwORJVzfI6zI/Q/g0CeV9n0IBKD2KBhqB/ zAgll4GFmotUD1Px5lk+EfzfHlDO0oxCrJzOlo6bo99VtHpbjBRyUMeYAnkHXun1aK6ekJT0f7/E kaCrVGeu0HLAGKxmAKSldmConWQK7ujAx0rRki9NJfIzZp8kFop6NSEwesJ6XkP3YJBC9Qjk8DI2 huaRbo98GhTYuNQXfGl2eugTpGSo6GWQ743eW/aiqUhJISF41CCsmK9pgpSLuUOxXCPXQH9LXusL P9w8K9MLeg3IzY3CBeRCJ2SLKCYri2LXebNaWVFKcIG70yygAqXax74E2MSkBy3OR2xcRvhStZHZ zAR6/TgxS8Qsd2IbAnl98AIArA9d27PB1PW68YjNgLAfCVM2ugPlCSRlPy7wBpO2cIFr01KgUfXq k8vuLMuMus2DUlxr4qOOViLmFQmiZRtCRWUh6hj5KeKtypP3Z2EPZg0okPgzYxfm/tX4H63+8AsL vqChonDj4BgU6CCHNmoqoh9vMfFkxcdH0h5yMYfAOaA5NGifgnnIROfxq2IHmnOARYxW70zbwCXJ zzeeX7cwaY94TqgV/+e+VMMqh7xn83e53E9RQx8dnKPHV2CFR0o8ScklC4x5H7G6Z4C3sNRN4jQ6 nXQ6g4/wF9WCxpCd7GpLT7CNl3ghye1SfHGHGZHer/tgMmM3M26T8d/E7Der3HSJCwD++BA1v0UW +CdHBaSeaeIjfvUDwaSReB7c9EF3tRDXkFPE3QNcQeUWx4as981sCE6e5ByCfm8vs6hCw7k8dJz4 mpj/iqp9g2NhSzD7EppDjKQcA+QJXHcTXyfe6ntvTPng4PYaNWQ+khh4cUeoh468GLsY3bVBfj2r cjle4l/2nd1p3GmOv4p7M4zqXNIBpreHKiW0p9k3cBgJb68Iy7ve8PpLlxVGCUDwrQeqirEjcPXa fB+thmlJZNs8OYxVsJ00RaM7i8zCUkgAXHXFjlpvHglT8a5apdVeyheHIDh7niL6ndKEzgt4CaAf aZfayrSYD0h7c/UniLItOAKaJ4t0ztshoVhqw4GnpbC691l/TWN+iVRMLip4/6lYg1eai0prfkkR o4hh+MBXUqwSQivVH5Bvvy552Vcwc2aGjcyTFpQNAinigDI9toWA3gSbLp2faaB9sHAr2oGeeeKZ RXb791GeCc09z4392rk8cGxiHh26EZFPMEUJZAKh2CamSNevBps7SZZwn3eyFyM0nqOkveD08Srz pBFIieYgmPwVC4ohJ3n+3tgT8ASiNu8Aaoq4uMMLGP6VtGl+suzx2NRhIakX1bAWlUaPUUXrGtS8 aUwH6He7+96lyg7H2yDxvesOGAREWeLZKX2A5z3tNVSvtgHPdFLoOzpwB2hzpXoxBxUsyqH4W+0L tYPpmFV6EFjSLTvOhmham8XNZxy6zsjJ6sRV6uZ5wr57ttWOzchj1tfz4rvdPxIGTau2+Q4zLPwM 6KXbNwAMsVRcUC/52elFn1NLCpcAyNZAS2Lannx1GCSSD5VND91CjNr4DLkJFoJ71I+wjjMHl3cv +qpoTbWE825TjyB+t9Jq+LNknI8NLMKEFIIePzVUuITv4+cXs8yJjnPK5DtZXIvkRQs7BgHLQOw4 uUenf1qbG9esvm33EPpcHphhedgLT3aEUclZLsF70YK5IgDMJuNatQcHtIESmy98qNn7zoKxL3Ud AbtqEOL/OSxR/UW29ZTzogyxC283+R8bV828rNOIcdG0FJ96sC8OvOeaWzfNXYP26fLs2WG8CqX3 QahM6S9Kv8P4fTYDaH2VBbOmlXikeT+D0rgYQHJ6JezQ0z05cjLMwdoKePwCaMN5qDi4lORx9hpp 4Ybow/SjZvKQbXzNQJhMBY/h4MoBgfRBoqgeLFLZfpW9EjJzPKubdftklHYElGiFjFuPfHSOVKgH GVzB624UdzvPHi3Bn3V4LqKUo7YB1uFULfZaS9Y60u4jMKWnt/wbtlExUD0dgGkvglvF4vL9ZqhH /ZDyeqJ95XUp2IdYxJUQkqCdcwVv80Y8ZIgqksJkffdcoWY3sBqz43kW3Jbm20VbCxaIjV0Jyhrj 6sA9M6Wk7x7JPmZ2SSriFbRFQv2Xug+6DcNz9mse8Oplkb1SgQJUrRN/3bEkFJ+Ctg74N9Kj50W2 htVmlzYrPlaxuXjxEUweHqHiTprvVil8VttTbdJHJe6z4YwIM39DGa6n3+mGc12GUVCeF5If0MED OjQK6eNswkKUysHfwRlyd1BjSuOEc1H2HrMpsTAX4mAmsnEsZjJXAyr/JziYtzrvDO2ICfpmPE7Q Ca7NCZJ2Wyls79AhfvQY9iplK3wqoqPokEyRRgNdKXXH+gppKU7TP7DaEqOQuNQ469kl7JtchEfs wMfUadnd7hnujR0cKYQeTuDSAJVoKuGtBDHqHN412c4GjEQ0rbyHfPZcEbEGr/HhpF4ci1nyVvYP TT1kZ4fbCOUR0m9vUSlePxgwdtR9d4XTyDiaF11R4RaOgUdZwEly/8pv2ro4SzK4uYImpfjf0EyI HXhXrjQL9LwiLUFnFDDeJX/b1IaPNlRDTiIMcGYVF3DZ3fFxYF9xTlDuWlVAP9GOSo4Uh9zC+KP2 GxPvZBagpNioj9jw3UoZQUmod0ND43epwX42D+VgektJW9JuJUtX2NO3PGnQrinhYVjgNpJ+xNWT AiCnqSELCWP0B8WEVOha3NH5ewCjsl0C44QAkHnA7nlYFy7rc9DmiYh/rVAEI7DMSlJI7y19+M8y OlFc6MMMwQao4Dt8LNjWeTSY+3TxyeVUwueYbDzNaI1ZSdoiBCpJ8lOkXKF4GHgNEyEFionpdmBE Ur0/+r3D4O8Muaskzg4z8ZNel32VVLyfH0Jd3IgRS5omWy32WK1EflUdFeVAzWvrkAu+uIoEwBPG +yOkKFFqJdZDBSFMne1sPazTw6xqn6eQF4y8DD2hDvqYxApT7PZP5l28+WthGbJ8r9bgtKn6P5vs x+pI237HUei9qKdke6jM2Iy8E+beHIucqwk3HkRcXnd+dezr3BM8t30vgLD5sVmA0q5c3zqYwoRY 2fbqpZMQW3NzKRiFl4Nim3DdGRoXD+EAxADz3F1xCTgDIghpcgjN5LCgWBTYfMEhlxjIkh1kZP21 CF0JCvc6Efyq7keCJrItJTHATtRyP/sumooxpdr/XUBm0RTu5tY/te7eNonTVqn11I3WOYk1ifUp Bq7f+oFEWcWD0R/AsnMpdr8wiuHIsxkYjN7CQihQ+8eSanlm7eNkCdMKxp2GX2FwvB5M9jbDqil1 d0+e2ZOw6U/0BJJ0fY1XC66IGwmc/jR0LC5mY2D4SVs+e7YD3zC4aiXRJS/vcBfQ8CsQ/PyeHq8D r6Mi/ypiXuInq2T/HhnVgHvnt2z3Q31hoNU/yoCBCOfTBsJL4VZp4YtU42ah3Q4kILPcRWwD/FdD 3sEOlc6hOepiOeWOy8wrSy+kRKe6TGBjMwMEswcTC+kRbdb56KpDC2k82NX0xYubKdL39DjY2AdH 9dIScOqi1duMrk3yHtWfSIfQH0FlLhy6k5MaZqHhW+hNdO0LmyPN+SswDCM1mmnIddG8d8Tmykj8 3kTuW0ogu4lv60PlhLIGdzXwV7u64WJqmJtShAJpFIkG/kRl7UG5nr2OpHLYuGQtTQJyixbHGCSP KuyKWKGl8g/5vkmJ6eeP4GpZhc6hp1opFbpDnZlOMwOq28wwizSYtRqhWAe+N45xahDzip8gC3OG s5m4JrBTPIJ11sOOuInoMJgE8UKihaIgIGxBANgG0lXN7YWOKhTEqss9kj1ahONhG+F7w3zHkqmB huIK8hjykwlCaAVBg2EzQZ1AYNCowcoEeyI56YVwhbJyeOi9Nh8TVmYyOe76l8GeGdxxMurpQB+c DT05a9Y2ob2Y+P1MYFihOI3oPRW8qcIYgWLUZsIjndDgS5qNetUTTzkZ3EZHyUgChQMyzJio/MTQ /CgQOrzxKRYeLxTt2rs1ajQGGmYiittN8nc/2e3WAdanCs1Fvt/7csAqVZQ/Y22ElzAwneJlhOQ9 fduz3cJ/fyBl2GSzw/GgbcWswID06erwbhcJXu7MWJEmO2HwY9rVlJPy5PpFp3Xd1sFjzKgeqdK+ OE74DmwHVnd2uh2+98oJ0HIq7vrW4iPpiztoojw7gyPxV86jNCxVNLlGoHNCr9txof97aBiGLRqw KBNg/3ovyo01O7EZ35YumpGmm0zklg9Z3uvcILcB27MbHZIt0q4iotEmZ9yMXjqzcyx2hFLBonu+ SlBQKGuLGG7nsgTG6H2KUCQbvx6Jt8JDLWWaiWUQ73S3mgWqgA1riBnAwXG2//Wo6aVaJqQGMaNC GAvK2W6r7m5Z04uvD85rMXZfe4XQ3zrcw2gH9sk1lo6tJW6nlz/65Md0AF+gdfj69Mx7P3eLDy14 WbnTgfhoPvtJJ0d6wDMVRHTzyThvsue8Jy90he5/nLguKD3BC+fWSgE1PBHMn480vu68adVZB5fr pKeBwAB/AMdDMbALT4f3khu5samk0inrd8qGPajOu4A2qcuSZDWRQHvy2MfA+EGKuWYSoIgaX7Gc ZqmsQfdVxsruTH4OY5SKN4AuY2jRGRthUksEPbC9TELQZIw/AjMcmOaV9Re1NLFHQ7DXjPCcuo39 /refSP996FmPVV8Xr11r3tJxfXregTX8KlQjD4NYaVve8KguagMfQl3+d7Ggel5+vS1wYREJAjOw ua4+4js62uHAElVtmwimf0GOki8wKdtCSPicjLrb175x0aiki2k16JonwTwpDwOoWs+ZQqeIxt8C N6t+TYEtSxQi4EwmbWBnjYLOQLuIO97ZA2N4dIG1CL/S+8WT+R+UnKyukOHqsxZR6BeTzjcbgfZ+ 9loXR7hbrj6Xfh36BnSKpz9qWfgrJfbUCmecyAkIkaRh65oqVxEWYUSwXL2L+UUiLhFcNE+Ca3cq DVqR2wgL7SFSi1v23vyPdrBzcxkEkfLacC4ibYmXp4sp5JS4I8wubyXf2A/GX6W6yL02AAF7vZa0 m8Tj4jw6yzq/ivjUTNnBJR3I1BLCYPlGnMo+lt5+yP4D3OCWxnWKeOWpCBQZu69SPd8Cfo655y/1 +BoLbOuYlPfOJdX/VZ7vneFS6DJCM/M/Czwtm9exyxpVfsyDQ/WK2JEc5ZEPEAFaZq8kNNfEWlCA Ia+4rhsagQCMVRRrPjxGFGtu5x/hHfZk2soCgQPAJhB88/5+lbq2MIve+Gnvtw9pI3+YyRPRI4fD ZQkkWKd2/kOsf3momG33oTc4Ddet7JviAxfojCb2Nh7qw2e3oC01boNMd144RevU38TO7Mi0Hv9x HgF8VOPRi6JYWX/65GWEsNUDorlMcYRHnhD5XdtCSZDbqA/QDfK2CemwQy6WQtxU04C2zHg1IO7m 6DLUtFFYD+ZCmmrESCf6EZFDlY/YWRNLQtA+R2Z2ecuTB1TmVoGVdvm+G2lOLG3e5Zx4VOAfRV3o sqWzTqrGc/Gbklv701ghyGItszPkx82lDQFA7DfDWluiWVu53f01xbNPJUsPI8mL6CuekWkLn4QU pKz62IW5Xh3/jq43YJjXl9wtvWJvcn8/1IooJoW0/83e/ehx8h+0yFA6yWDnjD+Uvfduf9YZNsOv ZyhSghCqcmGN4HqEXa/w+9pNf5SCP3Wk0DJhdFvfh3SiEV055uWYYHjn0/BIy4U+Dl/aKebUn3Um MfESWx1dYbrldxkashlSfh4P6UkZplMNfgQMs5JPrM8Za+hYgkjoj+oLV/OAy6NnfXRR/JjlGqp4 OvhSBIkotI2kDJC0hInYL9DrCpIyyHsxmeK56D+6ncvSW5ysKpy3+lS2Y62JPEDiDSEYpGXG7YbW Q0CgaAPVaHs2S9T1ep45NjYVE5S1Py/apQoXPyyn65sbcCJW43aLcUC7FMnTNHQAbTenwuDFIbjD BE3YoM4kUvJRbKTqTl+gXDqqRqi/8knVYGPtLqE8TEbFUHqHqxMpOuoHCDHwbb4FU0kuAjT+jyGf v1aFdZyWlUOUPMOenO7NvLUNqq+msK2sDXJE2r3oHGnV+OHp477PkBHvX8mS6kSzvhLZcVXBbO7o H0u0K6iU/a0nMHfhrFE1L9d3Gxw/uR/JDF23h5woBEoV6gFoIW/Eim37mpSoey5wEX3QoYFmSNKn g1XjegI2AgugW6hf0+SgDeisJcOWuqrxFAKR/vOP+Q6IkvQxmbvq1p097BeS5Hdo7tcALjCGRLAN 4+hsVetIF9wfJIMjF5db21GT5QHIq8uT3r1weljJpS04avGnPQGd6g9nDbA9dh6fTVaKroQTMvPQ SelOim9d770In0Wh0QxUjwflNlv4mL/v+DT8frzP0ELG3+RibcYkIQ0sqX4rEgRlf8x38qVFWFR/ K3L37E0z8tTxaeIhjqGLByH+WhMD1zLgBKry7dfOgnFmm3vuqihbb5raRZ19dAVNfvYuFx0ZpBUx 5i6ZzivlbsiXRwvT6He2dKCmmD+HZ/6UZD2wCvetquKH2W7g0qO6x2kD+Aobc0H7tGPA6hWcuwF7 AQgH2XxVuHC2T2BB0w3Rh3gmvRP55Axn08JglXPwwTaDNoxrnfaChqRFZmxquvh6Zhsd34AGS+x1 v8jMcCGKcnW8zxOy8c3i0Ms7rmACULfJ9z2B5msoHWCR7oAK9g6I2oux9Vmo+80Uns7mpr64pYOz 9QGy+rByTRMnqMbuWtTJFpNc1izGDPIu7ughrNCK6RvphPtGq4WyUqQWfmNQ8SHMxRkzRC2JQaml XfaTin08/oXZFBqqRcR0RthJ/nlIQ4OW/by57uknC0XORGf8lv/G6IWL3JlJC57NnnZPyvUZ/UUJ NGwaPBJVkvoVh2ZRh5364u7HvXxJbzaQ1yjN5vaIbNW6TC2iTDrkbn/RjHIsNd+KCeIz9bqMBT7G SuvzH6HBicX20uxEpxPTIwHtoUHTdKuggGzYBee74lR4fTD2Bx2HOBaojoSqN5lu7RAuehQ8okTu GNObUKaV7dXZ/2XyXyo8N4kNrlZWBoKnDujFKNwki1G/QQaK/B9ntct1mlJzyGyK7zRZ2TG/roJg 5HRM/W3GZENiRXsDKNLR6ztUt8zd49j07rL63i5HrSTFtz46OghOQQGz+3xHLu1w0/h/O/X+QGik BjtOpDiDEd6M9TreQvynSMRhojmq7/k2ZdN8BO1CEYtWUMID1mUcyNzEdH9nKU+ufKBZwLtvGgI+ pIJ7Pf/juC45yIvhWJcJAQ2E4MnPnWfkeHLyVUikM7aM1pBfGd8nStCd+sq9EjODK/gHhgEobkhx u3LF7VyHrmchcd/qan6RwIMhs4ICKLuw/o2Cs8M6b7u4fQTeSSG7rpqvvHkWTVGS4eb8/hbTr1Lo CF+C2qFU+7blx5++gol4uOX7kdnnrY10ejuU+knvlom4mFB8fDdC1UYAoRfLoYRZeoeJKBPYJ1tX QuvwGu73CDQ3AXXhxR46SSKAsB3XM6w/xKTM0u0wORWVTVgiCmXPf6DYZZ6PBw6/rI93mE8oA6/A W28tekBLc4IGo+PvdQvJnuJnsU2P3U0eU6LcXn6Zytcpm/feElZlcix69vwHFqDLMat7hex2sSYU GHGAAO39eSAkHedgmKWVUL7CClsFxg+04zhiCvfZOJB+KiZJQ4gwlvUwbE9lUYwsYlxG7pb6gwYS wF6RgEzSjA9Yz9xUBmwjxmezAhLQPu7HF+FpEs/kTeup9Mk4SCp4t1otZFnKnmUyhcUwt1GtEQFP Kea1s+AAqmx8n3XR37VyfQ5vx+PH3nt/jElpWTAJDkykspN/SrVAFc1pD+UEQd+EGNi7Iqv+UiKn 1+Tjdvf/NpzhVSf0AgnJpU+TkQ0AWtYnLDzNempyr2bL+A4FTwtYTsDbsLUZZMXq8ovhY47vR3Gx 7xUbP6H4O9W6DxI0OgIlXMxLNL8j15Oq3pZRdhc9LBh+szWn8LRbWqSMILkqx6o9QZcGzFT+/shP 67tRSkKtQ7gT72QegSyBQ4B43sWmlaINLG66mufCcIMUoWrvq0LHYBT/3LuDkIpHpLUhEHE6iJzE ODbFxY13Om+ONbsSLUiLnvCO0CB1h2Nwj8H9/3mGzZDe3dIckRaKKz5HYmjpP5lUgR8fl/5uqtZu igVKRCK4bheeEqj/8e9/Tw7w0jv0o3unZzMeSI/Cwy88JGj5PiXVa1zBgimWSBHH9BOTcNNCDJqu Biw/RiUOvhTJms3V4dZgZadzn4daaFZNDteqIT/NxXCSq7h1FgV0T14ZJJ3BIwD+F5t0I1v5/Vo0 CbBQBIRuj9T4MGCulh+K/5wFumaVQwUM18PQDliem5Z0tt5jm4EzRjD1VMNjvHkXKHphqc8HC8cs nlQBm5CqRraAgtVZCmw4weACbJp/Kxzk+ErlASji4VTXyk4CDXqW0S93oVDaNPImGnZ31iXCRcSW Si61FN2xJ0vwXbqY105nkRlgHQ1MjberAgZrY1UCb2ZZfBxRQMg9hIct/BJ576xYgOZ7cDBNTjNr IWNXGZ0IRhIT7B5tnlg0d97eALxNUt/b4e7dYcc6Kx7YDdIn7WApYqL4HysLbShHDsz8hb+E+9dh UPoJhj/ZbYtREsL1NAcGCuCOpRF/EduzhOKxYwFRFfnyA21JWo8Rs5OCcpxMh6w+SSW7HFP0G982 gpXskF0o14sYhfUDIpTXLvUHxHoIJJtzfGYM+f05TKM123taZqypeR7fuGOQ7F+V3z2IZMkakOVi 6ZFLpw1IXFqY1y+T8DfTF0UiOAJReLjlcPTKS+cqal1DCinZ0q9Tprk0VzED6nOawX46z3MJyKX9 3c/AV2p9ZwF0y4u5+orQKuAKwR/OQHgZMCQio3s/WGFQo79JWOEDd+lqGQxqLC4Y9QW1JF2GwlYV vojag72uD2c2I6sJCh78zTPeqalpsovy8R7UTtSF/LgCdFXMbB5FVTNxBn3z0ivZCVlCGk6ExKKX ilGEQIQsIX+ZhMqv8uk2E4C6dswhGzh3dsafhziZ0w/cOiGnqz74mGCu4hFyimG8s+QT3OaHEsQe ppH+BOW6bbv05aGKgmVIR6uMk69OUXcS+18HtQ1ALh0G6Ph/AcsS4pWeOzmWVDMuyPocqWM1Slms +COXEdC6Hsnydle7GGw+qHmoWBypW5GyUdLfZVG/FijNn9dMIL0GJbZ0VZ1EOq8uiUB9vMvrBTqK eIyQGA8OPyM5XsyijXn/DGfxhorbZP3GmoIDW5yw/33gKTZGbIfhPHXy5DZx3VU6gWnHHBBjhztL e7cJnFNYY1HfdX4OHEx7PvvcsxISARz6s6h24h2EnkWYHap3B2cIDXYZB/fIIDXSp010gRWNa1Z/ 7jYO5CqiZyDVg9t7D6QcPWC8UZPRQbkxOXu/6loRIUkWWwKY4vNQa8vNCUd4/yrm+Pxjnyp/mXZI AsPqNH+Z+rOI7B/C9teK1ZzMaTrdxzWSwPmIt2S6Byq87wLvMXM0CRG1EgnKHXFyz4O/lglfygZd //KFZW9zFf9GYXjFsJYpK9Gt/DklOrvZ0w7v72M/unRnsPbT5so7NTNnoWwzjRmsEIekTd64TGqT vNd+fsk1PpzrxK+S09x6lHLku0n3ZE05ipzje9T2Ct3FFwtVT5DaKXRERxQGWSR+aFIElIEAZRdU 13cYTy/RIWgwCmvlz7CIh27LJxl0dUS6xkSdOEmtoLJyot04Hi/p5OdgzcFvV6vSgfkSEhhRQMcZ suL9Kw2corA9lHuV3dzsnU82jQ9O5lJp0j4csEeL9GH8dZrPBVPIgYhnhGUNg/CRnpFH6F38iSu8 YE5VxB/KB1Jn/NwA1p5yDtOHxVpYHQttE+OJwFvSr9QbmAUWOkAdnaZ3C/s8GnAdTseS7Fa/+uI7 AZD23TXYR7xGUJTBMVJTn5NtI3+429bJOUa52aMG7hn71UXHmSSC3yYeVdigEHmO2QR4TUpAp1dY +GvR5lZ7uiG73Ny1z52NupmSqkMMlojXSep6bPICkJsqbLoOWLDNZBspuF+GJEjoDGqGfW5O509H PbiV34XHWX1zwP60SRxqYq1w9y/PKddQZxQ10Lb5jY/WAyIl3/+ahkTBgM9XGcgDb6ehvUnbTXoY rixIETJPv8DSVoCDsWh9zYIlwj/A7w0MVGq7EJo4IOsu8MFePZKLpsStnOueBqiKBdMUeUCBtA8a H/8/z65FSBFhLHsni7vKwhA4M8veDhFs/KWa6rzZrvBwVxHzZPM+GSkvVDYA1QAzkrJfPlxmYFpK 8zJIcJoInAgxV4E2BYJE6ccAF2g+DZb6hMebMErKcBEbxMQ/3Z0cWG8F93Gew+q0T1217P4y2N23 PctDByNht7+1mNhvsd3LL0s3GtynHIQIjgn08oETEP1LvArGF5+1iDyLjwHHPScx0Hg9BxeqxnJw wEgimBHT85z+a+dTRiAqb4YdYieRgdwH+nw5EUqPGddL2gkFi+lKdOe326YDA4BI/AwzUz1rt8T+ tgJOGbiZCpTpFz4ACwTAX9Pb1bMUsbB2u+WcdLmU5k1z1G4ra4BHZWPYH+4cFrF6oLFkUG1ZZ7XP tJvQuJOX6wPz5ClI5beFo4fnklQOABxGMiAnr+ZVcy7/fD0ds5C3aPVV5XknSv6drdNP+tqTRy23 ztLTmCKqtg9S5Gu9QVhcrShPxwHC/+l99oZOqPHl3LGnFxJOKeaBJ0u/HFH4WN3Uwylt+4vyaAsL s0/1nyHoLOIxm5007SJALTalJdnt+bpTEFBMlanAlsr6olzz0cAvgRfmx286OEekDe1aMW4HUThn fZdGBpA8YvvwkVN79KoOHOVjHn1A8Yx4tmmIjaj33mwSEoMTSnMpBHtaJli2w6cHNfbm5tv/qVgC 0bUF8HNIhheMEZdihm7LBFdJ/afgB/LMZLHa+av6SwhWKA2olVwD0xsYuBcNi0yfmmqi6iKQ/Y0w Tyr0HDUFWVd/HUYlcuQCkBtWT8+t3k1j3BRnySlY/Cw5I8G6e6fZkTl7tA/wARpm/JYbXxzsSjco n6a/nS1AqbRZRx9f+bUeKTFaRwS1jY6Yt86lHiOlG+6Ob+xh2v168EXtW4isZ/6Wgri0oFdLNqpY Yiz/cfp9s0dPOWUKr9oo4gwsWwzhrD6W3SzMBJFMH5i0t809JP7oA4UYtKyTFYarfbyYaAZTTsq0 VUstRJxWnTSPmpeMKtDWOcg4kkL5Zbgzf0/mbSK/frA7EaGsBo/K3oV2OSnEBKhjYZUG8aiP/cXe pQI2lfQ/eO1VsjYWq684C4+CBH1se+qF1pVgghTb7lLWBLvBRyfXB/KQb9ArDLXvPjkIb6LM1CER IlvQ9pGsKloZcrtbivoBBJ7CCMS62Y4+auFQOdmSaPjnWSh9p+HWp7aOaq1aaX1Z5YOK/b/XuO/2 gFo9n92z03IZiLiXtS8EHgoknLmE/xTEsYgjB5k0zVOAuq89oBdPbNhBM+uD27f5BloEv7RFWz9H BmtIh/0GkVAXahHEitiZvjSqS284KwC4v+Np0wOvhbm8QXe6PRm7dYSxe89bHg1XrONtp4vJ4Efp z2tw3LaUpNa3XFIjwxv6JmhN7h+L+rIIDO06r2znov2ZlDBcGqiM4Hj5l/7fL/IGUMhQaVIuLvFn wNoLGjT3Xq6RdTypmWEHR8OBGkVk49ge7JHDVUJwgpYt+vMBO7/1OvSs4rBFq3QirGaJI1kM6sOK 2HGPzMXvA3JJpv9o75lMHMl1mlkV7Up5BbaO1MWUjXOHN9lyKwJuaaCZUff5LdQhwE2iTNOcoLqG FQfiXm7zuHc82c+vR6K9Mk1tXBykpiqMt3xvceYetcS7uKDYAfQlTiMH6AIBkCAETVVSpyuuFna/ rJCMaRuJQW8kt5NB9WbNeyjz/DdAhXnhxbdg5r6ziOUf5Ak/16gWcCTMRJpunIrxVjgWUfX1UBNe yUlxVZmJf7k0rmbBHax6jeu5XfcEpF/mvpjsyCWT7UkMXcBA+rlJZgAGaSPxkgFGy+taezmvu6ro q3srcMjXkpXc2T384t/zjlo7nF+q1nrY7aGfqB2zxVyAQIHv6N5L+brgpZzfajSbBUGyM+Odklus FJF+7BxgfXRKtxPLh6mAUBLtO6tTsjMqJOkPDuW43xqQAkymBJD8JJzZ8LddyDNCxeMhLFj116jY NhdQfIDsSZkV8euSiNlkAs/ssdYYeC0qtHIZZg10/YT2ooD6DHHCVu2VeuTch87vudu2638vLNdy K6EbIKbYORe8/ytqYf1QvDAWxz8u0gYoLE1I9BnMN4uMpWlZEbhVhe7/mFbe5nmEsvpWZowjtgWW f/zjTzEjviJEbyE30Jo6c7nZVDr4oajY1qsurzsADDu0vFmJWs6qOt9V5ALbwXL7xdCiB5aGYvpw fB4OcPDD2lSz4Ci+NV0eV8Wfkbzr16E0EwbXxGuVjb/Im0YcCQiLdbH1ZlV0Lry4BfItBkgi1LPo sKdC21ahu43uRXaDj+4fNwH5YN3EZ2j+1/WJx89oUFthy9oqNYQhPKV6tcIjNjTwG19+aYhWnf1C HwWMAgoyVSX0MDk5m/b5ed/jK18uGkRwTL+B11uJ8S0aK0NuPm7yg8A3c5/G7yLl0RmXU1mWcDoe A3A8npudHK2za52cM8Mra98kPzQLfM8PsVUHo0awCfKIGspUdZsn9BEaOExsQQFQxxNu9ewkS0en uNkJ3qFEdkQc5qcQuke0h7DlbCJg+kgVEn4lygQc280/HsVTuU7+xpxEG5BoyMU5MeUJxdSMmxaG 4bgKncN2eQlNHS3EqzN1AwqplDNkQ/lTmZU1tEnZonBwQAYMn32jBaUoVRhHpsGfa/lyyldNLfd5 /u4Cee+//m+/j5g4znuOWQRuVf3ATxNMfslLRTRbQDPgVZ6NwYpqu1HBGlxymCxRRiNr13rqcHgM yTk0X3hcQ7OPWsF7pMm9fgmVNiv62lHv51ElC/pL4BqT/v7ZjNiZiHhZB1bl2xTaKlyUxp8Lri7d 5AlbGPXbocdr2btcfBED6OCXbLJAE+jaOfERLX9vjAL/AkdcqYSeLnsAf5MDt3J5bgHeO81gPX9M 5B4MsFQsEswTQQta7jKDBOox/ALT52VjGKcg6TS8I+PdY0nagcNKt1i+nadBRsb+xNCtJUx5lSp6 viDyzhA5gbhHrnlKKXMBYzP5ipoIe4lB/locj1PGgOf+igy60msMtesS8xLkgh8f0PxTQb1qNADK p28G/9jh8sf9Thchgx0jOt9fjXdUcuXrwHE26ZmNfvRLm75B04UifhtKkb9HZ/WaaF9HRkOWNCqs qtke9alTBzszZgGT+T8LpQN6OzkTfBdPkdYHWXzuzI/eTW74oXkVgWByf9/bUA5YfMkCsJcxgc9Z o1NWb5VCWB/gRzqtxnJ9vXII9xpmiMjchomJqiGB0aSj78K4jgNkRSBgspLQqfn3bUP8Gy9d6Sew Pi42LxZSFeystarJMSCywwJBUDWs6+CfpkPVeV1XK6nTUvVkV1EpTAllhCRfQDka5vfbUB+B0eXZ ijc+XTq2/TJVusA4Ccy39iBdpyr6GpoFqvz05TbO8XALuCJZfD+rpV/Zj91cEaIQPWfpERKECZJr 2sOcLVm2X9OTB2O56un/uV6Njogd/R7aQM8XpHsotX7dmrHRp6+3WEUWYa1wLlPtiI5AnkRzfl1v A+Hu3eOp3AF1scX4J8NqTGngJiIx4m8kXrR5+vMBGNGrYwOzkVEhTViEyzv2j7vyg8YOWbHYah7Z FKs/n0X3qH4Ta6EGigNC/h97PyK6QNRLohw1gbz1UJx6b4qq1UhKKtGBuvGVzAm6U0x+Z1sfQh68 eg+LvoMVfyikEZ663cHaVNM7R7wHaJCMJwueTnNTWy83s2A+L0pwIVxfvpr1uQ02D5CVwRwuuT/L CIzKSP2n7FtDMtSPqZuEt1btsAnxEpSshGFCu0O++t9jxXqaZdZrkmSljkJrVLSepo8Gao+/nmjJ xo+XCouR/SN+FsbVkWWs7l15o9AUzz6RcwajcBerNRI1qKHtXsjWuigCEjCtZ9dZ5P2r3w/0Vaz9 J/D00WzBKdZKO+TZ3V5YykiyhLsK4UH5TYPcvZcwiZzQXC2HOikiu2MiGl1caAou19yY77D/lBbv qh4aNoFjYjKupEBnZtCMSpDZJ2NtO2p+k9z3RtGz58+XuHWWxmR7TfWVSzoLFMf1qWooyR0W09ZH eZ3nQJkziFnt4PF5Yp4vfeyoltyMmom/mbIstnLVZINYu0ftAsw3U1XDz/TiUQYOgcWqrzaMsHzk 8RZIBqo8opo5GHLyC0rguxasI+XWcnYppCK2VM0zfpONilyHX8soTtNGm1V4sk1jQoN0+/AOU6v5 9HYp2rzKwNqzcnkqicrnxyLpEZ7Rl3QEV5//0oBSElcKDgBUmFpVeLbsKSf9e4pLk0B2p8REzM7l n2QSfDjLpS6T4akt/Ze6lw25ayDgy2jY8QuRW2/hSzbLze3+NanQ4z2ZEWhv2DF8oZ5VFnAizdmB Sbk7JrOggQAsLXZYTfcw+sjNJhZD7U2uYeqDAo4SwzcQE9VxCb8dBkgueMbkIGWnioalW0IUG47m h1jEjCw+iC8Je2Oyl+jq09UttcHlJwCVqrQS/oYNdXFBp4FKzIMcCEaF4HSo80PfkUPVQ1X1uma3 FRsafIeVZ6G1kbOQgy+7mw1i1agn9LQbwagBX93wTP5oT+m78EcVKEIp+H3rpmBwi36k0gETmVCY tXaGmRw78p3OlaJsOXJCMvfTizoGfymXzX77Jg648daEoxNm7BhinEbNWoqtTnvofifhGsmcPiBD xD9bgUqziYDOLNhrgLNnRcAIxM/Ku8+7dB5qVZv0rERpvohWNX3U6RLewJzxwPz4cGR7D+3qxE96 3MW/YgFFUIx38cT9v+lwRd3mr4gfIaLkH/xmVZBYpabCzr/0Y24q5TG05F0sqdAqH+vNdGNYGPzk 4rcINAF4lJvRZ9O8PomYK2paN7Wr9rYPFBix/izeLstPqJ0xlLcEj39uPVsXLTH6k7QSGwsHDOL5 DfUgIGPmieSinL7/hV/eDvxSFKeIx98UaZi/prg0iKRM45WNYmoBNStkKuBxCg60LatEbPBwYzP9 yOvhgCtTN9P8XbFR3nknkN6bbQJ6b7YcUsv68iqH3U+SDKs+bR22VCJ8yk0+8G1eayn5ULnJ0QIv NYuH5vyF22jaTIsnMcwg9Qa0AMUZt0VxtRWV5V+oyONI3UOotpLTdwUfXTlVBjZzKBIl6If5cNP6 uV18lGS3V0gocRUZdp7IVriKyr1dsfHd0dGksYlKPjWneXOJWBpbVMRjDWcSaEMlg3+3z2HAtE/9 a1qQRSWSbuO+ZqJR12h4F/S6IvmatB+1YmVg5DGnklWjdnAN9n+lWZWPz3yEgDU7AH4irSjD5902 68+PQDvVwu9eI0ReP7GMJtknp2B+6UTm07r30vE+wF6An6CxYlaQwRChaIctpTYk03iCRReyaOQS 4G7it2Mj2YpVB5JK7YIZOXL3tWlv0vinrKliubsF3+ynIOFQKLqNcfgK0sOtuQ6yaJVbGouzeTru 7WRBaCB3sNNB8hnlCoL/thpXIL51uxrY4USA+OMcH+TvFHHGT9Hw15gjWD7GS2zBUD2WAEv6YjBv 129zPHpiaGahZYebeqfK2rD0I/w2KMEBIlXp0ipOdZdnW1VN9kDN6qENyftprRgBS/oOqfEDax9g LYJ8HXkt4p7+nG6ysERazvYMsaxCh5NBP393Sk3C7bN3VEX63oui6hmPbANFeRud4WLXGDDSnler WFMwqyC5Nj/Wbu16FdL4tGTdXZQ+AZfXQKVe3G/5bKdtPJL7sPm2r9JGqfCRp/6mei9E2QZO+WX4 CJ1XrwUs/iXK7oabuM68LW5rItnBgfETxodR88OP32MUMEpk2BzKhuJmiT5zVoNMVl9GjSFLaRhl po3KLsCEdi+Z/c1/eQj/sFXZt9CKkSe2wDIuIdrywc1ThqQQrnVjHwngEpvSMS/apf3WO0ueV0ox Ibt86EMJmai5JkNIe9RF0oYfR8y82i5WmTJ6jpGbN61XV9HTPRpFkAUryBYJPhc13G88Ds+XuOgz Stwp1PiDYpJsadK9GvwGsGjkihii4+R6QXIk/77JAKKAGXTi4um1WkzCTTLNOn7X0gjjvj0Qr7T4 7kLqBRFjpp+OKSDnZ2X7yhNKUYpV81pRRm5xWV+KIw8mnqHhPTfJOMZw2i0n5vKXawS9iFaS69gc IPG2TcWfPWIGschaNlNw961kAzONFlWuyL8stX3CZSFD7Z/f4CFrUGpwchmRFjmPpTgz2cFLXdNn l62b36nk/ULWEDPdk0gWlPgD1MIBp8Zwx+YoT/FToWIKXvYZ16nPe2475Wfst/4Jh/mjpxwx31oh V5od1W9NafD4iV1c15LuUuZ6k7aqw8V5MfAtZYdXOdnthqJuI5Y5vNlMXkesbbDOmhI3nfPM5zMc 8TUPWlNffejar4/vaICeq2yyLucFRFZbwYxf3bm9NVHCOTKef9gmlGWm7bj3uNfkogfEq+jLR8VR xpVUig/ZLv3EdTAxexxeELQnmR8pwZOeFeYdJ6EFN3r4VnVS2Etqu1G/3vZLl3CEvn1CEQDA9ClM 18Mik3F7gC8qx5zsTX7SSJ0ePrNDPvC9PZw2JRWTzXxM70DlZs+wX9qNPnGb1lCkViU6buFZ/sc0 gk2ym/l8ec99Mz50+sIFW1tkBF0Hzo+aCJYmWGdmANCe86oYWwLIHXa2OggEpRR8A3TvN8BVGffi YHl0JOzTQRBFJSYqRsrSM0Xijv0oh3dSLVrpqj9fJAm/rpwNEZep4rbznL3QdxOXrUp0EC+5VPpw ThobZDzdWPZ1OSYJHRxnGq6eKlEmxP8hCMvHnNR88K3YrMORgSDImJxyxzJU/wBWz1clK0F8u/ZQ 2vT9yeTgTDerJegf8dVEHt9aILWyKL1ZXeb0g3hixGfv5jT9b9PZ22yZ9zB4+82EYfE9PJ+7dbYg YoHThK+1VzbsVHAtJ8J24rSvM87XDglxmABry3ajeLKYlQgzLa18O5nd2susBQXcVHxU0rwiJ78g ldHGAw2Cgpblbi792W2S2PBl5b6+VuabJ+NqPv09yh1JIOBtIJiyf8UkfovkPczKC9lGTZbmHJG1 0da9WpegC+QruAAEPGEat2pLwffYozvq59bLDIuUqd21pgGVXxFAFDgDMjRrE3gwKzPNQIz3T9gB 0ZAXNdo8c4N0PdtweAFCugdafMGhZPQhKJob9bf6PgC3b4/aohX44uZ+NSyrKETO6KK6CyQfot+e /iP9wGfURNhj6aRf93tpVFSmPSLzkfuBKIxt9XsT8gWmYLqbhW5ugC3TNG/v3reYZLWxIgZ9laB3 nLyknWHOZTadLIdDF/LPjnSSVWk/QDJZ+C7GRPrjKk4Re1lB1dtUHb9i/nIGf3RdY3j7mb0rWrEE /OvnHYbGXZI5HKNqLLfYhI+4V66umxzbVxG/udIyBZhRIql7sppmsPT/O3wtDs9dKSZ1LsIdBeTM u+yLYElpiQ3mZoxL6nA64XGW8EpHDhDNEbhbYPcCFwF77irEVLlcc7+aR2FvstUotIU2WVUnNRi3 96ER+gfN2GRXA3V3b7sHu82NIBLUwNK37XJe+TUscwO49iLM/K2MlzBAjcksQSUrYBV9KEjaooGW FtVGXzY4l781vzNMv4b4HyrUohDhVZ2pj9C9F2ZJohtvLbAEKNrsGo3C6rKfgsGZHwcS6uWHAagE pUUmb6kEMPrqwqht32yPOkh0g+iLPD3AeAHxp7MAzlXgPQwo0eNt6LyGFWtN46uYMisR/NrJGEXc V7YYszrXy701RB+oTK8dmE4W7uK7PyZFijFzcDYHUxUcBQizyFuc2P0eZeA+bl6neyz3LEBhHTjw aQdQKq7JVLBHKcaxlWMkmyODfOT9yNmcuZLZHAFr8rczyr2YHqHaMGc3rpqcGY0bg6ZbdeBs2uNU 2hZen1jWroP+i6aRtnXUoYmMsTRNsGsIad2voAya1hX47mgYce6jvXdqgRX2Xw/me+KnJQ6GGoHd DaTH6i4xdyI/p89wUJjnhWgw+7JTdilqk4S1JMeQW4p8ScEg9dAkus/DN+9SqcPduIyADJQ6ykGv YygAnUUdmprkeAMO2FfHmzkxiNhGWnLIhPlPrp0L5FY5/SyrXRHxOOzaNPDvI/GAKV7tT53P1Ds0 TY8NdpRJrJvlNhwwNA5FmbhGFLjrwt+ZFdH0x/9/C+NCs/6YTBCrO85goSe+yb6Bd3S6NPaz5qOw QBkJ0D5sT3vLV6vHMDji9g+02AFy3O754EV9c3ggySfWAhkDeR9XOboDZqWw0S9cnX7U66v9k9B9 pB7VpDXS5AUqaAjZ1bLXV5Vao7GIxfL2CIV6L4O4hFx8isK6ZlZMMW48Pbp27zz3JaLX+/8+x8+x 3k/dMBx/jJ/BPq/0j25/FGgt/TkIpLj6fd1Oo6pGxsu0o+xJmFjRVjImmGZJLKVcGgaVBe0HtRk/ gUscpeR55xqtTsf4rabNgOjcJAG5oy1hYn8xPmodWhaTcM9v3/r4tfRnDL9hVe3gihKY7WYL13i/ oAsJI5BdIB6b29CnvEpSYn98+PtCPaP3mi29Cz69N0U9N4zQttDkNtSt/XvQL8WRZl91PWayo+ur YSuZn8B2M4slRq1UfhC/dCRcO4R6znxQ43LxzBCEy20lJKf/OVOi7spNk/ehEUaNvW/cnqut5iQH Mvs92sr3F8ShELU0QsZjjateVg+NGfLgI1ybKIejikL9ssHA5nzXUmCDoNo121EabGVGtDI8e3+3 hwIfN+JAs2+miyEhylIKFe0LtQkJa8bxitfIgafre7AWrJ3C4gOj/fytYgbnhzNK0Axpssm5wOZZ xntQ06Hq19TDDjNeTHYIEn35fCxnHhZb4dJl7goGQTA6zNLr0cBmoJ+lCHjaus40QK1vDxEwn5G4 knpR0Jy9AVEtesynJUgSvofu0Nz5p/BL7ji6BhM2bku/pgii9Leyf3VXtDI13IjSYEhgkzHFeI7R HcHpSNTlCL5DrYMjZXiOXZdjRK97Jnwtei3ctigZfyJginB7L1LMlOxG+D2Vf3Uij4DZz0AqtT/e uUBYiv9+dlawchp48oVrIRB0gTSu8D2qqLKIVNoniqL264XtIVhZigZXeizHRoq+9qJrVXzWhAjC 4aFLlpFL3/179Ns7A7PvItppW6XHqiHJqwHZSCVSirMDHaIVdxuGN681fFMUM4djXUB2zCp/xnPr /4ZoqQOmaJCfNvJYfP3B/YBbvey50m8QvJ8avMse7XXdXvmzhDVu+mESN8ZxFOjw2Doi4ShsKrxf BT+K0eMN9MKlC8Db/Ys1O1IkxCyQkeSFiIhGjLgjt9NmnGjvTzGlI5tBydWGabY7x80wtYEVUkVW mg3T8Z63FtiBCWmFIzn5bRPThSI5V/qWqgAn6+JO6VRGbdrDcpY6+xxfAKnmj8t9SCjfqtTamWoG /AuebyeG5ZYHsXxpEIPliP8kCX97Q6DkR9KMCjqSIYSP96XIyr6/thhxOTN34f1FijDE0mcih14x +Wc4u01Iva96dpagO1B438JWknfLRKKOG6NfRwomTc4itrLnD9twdel/I22vkGRdN21M0WGzoiJL hDl/fVBJR7JL3/jtI5QCPGZ/MsEcJlrFavwgyGiCiFT1aeTb/W0UBGK2gW6kXBL37SelpD0b9faV Tb5mBWPUy4EnLVVY0kYsuzBrmrX4Ie0LIb5a3UthxuDCxFckIvGyclkHjQ5fUs8En51aufhe8Ur8 MG3tKQj158rNyftxEqQIoM4kM1Ad05/DvoVSTjy5ONuBLQT0/bNcychul85P5qTWcuypaOPXfVs1 3VamjMKlfhvY81B5LTtEtzmHItnMdyiEpPwKvR9u2uJHYme2+QPDDuiZD9sMJjhK1veFhBRgr8tq eKTWfzk83nlals52DkJfu2aNviQwrmaLLuxP4k7DYt9sJEa0aivKO4RlRj1LXyVfy9uqVNU4CVCt hqbfiL1AZ3NvuBdxWpUjz6RMElDTHVScymljkY7lZ42GYiuxrlnefYlAIPFRfz4RlSzjCBy91JjK aEO0sHPAErWVWFz2sBwE+gA3voaqqtyd2fIlewqkODIetO/euEEM9hgTOR/oSFE6XOtaTM82ARTj NQWzLGdbkG3nJ0mnOMpQfmc2tGl8RFewAz8ov0eKpNyWnocVKhsNFGodrTFxNpnO/OhiOj2dtS6b k8lylDtHOaumZd7hPTVgs3LnPW+Ll8J6daLKNAq6zKWwlo+RPZZefIouHYCH89indMdhFTEkozsr DQwjLgDTW+ikoU3hv/3pakCBYZ4ASWJM3dTiwfH2MTHPMeK2A52L9VXKtwnLrnO3UNo0MKMVBSWr rsNRmfKSzkK3D2+dlIp9EFZce0Br41ifdFKX33BmZKRoJOPXxX3BBD7Prly88Wd9cPCOoeqtZ5WT sdgkSm1eqrI+e0qUp33IjXSnzz89Nu2rvZDnAv3BKLMFDgNoj9IoXc9r5UuhbqJuzlKkbENfKAHG s3Qw5P9bEDACb8fj0cqR7opY+/cI5hhz0Mqbiq+RrmO/1YWOLQwrxufQuNGP1ELGwmi5Mb5XuJSk ybXeL9Pc88hnISJPGLoFKIT737CEBnkYeWQ7zZDqZUc51LW3phQacVKMHiuiJvXPtb/4B0liaN4P 8fw3qAwc67nDQzH+9ABnBAzrXOgKiTsI/0yTbjZ1qjBBFIJKIMp8RuNcWXTbhof9T7h2PQBrpN39 PKgajQWABn6uepwQAtfh/OAcYJmlJ7ufjrG9GJ9eDN3qDkEE3IshzssOy1wTOdVPLCH7qiHPHqJe ehukjikH3FcUq3cOnL1+zZlvC43glh0S1L/hODIaXrjtWV29TxjQkQ2MgFLYdm+Dw0YX/Bh6aV2O d4a8hlkREapndOjHH0IdZ1f0052Q4bE2XYl/APrW8sRmdlrLKlCkNFVE1etJe3iuxjnyXJTz8kA8 ffUgBU2YpVssWCK0j5FJx0yFdQhs19RU6+/gsVVAjR/vmKe7FcKAAZbkhGdobCbpg53uNjH8XzqG dXcQMxRzFhkRZ6vWRkVTRO2h7Xp2lR93Laji/4csVJc+iKUHkyt3I2sKHRKT4gFd/PwvQ8Rfe2oo Vqc3WBrolxb/+i3StmYZwyhiy4RiBCmbwmUp9Zb9Amch9ql7OF5sir3OmOaP6nU3S8a+p3pWQ0Rt bW5PIAzDmJft+iiowjpgdoe7xPGXv6poA5TQV7Tc0LJ1z3atiHnPINTs+bo+SzWgxtTRclhrUpPq 21mYl8AS2umSLXLEq0eZ49rkQEdccAs/yqW0x9tiMj2M/f6da6GYiFB1RgReFKSh7gB8YesfaTJa F2N40bJM/s9BAI3SeNzajztQLELtgxc4yh9tdLrJ8BBuzC5mLCABKdatdkOIe0Nas02MWxD2852u P9qRQD1Oc3ZbGRVjmosutpvI/ml/2tk5JVDTxaDxcybGzEg8wmOtqhWKpXpBmeFfQA3liGyaquI7 cumQ+cdkkxLEluGHGQrznVG34aZjNCMhqsC4bY/qiqN6Pg16Rx2d89gNTrUMCGEfV0EjuJ1+IhKX xmV8pin1rc7NTZsQ+Lx2ATqmFZqMl9q1nzJnv1zFwbIVjYUQZFYV+ThWJguAWdTwI+pDS6w5IwX7 3c36HhLwep5kG4N3L4g7Ig1aRxFujzroGxndAn7U9x+1JF6xWA5JYLtdI4K+g3bxnG4uJK8G6vlr bEZrqoaaHoxkGsGAO7p8+0WGdxX1myAcZI1PBDaddBf3hMCeVRGdlPQj0Ual73laj+JyiBuPKqA5 YFcfjNbHz/bxMYMg1SSMW62GS8QAfuA30Uwvg9SAxzZuaUl5EZy/3jliHMcZlylaVSE2dmyD2kXs tetdLZNVIdvhdIFl9d6pt6IAiZrPqzGZXvZlOC+uYxQ8FSFylSQYVLHN+yTxcqtjKvRxj7eEMjK8 drw4kpoSwLvXGvC97AWyAL+pEWHx6djRfSNZShsbo6wGURhv7j5/ukzyjsC7J3dB6v2g7664SHE6 VhNWkE4YCrRSN52sgDwzkPHi+64An1JrdVijUsqvuZhzgzsYcO2Q6ESi9bFq/sHHvHVp5Q8+5yNF //o6ARV4HLxRx8FSiF4eKtVdypLXQBZ92MqmJv4lfRvtfVQvya6pqcAQzpq+EMd1JXxo0GqXOfGm lzaFDNYmxgvIWlQhUVebI4CihvSZrR0yGkJj2kQOipyXjNnvY+8y/xsNFhtC6NHlkzrSx3wCQH0l ztTBzeXD8GOfT4UD+9UU6SZwQcQH6BhR+VTqqXBrz8OqCo2EO3mCDpKwNOJW2M0jv0NOgOD+bY3R bFTBPgp+XoTJA6gn8feV6uOj5PQbEAQt2bMTskwqj6PGcGjlH6+ODMA9CFfe08k98mHy6eii4QDo CrNtxpEtCzQ4g+fUNtYBpIEOR1l/jyfuyO/57r8wjZPtPeNYIKxRGbTfFUP2mtm+brRQZJB9TwI+ /yka7Jf7GZhQTL8C0BZAPatsZ7g/Vhe7CF2Z/ADtvcnAXkGg2356RwY5sxap0K93MVmjhVo5swfx tBaJnGsMmx3D5hw6OclpvLUg9KiJqk5qR7dCKzf9vhMshU57a8QKbea6Zz9+xTngklz3vXhKRQJs gwKcyOGcWpucBPvLAwrQX+JDinIoy+njrOT50jZ6A2hdDs08cYejAhKrgcNIvyOXdWfkZ2kUUhqp 1UrAlEDCpGE8uTD8kN6z8u7CkTVXXDl9dZ6NLF669QFPmS6mkFANv9qdxUHpLcbt+pmfMM5ZZ7Us jAZ5adlh7z1qtQdELSD+AxEipR/Uf9q2c9QTNvC6NCJ8NoyY064fcJukNidf/jqliEmvyntBfhJJ +gDs45/rbee6iW1Td3eFHjxea79kZyg7A+HJPZdk5c5tc9Lz4xzK+z0OYAO9co+P1o4ruxZOYbxY Vz7IUrD5lA1FJbwOPZLKZLUvM2Tu7c6DnQ1J9NOsdcDwEjJC9xPKpNe03C6Q/iWY6tze78JngJVU cBAJW0y78snGTE7OkY04j4uOFJYBjbuh8eMjLlHUKRMaQFbhEmePT5oJx7OSwWraT4YLp+A54yzT XoRb6MzljYvNhIgukx/7eu96vshrsVZvEyzR3o0bCt+e0rGweaMpfEh8HRwg/5OD9TItAwYziawu QBdDWN29MUagtyWz5fXEJp1WeY4e4tJ7vaVoOYyELDt3CvnQVVizUn2Rfc22o7UPucvGPosaXLq1 +W0xp0jgpxvWDEnIFnF4uYUqWxGo5G2ov+1vhMmTT69mCF1Gv1+lzJAZCdhogDf40WRoaoFmunOd 0FgFhUrNff0bAwXIn2Dhrkzm7aXCSrOnqk5ACJPO7Q36TJxwellC3RdQ1W+tLTH7cnA/CTkjpVrq wzb/ilFiVWQCsP3Fb0p79XjrPSr04Pi6Mbq3GwzFtg7gtFoRy1uv1uO7QOzu2UuNVMeD+W2W++8U 7Lx6mrTwgComOs0JindoNuiXq8huVpP3un2Dn28d7chddr5KBCnChs+A+g9hunYbuId4B3OAaPBG no1FPvg+DAPlh+KUMwHoDGM1GBu3kpcDjmx5f6kSHTzVQXqJxAY+StgGqfiJwH8xXZzaVYlqmaT6 L8kmuvH0O903ordqiSgGOTZas7ZobU0YGLrGdnv0RJzEgX0pWSXn50X2AEANWD0CcMTg4gmv+uyS fj4Fkwl0U1ddKPx/ov7YzZoaYh3vGtyycDSTCjcQvy4TUM/L+f+BwvOXKHFdE29Hj1zrBhxzcCP2 /rbUQ+h7S9xdfYzGibO3JCdf2njj/p6+aNoLs3Hopy22/dyg12fL+LPnXvPcTscFdBi7UR/LQly/ ftGJReJuwV+U4HfFXdByFTlakFnOyKwwl0//I5uqiBuedNSDVl3GZtHjRNAT1C2/PU9kZWzUbolF wVHTPCBGt5NZdj8JildfAirY0YOIvHZJhmHmn5wMuo5aVk1+bja8mJxIgR9aWnt7bajy8QTm5oI3 5sC+3A+9ZsbqLTYXWDPsdk8I59AHzgHyladRXH5H9z9YFFebcgnw2JY2UnVVctF3EgGhGdkhEGdZ BTau2W5dmbM4tuPFnUgLZK5Qqxw/+3AaYXEsTlAw+gvmSeXtWXaxAUQRK51jlbaA/YiVkBJ+d8mi kvnKkLMRdLHTUXDDU2sLr9LeyR97XG46wpkdN6B/tuAhqxpBlOrMTZgaLmbRFF+bZIwKIhXDwBLL /Rl2BmYUbr+UjCKJYF6Y3aDnfwAu3N8TUbnzgefqrEAepyNgU3lQGWlogot1xSiwpz+jZ45FNDh0 RvEhTVd6lvukQgVtxzhK+WUm5iQjf0xFxde1I9F/34fgFRL4C9J0gxBI3haqhzYJRcTBxDOZzOI6 Qf55mhtZI+xYsbGvZpzMPh7+2Fa8V9yszYbTcFqYAn61MMPaZSDQzntDCcaQNVhnh5+Nhzt0n0TQ jOqAV6UqNPS/jc7i0iwloC0gEXaqPSuq6D+kPazed1O18gvg/zTYSM0t4GWBOCpgkbypjnfweQGc TERRgOFnY2qOvrpykZPYndl13VzQoUmTYsai1s+oiVbGqO9iEp2cRgJn4Zz3cXgotxLi8slC6qDW NAiEQi2CarfDjxYALWFa4sO8dacSbNytkYMOq+XdflX7rjpX1OPsY/TQxo8e0rBz8AFDNMGRfX1b aauf6CPP6y6FcbW9H/oEBWARwhTChk+XodcZzAr5t5voK2Fu5XlERiM40NzO14H3hPzo2RlvLIKs frEtNimwIM3kzC10W/NlKL5f76wggmCpRtb84AT3irEZ8A+ELtIZSH7lH0iqem39J1pV9FcOKwon w0i0LjuWhrUM2zybszoBTVe+tFh15txBl/bpY0pepXBuYSdwV9iXx1rYjo5hziu2K5xkpgY6N4xY 88uxjktnYBIBDaxroTjvKxm3qTfGVi/qvr/twbukNXmhrCJFkwn6alzFhALiwyxuryTHt/tdpaKa EZwJfasBHUdAns+b+zgZK9sEBcxDGMTKj1rgJfaYY7BU5SQBkVm63TpORLvXp4mqpJ64QZugCXd9 EfgbvRng5QmDOVhbF2xBzVMB25NAYt9+Z6bY0zti/g4OqTZXW0IuhFsJNA9gZKOIvJjTk9uGgH8T 2Fsi7iqtI0KWOXgpM9vnD1s5FPwn1oToNK+LG+3DGoRm+fDTR8r8/iJWMOHil8ClVa33bphsUEEl tffWfd80amv9MlNrs5KdfxZLKMdaTRiqMnvpV97krdDzd1vh5Ssq8GDXBAT5vgpCzK+GMygvHLUD TQ4UfmtDSbaSpm7MZZcoAW8faGuX5EtVeapbcRA1AoTVraa2y0K6a4AuTJQOdOJmpHzKlIPWkizN 8xlsbIfaoHeZRkTyV8pa/iSn9DmMkC9O812bxJSYQKTHgnA7VIemQSUTwtYdumlutgGioo51nF7R pE207jEJXxl/ZQnr6IoGQu3ixIsEyGfa4rOWWfuqdPkBd/7BlbZcXm1ZK/AoHmHuj8a9OZCuLvvZ lW2pk6/yI+beTbhtagB7gH48uDjOdW29XpJvwECLBgd3YLc4brngYIszigNwdB6PSWHk+Rp9Ei/s Ja4wiHYOKosRh4Er2vzGP78317RtBvZU8VOD2An3pv44+HuittrY+4xAD4664stimUTamnJ20TyK 8WuG+9OnbQM/7liNl3FoWsZRvPcUfWM6I7wHqYL1UQXjrtQsFVaoZQTxAbvgWQyRlAlqgT5WmUUA vnA5EPRvCOk4wjt7caNu5fd5b9S1yg6AoVMDZ99yyxavPptqH6OgWrE8sMjJrGx2GaLJ3DOsfpXu QiQJcS4CWD7j122ajlu6BQfRuvzGMcmx7KPTcHHMFKGSoZrTFze/ZnnPnjyrAWNIy5cT0jwc2o2Z KRdNxHostsJbCu8zjLZvsoYGiXuhXBliWgmJss/KttIpqf5DPjRlzzOqpybLNfcjNwJ6W0ME6b5J W8TC9ZNnAbcjLbIajj/Q95dPccdMSPtP2uZvNCejIfyG22lcby3FZDQrqIoG9JswaVc4yfdjs9PW 6QNpW6Ga86pv2aaRaD9qKv94XPhddF+8JO5aGaeUVa/Syut/t3aSkhdkQx0LJEQqkQAobq7kQ2hC 3cuUg2WB5IrCvNJnZT/Uk9Qx6aTeCPrarLsU7GDylmPGnhqy0Z30UsGuS18XKuVs5phF6swUxNTm +HSj2AKbGR8O4QCS7a6jEkUTELqu+zGXNEKxZqer+FvacfLsSYtk0wtmTv85SFPH6gF0oALjp2Wh VUrC6/t0VFmgQFN6YMt2+uVCjPpj1KivbHA/FGMWvgKjgCnlsktNoA3r9yRrtf+SLM2eOCTKXSWj 2v3ByMOXQforB2c1twpAWJnfbCog5vam6rE6oSSSAk1H5O0jm+fTSmAXtBq68Jgl2ne2Ovx5a5Oz E7khHerGDu2o1QR6bntVfZCDmWoRJtOEuSnPsIdsxKeAmrmkUNGVGFIcAdozCdki0AJmY52KuDXD N6R26rRmjox5HkqHXllQYgc0zqN59Zdgngt4sVqp+V5EuHeXnCkO+AeF7BaTejrxF4YLA+dLtDrY M7Rivbbtsp1yq2rywpvE7IA5wbsbeplVPE7vKBlR0oelUxQx+5THOogncSckDIeIURCvgygIQLW+ Haxf82blBqiJ4Xv7ztOsFnv6E5DZq6STK2jfcQoMyZvy/aDUCG/OlwanNjQ28HZ6Xe0a/UiqpSbe eTdM1plVOxVcz14srtazDLkWoh4xPoQpLOm5yU1jx+MfhmkTG4EZNrzxpE56Md4K1EtM6Wo+aqUc 5gp92w5OoVQp3zNs8XY8fcooM5+Dewhnhp3zwaDi4mQCbOUxmcBAnu0kWDgo3TQT8oNT92LrxDkw QeSa6DruXNmDf5fbNfm9aKxX4dDXebVHyWNTDYa0duiAOJze7pqzXt5jOEmhK6XHZ9LpnS2EtswZ 4sWN9Ga6sGK+gHxuM177Fhvj/F4dYUr2zIJ8jCqSIJq66XoyYDB/jq4cOADZTRz4hJ9+Pgwv8yX1 MzkCjh+qGzXZCmpKsD+arPy4LYvwHauwaolqzsuywkaLPg++AbkEGtfw6gNxI+U1GfmedCDPA7Eq fCOQVnOZheFHOR4QpcbJIbONMyS7OC5Oq2SrrzZYL2fRQH4t5hfkiZa/zZitIiWD4kKyk8vqMxKj MnmQLm8Lp1pi9CBE6Re4Y5kAWvTt3UtxfyN32wsFZgAAtRX49E5CDeeavfyRCFummC/bifOqdTVe /MFaKOtnko/XyaZ1YBErfJZV1x2E/21EEt+JinU2sDeAA8Q9IyKJGTfYsM2Lv9Xf+ystJv8Vu0Q4 KEppwHc/VvwT8dMhmhIDtc2RjycKMWKECPXptWvpqdqsmlglhZE/83K5qcuNhgj6sTzdJutSuh7Y psqMyLARSJczY6ZIa75p44OkRoDJ4lzqQEy8w/q0/keYeHBrIR//k/xHMs/+scY2v3JmN+RScHTJ 41HioEFPwxTT63B9enks/L/PHlc4YS1w7b9pN1lt4nCQYwoTS5ghCj6SoYyNaPwlDIPs5hazNxFW BCmlOYx4bpCTb4vC03tYa2rfhtunuCTj8ynd1/qJve/OpmnVvNepOMaiiWrZ4YQGxEDBsFohZxUK q4XENvtJjuMS4M7GhC+dz5JwAdXARTcemrN4oEhr2SrRALwqJqRjnavFt/A9mnOj+lq5NU56t+c3 Sc8YGgA5Mgq+ywDPcTDiJXCPSWcmbJ9HSLcG2wNuLB6P1nEvW2gNsh72+9QM5rUotj2/5zM3EqOV KD9fP49Mb+3YbMxjDP73G4DnDhHgL0qSKajjb7nfDBY+ZXW8MPiIEENpPms58Yug2qC6yOGbi3NR LOgTYaol8Vr2AOXR9XO/T22tYSUK09yWnjnDy0BXkTuYHN+NAOZILwcznUVqGMIEfVnghz2cJ9Xm Je3tkb5kaQduHSJKTB8L1Gl/derVlKSOFZY6DS/lA6B0UlPuqHtLwZpR+KiIRqp/fViQqAlk7hFv qHuxmnluw8Q/h5CaQxlNIGprGp1/dCNc+wM6Me/wQNyMId0M3zUEMXZAGGaXtcmQNeQH/8kvxl7L vElghrUJMwZKBS7Pxyc4nIRG5Ydsvey/aDFNgDvRRjAdhWbxPzpJ0GaQqPEVmayu9KLkr4dcbyFL 5YItSpQIZNtjGHLLgV6tcIV7cW4mNVq1xGjQtFJGMbrLqddq7A/GR0PCig/S4EvtXSpg1YgMcr0x NNGmLjltNgxAShJRrMCL9IAViOiSm7X0AQI1sW6oxU4SeDiPZHRSa0SYIfRSGSe4p+MJcUnP4/6H UwThEroQ9fhuUntom3CSoGs7BnEMQfeQ2KYDqraQykEcQ0lG4L10UXroS4ljX4mu4jtJrlaezSju zV4iV3+vvlClAhWwiscl54y7VSpjkAqQw2C+s22x3vofyyBawpFJYoZveHtbFMWE/bjf2tjnSRgV UDc1LbK+VoHyyuZycuzs9nBNv1zmGa5gQ5A9xpMq8HzKB7TYGulLT4V4xMfU3Fc656ws36NPtnru cCbwX8PsGXJ2pV9/BAh6oxtILPM1qhz7QJ0+PFpn4Nl6hpscWShxo5Y9Tv8iNgjlEI8dhNcDzY88 bjLjwEMnJRIPetrNdq1a+iHvwMYQXcyPRgduy3JM6CtJKFnjZK7yuW8qRBob7V9H4lF/WQzp03cg eaMd49bKB2h+RNMrHHSTOhWlPOyT2fmXLU21SvkIGKHhEPnBJYuolk2vLMbKJ66cfhY8XtleYfqU L6bIVnm73sAXRXU3EJXexnshcmZ/G9jyRBE42D1TIigvEb5dBkxV3bcqsdgTarRc5XQUY4o3GgQA 0U3JspemY4VI5TQlBXsyW4JlnAfzuBsd1MSySJNAcd2x5KwNjmUozSpEZ9Sa3v7bSghIkZc6tnIy MmKp0tLrNP91ypofZlTUPVpvVAxJ/QzGl2Hgf33aPqazHmuJG+LrOYeLlc7oq7/yu6Bi+e/yGFXC oUnWbiU5yRuC173v8Hn9UoTH+AdH1dXECmLrc1TnTb1peIipiqKABy4ZfrT/5bxvxktW3LqpifM4 LOjtk9Jz2VkO5SVUB8gii1c8wm9m0CJtVV+2vauEEqpL/QSSQAl+OA9gevG2tUBcYmvSMiukosu5 2wdpXamwHyNKzLbUAujraJ5w3RdgaEw5PvCzaw2foqhfum2fma1RTj7tH5u8ya06KXGJVj92whNT q1lYBaTErIHJu97s3GsVb56/ECB2qSFOn7vL68FIAIrV5inROszkyhY5wH13hpg0uWV4Djz8xmIk Vrh1LvY5X6c+rKnfq9Gm8Y5BkpRBf9T4QIZ0SzCY636L+6TOqNl47rmRzlQoykgjkd/hiLjbz+QX Y8auLMTezrhstlXOerEAM6nGYKsIeY8vpPKxi4Zzt0kB0KcTsQW3Wa4D0sun1P2LjRLHKAHnLq8U Jx2t3inUVXL/xWP76pyjXC3YeFZwNVfPtXTeJesehUuUWSvVLGC5KoyVYmyCAKAzRBYxVFdNPSMW 9g4lkwfYN68F0XyeMRQ+YDgloWFJbVz6ZSQxenbgDCA1hn7s0wYCFjSUH+GIWwpx1UExlNkkzs18 X2cnF4eK69g6Oox0ksPBsodOOH5TfsyCLYAyPha/reQkLi+0XodKWYLw5FVHNkoyyVZllb49zZav UzWaSbj1ebO8Tc5jUqAOgqL+AbMwzUA6CjtUSX3tfa6Dy8wEl0HutPJJgc+4un7C6tGx7bLTDpAL xwarMREAMhPKYkNdd0Cg/GTS0QVYsOJi8LvwdztHfBP2ajKhu74SExMeaFsWR0o8ktc0L3gh1Yrg wjPbYl3vh6azWty61xruwbgA32CeDy1s8J9bLQ1oFw9bBcDm13tygIX59hM5t9r0ua/jvIObzG/s Neb4dKtkcjNODY5GEYEkcgkkYCrk8uO7HfvtLFxINJihH1b7BKedu9QLVK//9KMXQFysHuzVBmtR qRz5uXMZeA9585xr7Lz9G90ERKJa4uzYQlFKzWX723i55mcxtau3ZEpCJAhojkJyjicsungtEP/q qhxJ5aavKc5B99KCCTsHXDNJHDQ4lUIHUCZPzr065X8FC7l/2vyZ31mGhyrcOk7KYYhfrfndcJVc Ar/hm8Jjfge2+XVEIQq1wBPjn4TGh6j2fUT594NS9Q5GW1ssKkvaJIjPFYHY3dqxuffrOlyfBtCm cLa7rx5CGrhCC1g7mvJfeQd6PB+AGkBmWi0MSXJUSw3hO6CZBemlj8rG69k4LQV4vWpmTRwrbQfl BePamthA4YL2eGjZBprsq6yCfk2MKVrPJE/9Wh9ETyaMjBShY7kcY9EWxV49Kta+LcgkNXQ93kmF EOQh4SUbh8QruBO6z6SV2VSxeFdwD2OlTjVYXxHDgUdjWzUd4GLd5aLYnLuu4vinjobbgGOuYrkv XGmJMLppzw9gCjnhbGj7tn/JFiEU9roVIV8dt7e10/Ujx/TfxkHQRcRFhnj0CgaRZ35uT+UqwA8P fawoW58AZYyWn7KlaEmAZghi61q7Ke8b6gnbcaYpgVE+OXWKO8mrvNmLq4N0p0xmoncvKI7xYpze RAuaexyUQPvCUN9GvNgBm98sHgNn9OiKXW9v75ShqhwxoUR0SAvlEwbIFcD5gwBMs84iW8Vp/GCK VcrtZyN4v+54Pa/53SxFdWkMZOnIQmZl2KCC1xGE0+SH4h8oK/ST2y7uJLtPgwwc6xoMcKGxsJFP z3z1x+cGYcsYsfNlC/BfU0BsEtowd9wHcvc0KAHlB0nsADDUA46B4o+/yhdiACDHC22QEG8Lp4HG 4gP8AkoV0CZN+5g98PvmHGo56dLjqFsiSGX9iq7XUQ7VzwblLywgTdUhHTvtEkjH4FcfU+lBUVVc w6UOSsioGMQnLwrh6WdfM/9L4sRArotegTv3zGV++4MpeTgeKeEo8QA3mQ+psbcth46BRYdoDSy3 +5czeS5ErS8dD/0tMpESA6wG3IGGMowOUGZbtwno+1KyWNzZFt+9kL1I6CjbzObj8AThQF2jYPNX nAO7fHx3NUicvkygQuQmj3dPRvQ1DKvJkFT2c+LAqt0GtBYM4zABs+9gxAi9L/htEedysUieiyCt oXrKa2UQ1TN94+eRX44pJCAyC4apzhtISTkGn7DlTkI4VRN5b2Ut1Y3hU2ydSLUxNsdAxTvWZMAH CDXUpoAWzSFWXAGGR+pCPgKrCr9Zb366z3ocPB7LFGgTRaW2kn1g8vvp37FbPMaWX8Ar1vFOHmwt fNhN5jrhiWgONUgvqnnYfFaL0/Y3huXqDvEvTV/G+3znaofwQGpbH21MmXdcrvxqFMIBKaNg0ys/ D9KlihtEw5zU5Muy1Jwi0H/qCRAZFhUoGVhqX3Eh7uVtnYCEb4x7XCEa2w+Jw/BRST6jnNoQdoTT oW53CG7LsEpoI3pcfOH94wzwJXMPzJyzVm/YRdv69ayUsF7jE2WEtfF7COGRAIj0UNyk7w81AJux 2dpI9Zm5z4S66bwGS38ZaZbFeXF38qbor1BKkryOdvdHeCf5+rM1uAJTieTPiM44uhf7v+1U2GKy B7TnzV0Z1mOOOPALakfVkf/7ogyhTD7jEpTc3UEW48xDIrX+JFHsAPyyjQLTy73m/rJtO40SOCR/ 9Dj3c+ONJjQOj1hbp9wzPrI2Q6Ceabw/aR69F2HQ4gd2sjLMQPSuFbU/DfiOgMj7MWmP8BljeOHH n2KMZOHyIK7SpgCyCGwpKd8Ed/J/7Q4OYEKFuddm2JXL01zKOv8XuoGYUp+cQcPvp/pM148kx9oQ BMk2TF7JVYsgF5Zzevetl/RqtTTGZwwfhB6WlwmYRUOyY/G7PF6SsnKYEpIew/B2IdhkZ2y+F2q2 9muKEODbIDZ7iPVDgRvO5A7G0R9aadpWj5ekV/jClnLJQ54wihRuyEApIcnEAgAI/tG/jmwj3QYm kW0vLxljnPFDMJVHH6axrIENJu2mDdCvBzCa2mRPX5Gj9Ab0i9O4ceZArEHd7cIm3psWd4z2tK+e Amd/DYxOgT5eGp+Cue4S3V+SKedaplUUaD82oF4hUYbINqGNoEofs9O/vSPDtY1PFvUqfazpO2qT gPiRn7KxKuadwnDxK6AqEhDo7ZOC9NDUKxmPKmiHSjMPQ4ZifYnAbJhEUPqmUU+Pvj1ZYxVHronT YiIgcL9LkwduxvoqnYPtfI2OVIbrPBBmZIvP8soKQaeb/irp78IF1RpNo9Y+0oKeYajoW9wR0NRK Nhk15QFNl7nLrPvusJsocJaNwswy7wlp5o5QcGawLOjR9H9wGTZ0bX5B+rS3xK+I6jr1W3XszQWB osVH2YHtOf3z3KBiR213MIH4dEOnI953fNayGzVlGOCSmq5Nee29cH2pssKGKVCFkqMlbRvF9HWT +RcXNtpESOqMqfEvQBeH5YJE0M43o9A0W8UAKIXRH86GhwG5mvgmIXW9By+W2wtoX8umSi0L1XW8 oH4caAtUBGW0g/VjlbSV6sP1gRDq4TMjRSHoQDixO4PMmb5KDVLzPWacM0IuT0B5iIX0C2ilmcZF 1t8MbqCkiwCagjvOdHnhU0kC3Be29EKKZkHhwxJMezMR27vr5plOfIBraBFSJ42u+G04U6WtTlSk 8nJT0I3SRZWWWfTG951Qw9IxKyGfxp7xzxCrx+ub9QW8CLKshOV5/PmPL52iooY4E/IGiRFQalt6 +vitVsIEA0IkzEap04mTZf2bcLIVvBy3vkz+jy64jVQr3ncAy2OVJSIggw0ODVPLTuA+FIMCmZsT Ga4BVTknR+t7N3+cOrHBkWYOngSGHNyCdoYCcwIbKuciYNOOkf+cBKN+x37d6bWRMNIZ+4tAfioJ TrL53oTwmfCqsVI2EqOUOGjwX+3CzM+mlIrOjngLhEiCVNY9NAxanL6K0jcp1RLsWgDThHfKQrPn Fh7SnTC+eak8QXw9wWoCw/6A26O7Cq/BBYLEdlItZw+qM1cFo8CxFW3xJBSQUdKqBxmi3rwcKueQ beWvwOg1PdauBxL3p34RgaqYz9wzoJfvg/pKrssdHLw0Rf4C/qyqLG3xtCFRQH6LlkMjNOjwaDPt FNxHfywOO6GKnlz6dOoM1e9aXMwgQca12uwmWIv58E8UeoOaFE3DeKQqnNPtFf4/uGOBuOzExhx3 vl9s5zyYl3BFVjE/8SgP+TZTkswgQldTyhVxcOhEu3pz6JiNGOf4Qtsb3nAg5r06hVwWwYqy7Mqm pxehSTvWCNesOcBmndQ0ChmwbDHqmSFd71Vr0xXoKcIj30a+jyUqRoubMTQZqnCHS8NXKF9MGepY QCzVmTBdI8Ww87fG5P9m+7fVfUEuypLWfSpGkW4yC82DSeHBgrToOJN9LNcaGfDg7eryQck8MOYE PdzpRMHbsz5OUrB5ZMbv6eA4cwFjTPee/dKD6vtTjK/aYaEME3rtuyf8hD6hZMU3XVb5tGxWvyyZ avUreU2pqIs1TmNTKxxtGO2ekAb4oIXB2kaciHKm1Lvkkt5VmV0dRmeDG2bstFyK53h1472WRpeg Jxp07Aka/CG+ie9jqVe+1tx1c8e7R+Us3U8O9ueKO5u+ygDokZCga1jxdO3leiMCBzE3uZY1XQcJ Zfao8mdNw6L4qNcaG0XDZXvKRosBKa9t+/YD1+uZoos2sFMMDIKGMKhGEDOn3uetr5vI4uXhBWqp +a/asvFgxRwI0NfL3BdmEJ/lRAVkaR1Y1rHhgRuMrnt0PfLMLQAlS0fmNybUFPJsjm+8hQlfgbTv gefRpWLEtbiM/WyAxE5yJPbbGdct+Fk1A6nAOiWEuzER3qKi6c6eeTdPzORQwtzu4zkfhy95i8PE j4/kptfSJaLRPaGm2Nkg+WKMy3wYbxd8TlQd379qNV4bWHHvvcMI8CXdrtb57IOF+BdToNHRP/5B b08rIEm38gSMkX2ySpk+ZNYB2ooU8rgY0C4fy+UR365tbTrGIhP9YZ1gJVGTJj+oqOOryLXL0s0h gdk0Ln8cNEzvg7bs0QzuU0vfVlJorhhiaVHYYERwK06DDNOgU+qtWlrkUDAqp3MhDPsJCJN4oReo giTKF5/83c1u35MbFwZopYjvF0A6DSL0vDajiOnTHtlguCFWUuqevRxSK2L0ZHjEap39FjWgOqaU WIANdQDAEgWeXw/BWgaMEntc66JI/3ElhWG3u/FY/zSLDN3LiCc6nI0PeBlxjj1rj/pRhnAPwDDs aMZTS/eKQ06KDKPquOpkDHIgO1O+Xa/A3VW/TNWbhiJs2wKJ6fGtZrFfcL5r+wX5Hgv8CP4ZZ8Dr PT97R8KKT3NwHu2PRogyxOgz+lFOwjFPueFfnz6GhL0n6V5vlRH6e0hViCiJP0vd41C7w4RYnINR PsTgbV6qncuOCYM75A/oh16RRuOd0jThycD03Gtu6fPbg0qPtkm33mTJ0tN/SDKzbdXFWdHlAhCc 5UtDMXprBQIziYv2wUQG0SiHARUr9Powvv23RXQDW8+UhtGeyT/PepQC5ZEwXYQtfOr2Q8yh9cT3 KVls67K/M5+nN7GCgMuTJCI1NeoNnmsa0cjSKNLQQXv5+Qm6+PDvZEBSutB4x77fo/kg/0YVYTzP NFB2s/41SoeEr0upbfuhfkZGA3EDgpsIzqxZc5TtWZJSEPVfbC7LiH7utL/YMcSZDOGxMiKRRw+c z6UWpg0yqsR+0NWDoL5I3MfRIIWHituVxiFh4CiESE6F1ACo+9OTBYCbhaLpa4wSNP/XZp0EUGHN +NuA6wqYovBNs+pRfaYL9h0OurpgufxiD2Ot9kegRCVpaPYzZ9adOQpodBBOIwM9J3IP2BzFd3YW kXI3D4wF5VdC6QFIY8xNg9nTCS55b7/sBGKpt8kOJiJ4nDA93GP0ClA5plsZ99K8n71bbFYlnxYK Yzrzua/00jLmG+mP58CJhqOoRLkKD8JHeTrBmFx1gY7Sl6mnz87kc2QuL78aNH83SvBGpOOEKFNY 2JXiG4GV+e/JRw3c1DZYO/Nb3RAk9KjVQrO18V2Avr3jVZJgpDCo2m1Q1c8HxoHnHrtYLVT1JUYf i6tK4MU0R8FweESLsPwTDA0QeO6atzOs42ck4kupqa73v2thLhGZ+jgMBBOMUfGlEJ3rvqdPsYP8 B3YDAupWHXedN1whnPbdLnYfd40p0s5JitYR40Te8MaPZtBp+eeq7rP70Lan0SuH9AuvRLAjA0cI brBQHrZfMoqBjJD88PLnP1F53mj8SENd7HrceCPpoNB6VR25mU1bW6Wb/o/c1SLBvjiWdcWwyT4V 6UU+Jkzox/imA1faRqEceMRxwaCptWirJ0y+N4fkqwfuwyPYTrElQhDv6ODTkZ9JiSpQxn+T5Brz 6lr46tVA86Xz/UzxrY2mPkw/oazRr3yOe3aJJTcJZ1nvEx3iD6RLDlSLNRLMxWejDP+mhwSk79OS PdeA7wTDkQpTjetQh5z9AMSJiO7eK4eSfngMnbSqdtLFohK4BftMxkany1iryqaY9/VykC6eeE42 Rz1O3Q/kaXZWEi594/UcKy2sie+OHWeIiAMNp6hqQ0txd60DeZamzf3CahE0lOXC8lvS61d5iIbC Xv31oCSUFkGawkl4QHceEXljvw4k1zSmWz3zSlvRZ80wnnpeSd+JA9qzSrPvoO90v3KcmbjIvZ44 CmnrFZGqw1NRrU09RTS2eXy/MNPkEYqpFX3nO7EO4Kr6hVWAQYfcUeNX5qltGR0d4sKyi/mmOGcl 7sNJVAMUoHwtfkpAjmxgsBpZtp2IonRq9aEw81PyD9KgzcTgxxZrjSfTGGhYIz1IvBH9PnWfcSY5 haxbe/SoFwN2CveqJQSxIQPAz6hsOo8Vg06vnxdklhcG+QrI6HfP9aJ8nDerwALnzRn7rq1qyOms KYXg+hXlefw+b10pyaEc1Y2dKfAFg2Fb15jiRJ3RimAI//AGKnxN8YhjMuSl6BOqdGTtoZwBoUDV 3XhhVV5XuSnnHyVg3MGYf1r7T9a2h3l1pnL9SPMqyUr9FXT/5uoEn98UhXcsDMPszGM45rfFkF7P RWGCeK1ul1yrZj6x2iPPDXMPT1snyI3Rzq7/Dgi/Tx8P5tUqw2OCMaigAXg3wWxCm6MRInrlZnGy 8mMCFy4FIrG2X7jH+3JpLSCnq2kz0Wci0fH+hs7DGwZobL/TwxVJkpBLJJT/FNle2SKoWUtHxw6J tLBPiGMU+hOmM8q4euTxrKg7Uhtm8kPaq9/ImpfHhuLbiqcu2tngwiE+YlLE90DdosAfUyPm2mQm wAlAh/9Iwn+xDOPYJGDWKgYcdhVVhwCY5UI+rRfAKZqK0XOlax5/Y5kQdxjIGM3khKAPVCIpevia fShUF2YpuSuvkGYbQk3f1MoF/tbY0IXJG6cTlLr1Gk5i5UuwYtbUUjNX4gRI8a97ESc7DNc4aS7W KpZvd34H4dmJrirg09dMejc5991qqBNl5gMfK2zDO0s6jfVq1FnjHa1n9qFCRjn4YY+on4FqNAjO zKtIDvOyoXEvSYHp0D9fhVvhjdQCZaEMpKeWSbCjy69YxDIhJD8ttNPHaGptCtcnMP6jbGol9MU/ 3JU3OKGtQrMBx2EYBtTehVrolr52Y4tCl7f8hPQXir1QaLKiFzrmNevHCwIIBPTtesRj6KBaZVmB WgPzefUMzNGrrFJdKV0D70xE23kgYFJC2PWviJTg0nrNxx+GM0IUwLqPpKu9KY1FhN8owDbqZMpZ 1ueBgJiA96drivABBUL4vtZdN3zLB+fdFvX9zR7VhRID/69fzzxGnvIKNbdtrSe2sLk7n4Jd3mkL V2s0IETyEB7G7sLzt+pg1RInE978gcxaIKBq2Xc911bGVIcVOFf+LL4YUXj8GS+ET27CE0J3LKmA AnyDs5OGfxC7AJMhOsPfNPOuyj1sP3WpJqYrzqaJn2n3SbGIq7r/C8L+FQhpgu6nLqgUrLL+YPRD +ndI+kEGEpS5nx1wQ9e9XgUccKdNdOljSUANn5ZD41v8Ov2KtjLLYnwZrrjwwCUgicSY7rBSVaLz Raw+v6jW2BUwAxB2tlXtzGZotn2tOtEoFSUXaUuzvjD9mTwpOHqzC8UJIUjaBHGjzigh0S6vjjuL pvxGNcHikrrwXzZhXmby+dVcB3vVKXvb3C/Pp19KY4A126IZ1hLR2VQZHxzx94xPROv+3+XMVU3O mjy4KiJz5orDFU1R6Rwg4I3xaWZXUudC4pQXtr1lxEvy6y1cM6UrxnNPEq0pU/lOxtoXWvYzfJ3a QsKR3dllFmTnycZ+HHNWuIWOR68OXcORnN7ZgEC5KHXBr365BtkeiYfe/vUk0xpJhyoIwt4Fgr6+ X2+IBIw5sIdNw8ZSeFUVDqkaqjgnobCNkMMXdt3Jx33ugLXRM9kCTlrtkoS/qAaN7fb9r8CzZxds ajVryTMPABl/1uorClaGiUFC/5x3eZsUxihKGBEzdMIL5bgrIrUsR3AiU7+0HOVkk7tYfDp2Ran9 jgiu1r5HJ8PT917hru3u6G7W0oMZuWFtPN2qvC29ugN4pA65yaBokdJukkesuJRggcZzlcxiFx3m hQAazbuRL2jL4nOliIy2s1au40EkdCaUI3UerJqhpjiuGt8HSa1lQ0FbHHgmUbvZgr2PRbc1aQj9 Q/4aoVlkypu3cypLib0WSxS4yiPaagxRVTZD9Hwu//4QhW3Uklo96IbgfvEtEr6FKJjLAo0rQaSC wpxwTl5nv5IS9ZkCtE6yK2C6dghWSzBY0jklp4MYKJdVgSy2nhv7jSAAS+CuujFHFMillevhmDxx IPd0zd9Q2q2Ciqh2ZHW40rffX3+6B0B6bZOLpGkEeXiSz/zVoyIKKLI9+9cLQF/l029NjdKe4LEu xTcaL6Uvzto/Q8FaCKlK7OiUQVabkVIBxOpX5PLTVDlLk1mbfnGB77GzgaUXv63xYoBfyK5tCdhe RgW37yjFkC5j+pBQ88rhvRa3A+2iHNUWkK/zAgjtWQmHg0/303OHZna2iy5sxFceM0HnuxgfYxRx ZqRVJzFRk8SzpT5BkfkIGr9ZYErE1Yo7cOimFKQE5CP1iJMa79lyvAKowdEJkImvo2M5DHmPtqrX iJ8POR0t45MxbJ00u1nDsQg/jlo6/A0SIt16GAw8m292MB9GkNnarzSlQ3H6pP4UIKyMvgZ6Omcy pWazWDEIVEvebBNNP+wWaeXHAovVsHQBpQ70PWpse7IY+X6KEHjXOQ236BjX4eFABIqWD/KDRJyv qtY3A1JCxPngvX++iQrPhR07A1HJy3AN9ZruAc0KSifxrMdT5H4R1LafrghE6z1kGIPF93wVOt7K aX3zrdXfGfFJxR+EfRlGvN4XYXPvgDnxpAdDphNnMyN/i0R31KaKHPDCD96hnMSuLXpEOtUjGoKf 4gg0cIXcGPA8dnYXzHa1d3EoCLO00qr1ZjMTP98URMaQxcgAQxarqAWCgOjp3h9BlJmpUykx9FLJ 0ZMV6jxQncB6aeN/NQIOtmeC9S1LyAffTn79gAgTpwVfHe2E4SHm95UT4/7fatp4j/LVWPTMtw3B WV0wII2eJ1KQRPg99spa7RCsJyzvmUkwSQiCRrw7hfjBPjJ6CzlSNT/A5OXRwxjMoNuwFgUKZHGn s0nYNyyGMXQtSZxZttzoTZK3AroIC8wa7bynUnVHqayxhTM6GKBPWSoMVPYfD2P9mC/XLqfe5Jst u3+RufoiXKoxUcjv1Ztsei/2KpFBfkzmc86KzBGI5mOydwctsFk+dg0YyFyK/aI24Os7ItsVXdF/ jypXTeAR94MCcedScA6ttS2xGmUks1brpqGUIwzqJ50QiI2rprpIktZqBUHA+dKVQ2XTtLSjehp2 VPG7berpIgK3gC3qy1CtcbmNT7NTQZ6dSG0wvbPGJhSWGP1OF3w0RKiemX0mrp/wYLOXBztzhvtQ LgNS90P3Nuxqza9BIJP0rkrESEEtHvPHh7WPk9XyK+x73m4FukdgKJQ2Z+aG/54xAeFDhFhIdlb+ DhRQtD9ouXL0sqgDJzYZsRgOleZ4B8vIjJjhbDBxoT/+2xCg2WcNxRkEkN7vcubiMSjg4Io2ub6O 3PmXdkrdYKIaGO8kXmgF8evPOpsaQc5H1YbhqFt0WGWvRXAKPCa4tA9icL0lfakBGtjuFIAH2mqQ noZZGZlw0jWDcaS2ymu2dsCARG5xtATjeAGzKVXmd3lm/FvZxVA3+NHHMn8BYY2CilrBUOgfWexX dQe+DsbSQK9F2RJmdcwAVLWxQgKY9BmWBcbEXLDoqRIJisd/BZWzsLToF+k0tkTceV3jg2C6w8Ew tdiLNd8WvqR6J+yDiQ2RFSVeFEgTXXZM6PIZsV0tN7bE6RGoIlpMrYIpn6DBdMcJ97BTvgEmtQE2 IMZ2k+cOS7p9JhFsDQSJG9yXIDTJTEkNRBGFl9YttDhWSwPLhkkFpn+EY6s15sp+qMAx6PXxx9rA 2zVKPcQbd6XV+gcSUQI8B/qmElhphmkstKBelK04CriCAF98NRPCOrqN6QrA6ynybDRLa7U3wo68 yjsM0vlBIga0ZOANy/7h/pUiTrCq9nETd2XPOgJt/UL4tRDm5oPq+RoPt/ZgsYTezr17OLtfJ0fm azb+SUwM18UwhaxDrNyONZTJpEI/tGH88AUrs6EKPHca7o9SmzyMhvTWKbOM2tb7Y1VK8qtakZBD DbWEjuOWEI8ZzUKXtTWCCzYUPHPVJTE3rAqeV3sKggx5F99JpocUP9LIwM2qGyUoCql6PperzyEz aS4maZ/yCpP3JYknqZHjnk1WhuDUkndTEjKIGxIHuHOMUADPG+J2/0ltUhoCuinozmMJ84PnoNdC L4skjKCvDfMSs93Zuoo8/O/v9HrLr+eKLH+pgZLsDlciL2WG6l3SN7L3leD4GNT2v1OoN7cZsWPE SQTR1U/jci45c3rzPNK1WpmfruS/AmQVdjH2w2OkqZroWMfsXYPMhugrFVe6qUagpWglxnCX0DBa SNA5dUD8zyZjDXrpyUPkqrSUa46+IOcyiG3Z4sKf4fYmI2rnWW8aUsWNrqeSRoip9oZx38pyerd/ f726M+M8ym0djpCTUDAFtMwf+5uS1LVfeKBYzAQ9AhIKcN1MSjf9n8fgo+FVqPc2GTbsBF5AV4JT 7YkdJacJJ+lROmGkRPZIC/dGnMaGK+q44+t12HWyh6jOvi07pX8iK3xqRLlC4/aR8S8nw3eotHl8 gKty67WMspsYNjzhm1tF+yXN3ojzni185G5SXhGzlk5eysUTap7kXmCDWjABB6Gc0kpHLdMKiZ8n rTeBi9XCWH7mPIm916JIDvpLI8dg3T6ZyKkIC2mqdz+aO5Vltn/b/oCSKZd0YeQe2MIBafFv3Nqc 7lpOxyDhvTA7qwH3nk+Zr4UNrjQmDkcN5IftXzN73rPxolQkigaSQhFZ5HF3fawtNReCUBlNs8kH b0yTgKNZGTG+CS2zlbqnqd7PsW83wLKuo1L1SGteIXVKaD3uUP8wyEEgjwJk2/0eVX8gROmoxkdr dxJDCDKdHz+sitckCAyBvWvHxtk81bJyfqXTX7aToNIEgorQznbSHrNgYuT8bxLxq+2cEa0DWGw+ 6LgSX0ce2WOxPQmQW4XJc28v+kVp8GykUbf+wnvcaROzO2cR2N780pVQwK7HcwhB5hs3Ppa5waXs uxJEVu+6H7kXk+g/2NuqfoB0GNSNk1HBq6Vcdg6mThz49Ljr/s6gd/B4ldepvKJYAPK9857X6GSP 4DcGnBT7lz2QzxBVxGqwvNMfRHoRP9IdN3HkOHcyXQ2rI96OWXfgWNIVSaVJjOmxMSZxtjYaXmzr YcfimETIvPYiUlTLv9bx7byfth2WAX7/FpRG1AsMUfhgUyRu05l/bSO7k8xe8kyeQxXTRMG+2aqJ uDWSgVRxJxWbwcjUQC1Z6r6GCGloiqc1DonVIguPQK/632XYv+IDwgLPbMmnZnEHl0DlkISxvqJb sKX847sRZuxpwwc72DAMEGALInDdQk2vPKBXow8KDytV6zMHmJmr3f46vEZl2PInWwk1tB7eo+lC rWtgS82M32pD607YTPFHifADPMPvN2w5+uUUYlQni420FkPYgFz5hZj8cih7ttOZi32q9D8oAxeb /PBbz97FNh1Bt6jQ2PNkVD/ExX/utxJdfbmkEtE+e8LNsBhEGN1nggCdoD+C89TDcTcVu8a2uomg AvJPJLbAx98n25jSoNV6Whg1PnLlb5DoVym2a9e4l04ww1JoDGa42b2bH378wRPxpJyl44xWwW45 pKaTLyVsW8E3q2Retv9ADj7700hFpiHMU3s51Mkvh6YRk+obqPVPk1HdxLySsQH6hsFDdgO0Qt2M zq/Hx58WdeNKG9n8FtI5Od2mvExAMgozxX7DAKlqyLytcIoocz0yH1KYhAARKeGSRKAEWm+LRRXh D08Tjmtl7m2FFvPVFBLexLrLrt8ChxY1HihEfNoBJDlkw7obqhteF6AXvAn9yN2OHFyVywS+21V3 jl1qW6daP3goOjOcIfff31lDfZkPGPNenup2jUyw3bCAKQ5xad7YKsJ2o502U8wJegyg7MZ7+lWL 3Ha0asgdL4oYCTtAExfuV0h5ggcdQyH+316/R0eWvlgFapujuWppQfkVECl8PUD1HmVKfWgc3GDB YIaA6LlH+5Qynxk2QuyhVvRGDFPqC1XFty10ttRqfFUdtQ+E5zj0Z7OJxLcylDalTT/Hc5dnJ0iR LsAQbL2je1u7DoVeNACwWU3hUDgxlJpYkJAKFfiqkpQuy4Ra2Js/tM5L1JM6JknasvmpDoqPrNWW bRgMFDvVVfLx7Z5ur6VisPUbQMYPrvdynUr97KFOIrHND7GQWPGDNRVvFsHW0PRQOdGhfC/eSs5N 78IFQyJ8+yNZ4CF8WMAp9rbOGpm4GAHwRehDFseT5ECRW20Dmx7j0H7O1TTiUgsMnY4U3nh+OXeZ Q9HVh2u1rY08xc1t0iBc+CtqQKEsyI22KuLK0sOfCnzIDG3xtMlyP7hJ/bST+BOg/1k0zXY2CX6e 4D041a+JnYMkOrC1ZejChNWZzsp8emzBwZEUzi5fpKxXwWP5DFa3gMS5w61s1dq135qsjerAe06/ RatQ7SYpnR5DnGR6NO2/nggwvPPCwf79q+7WwvR92Np1QzPQjsAPjkkN/RLb0eJcSJiilPkl1xgh 7EUz3lOZcSG/nLOy4jYlkZeSXAjq3d+mc7v9tOoAnhjP9bWPtFQUBC/OP5EWoAYokXsD96F4KbAs r9yjZoD5Ki/BdtmhQoN3VecaznBDofsiapM3odNnNI61iaf5r+3IKAyxRaDZKgQsm+pstLMn749z CJHaWwKVGcgee3VR32Gin8qmPexU8Zk69QQyE4xGU3w+a+RkyeN+S3iCQZXkapvLgYPHccMr9RAn Enhn2QnckEW9W/NQHlHntsAHHpZSeIsKjrofLzx78Y+pqda7JlcUBmaiQphXoBERSF6XvN5uZ1o/ 1rSrit3YQ0sB3wAZ+zZvRv24W0bal5xvIJwobHVMyAoQGBnAA7lv/ldgfzGfP+9sSiKoM06ErcGj bhQMWpfCnc6/NWWBoMDMQPnaxnQQPcoU5XO+CK5u99QlJIjfvz+IK59Xo0hxJzYTt0chh4ckDBRM VYZ1zaXatC4m8gvkbJvH4XJTh01RTDU47IIu59ZLsYBd5XR2L+ayFjHn6vy7InAitU334nGZ0h5a gR3D99n1NbSMaBVE+RF2rES4zonR7NOmHaFdmumreUrgcQTT5tgtr6KZ8Rvqfl9K0205a4Yo7Gkd DWH1/MRbQ5j/kfFMtNQYUwk8IWsN/IdRV03pqI9JlL2DUL0M+bV0Oy5VXYRcDeBPR9g/kgCgdD99 ewiNiW/elo4Sk6fRJvTnHZUQRXIg6qiZj9l09msocHsPkQkmPF0Bwo2x5o1XiKtyEyAmIdSdJTUE NQRbTGVn62Pr8eYs1nCmwvA7S8Jq4fpc04YIb+VXRG3B38LJR2SuPNRNdEvGPtR1k/uD25/ZR3Gg I0WQqIx9tvwL1+U1Jl3CRgtTTgmSfsdzz6pYq7IKqvP0eJbO3CG/V15NeWmTfBeSkIpNTbcR1+Dq OK1GNdUywfJC37Ki+gc+NRPUb2qePWTsjXKBTfpyuwhdQe7d0a4pTPnIjSLUEoNRaS+5LYePCIMv ByQNb0AOXtE5rgBUptIYiIfN8hDO2QCS86vnO/DpWeaGxb9Q++KM1GnngCH5l0Jjshf9EKmi0NG7 2XwM1kO4u6scWQ2Hd0Gy9Pa4Ggtpol+52wS6D1/3wERGOD0DhmOg9ziELa7NMrj/MKHfAleauCzz 5k5gxBxxVIKtQ+0dNmoZWFeJ1Sqc84C2zv0khq+ES0dwW3IYFGAwbQki1qJ8vWjuhFFV5QvzZnsj 4+dnsXb63cLnvAZo/ZXGgmAvhY/BFLirO1Sf5M7QKuW/3GGNcq2zyt4oTUoq+78WiZeW4b/mIFMj tm1FB6rAqA/ZE+ufvWcAiGmjgC5o2b5N3cVNLgi2ZHgvbaQcUDGyIfINVCFOvj9Swy9NUMQP8uxJ eIEnjG9Qg+IZboc7FAaz/Qpr1iHohWHSUCuhoQaI20wQJR7u+rY+ElS95TPt1WkOnid5LJ9zBOIA QsgxzKeitazrgEKMVKWgCQjHuWbTtRbug9YMVAvkJAC8J66MXmcEMhwEEYbq+7ElcrvacQR3mVen CAeWkHTH3NqhufIsz940m4vy8Zqqw1ktQtyViCf4Cn+x7bX1fQ5MiJGnmKTaqkU+kpOYwkL1+7+V uWn2PPZ9M3iSCzXHaCuXbkxOqB3zsTIuaXxvrpqKoVxtLkqU41MF3TkfUg2JRfBuJ9G2+MFPklic 2mhS1v7GFxUorzlMaVJoW3NRxpczImElxucpN2nAxSUs3jESeud9R8Gutp8K4FjTyxFY2v6/pl40 GynssBef5ofks3DaPnMlIvcrJ2dkjJhg5WanIYQUqSu6DfC54DOPSzC9s8EVn5tsk6cq82uKTFw1 3NMAkHt4No03gV2r/It97bJq6fxsQo1jRiLpQ+HD3KnKNF722VyTmRfT0Avjms4dpzVwh0E6lq5U H7aSA4OHFYeBSZMXTWXN8A5dlUDiwfhtDpT9VGV7V/y1EnYYerLK9dhMbYbSSZ9UiXd6zlX1+0Cy lE1tLyI66TXi8dXR0t6yZ6GQPWuYtAmVdD3erOl61imWFXz+po5dk3ejnoOBtZPy35IbKgYfeiDC Ef32A5wGz7g65x1kAwa4JSt8+3ETSSRSrSfCy6WY+dygMQaqAFjkIFmObHyTlJwcaMEizrsh3o8J y2pYbGC5+sTqMCNE2QEa4QB5TA0sLft0NnPhvI4hMdPYGdoGnf4/6pHrKgqw2j/669kgYWLoy0xc HJNhjpl5bQTB7YO8Te9kIUMCd1Mk5rtf2t0I/6QAPb9CmZYmwzUP7BAUEDGqEwqCwAf7BARKGUi3 KnQRizkRutL0gJ6qKl4flqHPCOBateCTlqubyM6VVyZHMeCvIFRcmARIOXcAxl3ee8PzRjz2N8EM xepIqZxkWEZvZTZjHXRcHM2iy5++VB1lINXIjN+B9KoISHTkdSee2uBOgEg8v2yfQ0814QpJNQhD vJd5r+1Q2IGRN8uQfTSfpUNnEoeWGXcYs/5KNXn3Kn4v9b7bxFg4VilXfk1u6koK1KkNBjnoK3F7 7Xu5MyhrEWI9vV9uTIPy1Sksb5pFiL4GgCM+RiGqgJ2WVpneTVZSFgmh21nBqkKJhcyFvhgNZBbg szkTpToT+whkZdFaJNYVzDQoXHvYt4yOkXTt3Is/2EEwKoT9gxHeoB98nGNnK7JpfCJq6Ut58Kb5 m+/ao+eVRhqTwqyZaBB9cFYcvKLIAPgpBl2H3tTR+FLyxEJZ3CJlP43CqZuRfqODYTpfowof85ek 43iLclPzm4C2xqaqkLNJ3ox/aYSxIJgLVnAJdb1uhE8E5MqxxM9C4SLWNFDPNKKF3y9HAVRV5kLp 1K33O0i+Mp8njLBWtTnDs1EHxHM3leNf0HmeBvcOfY7v4pXBTWDCHzY/ouecRsK6FNlQU/1SmM+b 5UxnomruUZ0RVX3p6LS1nFHTzr9OxL/N2kCAsioYpd4X+3o1Hn9gFtnSI+7Sp/3MM6zKTbpALTuD oOTN/lM47nVDeliH9N8lV0THj3D+qcHkOlSZc2X+1wnOV8zs4qyNsbFe2p7ziwFLVbNjISBY1+t8 e03VGEzJn+wX8XM3AJk/2q70gS5MQN1k8yTnNYtHYNXzbLa7+0lIPxkWyOubqZmfxHUdPRdvAWFi bc2VvRdmNaYc6J2SnHTIdq/p81AZh9zD/RaR2Cnms43frmw9OuIO4kRcKSWOZP511wU9nqTXz8uR K19bcF5yBlu0WPgyx5Po8b1v5FruGaBLLI3jjFB4Yrpn8ycAUyNteN85CkvviqlezvUfj//4KECO JbsZF+i+32X5O/HkzddzF3HZdE0VG1qlY/9a0ij/YRAEsfubenrkcxlVwpnD26S3PuQ/wie+fJ5p ll+xrI2P41CkYj+zVUIrKcvuqXoj9E44YNew0ZHUAfQTmCjWqBDxp8gdvpM4KGS4o7pImTIlxcXJ Ybmvh0iX0BiyLWnvDmd6H05luzV8VJxXFOgI06d5o5Swf2vBVMskfaVizo7qjcr/7oQsEquWVdXO xlvk22MIBnljyetJM7Eu64Bf/qlsZ/NvXmhay4GN7V+9//0zoXZw59g+7GoJ5Ng8SucBET/i6Wqq QdzQFeuqfEeYmz2vNgJDJkyGMIC5mgJ2yNh1dR+fbYwXcDYA1INIbqSrTLqPltTf0ncZH2lggkfV d5TUSEMrlscGcLiY4sNN+0aKs7z2Q8+ZL6R9/AanqCaCA1eGZsCK94O77o4QPX6Gl6vQUx/Q5L4Z CgIGESN3TP85Jxdr2hTQHdr72OFCEORIhqc+HkpEtasnuWGYPpUr2BkT76f5BM6lJINShbjY7/6V BOcrE+JwBCxyfWzBVNKumetkKhgBXUtao7LvEbi6A0MmP+OJG0kNaesE5biN5ViEjN0IJgKxi8sZ 8HZ4Mc3pdBYSE5xzHJX+j7OjZPHcYVvtPgmo8V5BlA8VajaqWyGrxHM1aZF9MaXYGZJxZoQrJ2yJ XZmuYHdsqVrevK+cltlbdHVzfJdEpg1UxpsUvSt83p2g4JoQYqLXuCqA8YgNyxG6aOC2NeOlSxxH rKiPvqRW35crehj9MMHPpDCfdnpd728pICC9FKtVL031IB6dY/Zvz948tcIP+uIrUpl6QrISvHEg BbDqZJ99+0JgL3kt83y247VS31w3NTG6AYq/7zJZ65cv4w/mVHFQgkRxtPLnu2GxZi5HAiWuAVNU NmxF8Hd04MXOwciqE+pz0N6DEJWG5/7TyBstfmRu4WCiU2yyXB3c9jhySZZUTWXiWdSzPATxEW+u TYWcCc5MdNsz+45KkTRZeZfuToz6cXLlptFaQFS19Y91SeVLZ+udf5CnWavijEbZjyZSymIdTFWR R0M9mmIxYfEd0muRTXsgy4B+odiq4FtJ4jDCIKZlE/dD+MOZCoIZVkSdVx27KI8EgW40EZc0EM96 7wzAapGPskAHCuwWPijTyGBi75epzVt7929hrPwiAtZkOMFwEmPAL8CObSoPx3zVQvScevf6AqxQ 0awXWcoz8o6coCoHSz4rkXdl43F/vuBA/nW6VxdBlkciXtF5QCXi4TJCNs6YJvevkA922Tn/z5Bp 5L/hjfxdAh62IrZVlx7gAtF9uPGgRxdReCLWp75mKcEJ4TswWijVVR9sm/w2/W87h2DWi6q+hxBC zucSEUKWgJ8dnjKoIvFFhgQ3NU5bwfKpSOLrjWpi0QFnRF2+yY/DRstEI4y1aig/NuM6wLgTl5Pa bhWqR55d6WimcEOR4/LtBCLodyWuzlST5OYBn0FYG/1rw1oqsW/N2yM8vd4bbIsUnAJRtdjUS5b7 vEdT2dCMwpAmLbgJuLNi0f+i/aXlYU8LYSZHyzRLBVPPS4+fb0CpEv60PskwNJyc/Skfxzn0pthJ kinsxlF8x9trgELn/pxAaR7/KoCFvxkyCGAqQsaVgTvAL52aPvIYzRu1fGu0sfod7bAF9IadYqQs I0qlT05JlZH4gJg2BGZqIwKtnQA1T0YWUSO3OeSFLK03lEete+Jd2WkZY9+Pr3UJD6juAJQ5Qnra ttSTp0mTdSQaMvNxuWwY6R1eZN47uMZv5gc6bLmG+QOI0h2TjznQqCPO9Er/7GTZhXs/peSi+nDD mvXD5kZFuy93q5sMIj3ceHI9fPjhgkmGOMxk4tt9MhTK9hUH8ZHyaw/7HCA+goCmc7lFVKUAG1mP 4P2vteqMItAGL1Q8vHGYf6OEvBIvI2mLbroeTBfJDHm+t01vafPB1ElEfZbV8aEFae4tq19YXyxu EMICPhKltGeK7TwD9U0A26R5fltUaZAQ3qPJW9Vzjwmxy+VJudkREGTqwEpCfrXFqBhNpuW0EjER d9ioRNpIzHCSxM3Lb7MsNfm8gxww0n2n2MSy+OMn+6xdhbUL5C4ColjURYVRjrIKpe3SWI9zLcCp UaRFJfmo4V1UTx7cnXThsbfoyymEkY9fUNjDw2Zv45BOwRX+wU8kKra31JKkB1L+EeAw0W+InVuW 2Gtqp1l+sARwODaYT9oJ06MbEj0lDbTH06RkgG9TBXmDGlv/J2YPml5MVNBqjX5guUbkJ112O7JA 8Hx/8jgBMxepQ9WlCBIELj67POi6uH3v5xCYIC2k6H4W5TaRPcdc5rLTA3iSXnGJSZl1+tByE+Lh Eqwwh2Hj/bn+dxB43gFpryV1AoYbxssXQgbAD1du6bGPmx4nhipIyHaLoAEEICj7Icvx8OJOwTEf v2loPibp12fGlrBpdWutBGTGVxQghgvPevT/ZqSgdgb9o+1l1RbIJH1eBwOXt8Exnr2ismjZcPYJ ake43jhhJEf0yfhYjg3HkgfqOuXiw1JbPfOxQXLftvgS1VST1ljzu8BCVIJ4HCKTkfqnXGpddl5T AEAYb0bpS2Cpgd6uQnY6PcSSdCDdOENT/HLqS12wvVeZVFoupSQVQKNn2BPFzfqx/C6J2OPvsuyd lrCr9ByAccmnQ/uy0ad8+O71sWoSvh52Cqstdt2riYe4dDMlsLWepy7uEzgLxcnZ1ppExqAnmUF6 MgYJiVpWQaNRmLcupz1punHDBl0bvFJjp9/qWz9z9YGePMn/+h3Fn9nQdTJMp5DHlwuN1Gckgxsf Wwpc+DxjXOhn7zwihDo9T7tn3Rp1t93UMbWn2NZUs1aZ+D/ansVQjul/TKVTMi5/nnfJhLR3WY1y uyqXhxyqlhubf1OObJ5S7WSoZwrysynYzKBDnJjDgyRlUr/PpjHIrS+wEJuFyOHn3meNJoen3xbH Y8ayN0mF6RN6NCH6xDLZ3pzwUGgFJtzS/pEMRorTVvJuDXHiETwJHMTZagxoggIWK87AH/siNEai 2Zvks8z8C9tr7GAJPEcDP7srkI1/TmYpwub3d8Evp+ZsR180vouU56h8DTT+q25LNC5HmINRmTiG p7Vq72u15xZJyuAJKbH5xb3Rj/gMgqrzLMeqbyQHUFcipIxTvuYy7KlnwN/U9w2ipnmXzXyRe6ge kaq2QdPuhQSPBvVIktxaeMByWgMkPkn3dG3n7JxX/Q80Wctgs/qLiFGsdaekoelh3r0/KmoXcxNE 5vzom/xeUm1xk4xT9NdcF5kPYTsipCVllW4jCBE8GuYXkm1Wzbcxrf41G5HN40aJTeTWBbjOkQdJ 6vNL9CXZvd+PguY9FOJEkN3uxz7jAjO3NfjqFacOjgWo4LryzNb8dyJbSayuTX2q99MijmLa2mzg ADmpqSvX3Ol0FAdn5ujMf6SMzjD8COzrAPTTY/dwf1HnYIXsD5ykq3aMXRZDR37BewV73e9CRtjV Je8/PyQls+7e5fT7TGSGJ9BwuaklfSshkPQeK/+yFl3nU7rzHUvprfhkRG9TIO/zRzDE8dCmP1f8 nHe0Ql1hO7I5JYhMH/3PzAcchilSsvC47FGhqYG8/QLsd4gyN+uUCzcKJ8UREikq0P7CCS236VV+ I4LREwaNl/nA/NIajJC3nMl/wIyjW5P+iDJ8wBw7RdlPofVUgbMswqZA2rJ4NeR4X/MPcVf/kw3t JwwDa/au8xMHiDtSdKUqGR0s4lhTJ4YZuCCytQMYlQGy03A/r9XF3ulX1f0/u2ASLJ1/jbjgeHde AFK62g5LPBZa7X1PSH1McT1SwN5pIWdJd+NXckI9FaFzm7lZiWcSFe54phoxnh+yfeNHo7cQxiVu 62GnyhaZ2+mPfG9OvHFK2LmwEsprYz3fOIKsTobw287bh/mXJt4IxZnsZKlrXFVIUt1nR+qOmj0K 2SRqrbQgLWg9IBXoEbtXCU6QFpqE3C/P9gxGNwN9au9xl1yaZmrzGuV9mRyys6rzXgCzQC0sDxvk CjJe7rrgDdggkg6c6Fdw7nD3hg9ftjmqO1z0+6Hg2sEQjAVbIJo6a5pDNw7HhhBRXm2i4YoTX1Dl Rwee3ApLcDAtZMvqzWz7GMi9MI1DHbL69QuI7/dxpF7112zG82hxsN5KbcbQsAMwGAsFZ0aaEIV4 R6UHZs0S+87Y9euVVAGrXnQVssIOaDhAqqryyIAKbHkf4CSNAiO4xbTtQXO3619O/cP50xEsFukH gz8FNFzp0MIVAGKjU+SizPXgp1B4m4ZGuz6At0nsJaBX8+DZ4SH5ock2KPgI29m4AHj5pmrpUj4t nPBlFfxHp73FLtTKjL5ktAuRj90pyCqa0OybheeZXlmdvKQhoXgOORlACi0F1/7+3vcMNhFgFEDw Zrrk52QQ5Rzhdt34+X0HxVtJUfX1391/USLzkSlj4of3biR4igIWAFwX3mvLAkJo/yMCpSXv/r/f foo2sqAx3QSwKSFV6J21jU2cXxUAHDnHFwBpLy5rF5TwCupiQGHNYVU+j19ynQ8BE4VWFRblOdVh b08bcOrm5uMUEZ9Nt1C79/Rth2WM+//icBP+ZvfDV3cZHLetvtOtYw2KKcDTx3C+GqTNO7fsCFyM 2M3QAF6KeqNQD9jP0gg7QRdSEuP6vGTE+zhhsRnLrsVVBQEzXIPjLGG3yWCFWczYgQmF0DByNBgc y6cGK5h/1kc+SMEOjTweUsJm/PdcMqoUU84mF4MrVplB9jX1STzJ8oixWhNidNcPOKCYMdhBXZCW txCFdWQejaP7tmphnzQ6dp/A6+9qQ1lV5ehLYS9mwvhJBbC91OR8JKphNCaJ8l3Ss6vY03GOkwdv 2GUI5mbwDplTCT4xrnaYqghgJnF7iCHHnnopkYhPLzCBeUHgZOFM5gXfwF0NVJ7daho8RQ6SetRR vlXcBja0samD9acztUIOdDZSmS4Jd4+99BbBOGCCQPh4Mxp4tEye7gpxiiOwsueFqU6WE49Q2CZ4 gJSSCAbdq9uBFE/o8x3JPC8onEIru6+b6SIcEG7o09tbYR00c66jaXaT3Cuf4tMiuSsi/xMUourD 0UDUBoUIS97pEnP13RxAJmp+x6ihEgCCZGgTSQleijE9IKzyyM0k/5ncCz/Z4fRzKfF5jMBOJEvr zZ7+bjour0tiDNV72DMwXvGfOxuodv52V/EZfbLSxtf6c2WpgMIF/3WweZYgcqOgkDbH9Y/4kqBC ZO6hA9If48MC5uYZ7UWR6N7FAfIzLQYnahzhA6S8hw0y6IQ+/gpM4+syHh5zJlKRITqfcZv+wLaV +DQ38/3p66MS3AOhZUFrjCoo0ZvT8XCzfviNb0DG1dMuUiQDUapUep+Q3EXKWyJ65jB63H8WKzMw uIZrvg7Px+F7mqg32J56KAGoKJphdk+kYt6tCuusL+TEowLZ75wKWKoGHotPto/jFChei8lOBGyK 4FV09H5A6jZORTraeBR4xtcMXJ9r8qkYQ0bIuRnSIO/UTZPFT24xwbOXUtFEjxz/XR9ClO/Zsymj 5/dx2U3AshjbtcDQHvh47d4h2idg+qEMQXTk7OdVcquFgwv4CvyC3hiauijO3sG4aG4H7E621ni3 09/2Ts893laq2ibZcSGHpdRSW41CHcsTnFtWBFKVi/2+DX0FiP0NjXi3foI7roiDK7f89y+KonPu 4XAH5HIP9WqTwLAB+gWKtY3RkS+Wz0c80IcwNZNunJivgwHFNMbTBRWu4gnHe8QbjVhjuy7p8zcp 4iFh6EAxCo60EM4iI36pVDJvVVjfbQ8UQ31RVK6cDQnKu7Y6cp+97XCrhcciiFPtFGJJrpHClXP8 sSgtSFkGwQlXTwIR3TPj6B7PCmHYzl8lKOSQiUUFsARsa3xAfvEShIFqFmTwQ/0ru3Nk9PQrkTYK GzLN0Mj+WNl3mn294slc/arhNOllOzFPqrNB8zJ4QMZc/geTUPzeeELN4pzaKHLrExrDSiBdsWUe dhV4ATaCKo3VH4oCnz1kxIVFMccGfASVyF4DNyzPURwSildEJjFSA4V70GrlbHYHe8AiLfCxY8la A1a5MPZYMId1c3b2TRnd5tQBviQraKhAq8qA7Djg5wa0z7QMnk9newtKcuZv71y1UXgSax8pmzwn ID1RJaoA+lzKIDc+YjbnsUPMsNg8RIK6+mIq07WB7uhtk1u2UrwEkMGNYfrSQteHC3VCnMev2KlG 0YPTXmJVdEDIbC++C89oe4d5tegm5FzIMKrqGgkB6dIGT0vfccCTdSkJRAHPdSiyCR5Nj2Se6T1a lXJEWrobyyXiyRqoFfuFI+6dRoMMy/IuVycxaQr/8SJ4il2a5APBwjizxCH5SvR/hd7wRMtx+gv6 DdUddt3wlquAXJi1XWrg6cxkre3daawMCK5+vFJ7jlkNBQ3KtxjcrqYUFQxaqTzn6leSiSXW/TDF GLk0W5w2amhkG3a78M7YqyCv6QyyAFphtdUM7bjv5kMcSs29nC1MObkXj3707StJRr9HhBaR9rDf i//kH8liqhUoJfOT0mOI1XKgfjLFMXATdrUoGv32jT5iPUEWlE8DrHMfg9YchrTytdTaniBZuK3c rtrCnCX/t/4gVBOnh0L9GIMeeVzY/M3wtoBAo/N6dVdg4MNU1lfamc58fdCm044snllIj0PiKbUm DOgJszLGSxPNu9ZNWOHXIuqkTLLK++rIs5rEx84wSn+YtvSdjnfAAbf3PzF3vixnHGdG1PGw8ADX 0xcaOEUjNn41Fy5bWqU+eLYfE6n8z7YCv/gwEa7g/1jUDOfLtfhBAm4RvA2yaqQFbk5DhMr3Ydvq zWDJjTfLzbx8vkeaw/lAuUIUpLnzMe7WfDi2vxFZ6epgy6TFq3xuDq6brzKUfgQ91AnF3UlqE5sx N8uFsJN6PIdE1g97jw6dOiX/r0T7nyKx1kKNVX9HJh1MtAwnbhvMAYkibipaaDjMk9MmeTvfwtwZ 88q+Y8tBFXYSAaL2B8emoaJwN5So/Uc2LXs3s6/oYKOqgKXBoNkfpSWNz5/5QwW/W4yW6M04rish G9g/Q8phAK1o8z2cgocW0MOd/0NTn9gi2mH7ASBXWenFNXcy430BsJCIawrODesKfcJRh8+wYRlZ /ZZSF1OAyy+ybSxYffjq44ClnTD69gZnI370IzG3Ui2awOlr3YNBDCYk6JSvFT1ZssDyLTGP8c7S m4eD01/2IkESN6sa/Gi24SFG4EU6UJ19U6ru5l8DUSzy7xuS1oq1rnvn6NjBp68ZQnSXmpLwCx6h ljAv7EnXUryj6+i4aQ1pS4pveL0vT/Hk0J94EMx/MpLjE+B6PSr6Sm5RF30Aw6JdX3fepGGLkexO 7LMB2mwS6DYLMJw8Pa2GJakc4pcfIBJFAIu8PMUa/0uq5J9A692nHCeHNIOeADAHIBvlOuuqL43X w5EAUN0dyKn9CZD4wDedWzq1WDkKuUaPX/qTmUtKKh8Oo7hArTW8o288cHHggVztUyjTJ0goMJhb DCQHHzwhNwmqrY177/y9vU95QddNrhET6qqCtD5QlrJijwLuG99vRkrp5ocFJzdWw9Eskm5Lw4g6 26KeVt/j9d4mokPNbsvjnen35GhYw7HpZxnj/cQBbHhHpLrNQuY6BcWQdP+Z8njJQfhe4BVYdAEX hHujUDLOl3LCEGXkZtP+mXlzpXg3Sn8YvxDiDNoLxHGh6K1+/vF15iPAlL+PFsjfd/1x6b7oRlZr O+yQK5KboP65bmIdvTp3hSbDdv0DvMfMHLVqQnvjTVSWSObMPy7cRUGe1rzCHPlkZZ4D/sIadOQ7 8oOXY03rBJMhWICEr055rNpoR+DCGhN8qlLk1JNOihkXE8z3iTZeKWG0n/c/1liAWgokZRvggBMr EKi/E1RinFW4ny4yOstCtVRDZuqZg8C7FhC9MM1HOqRaCe/KzcGOWwb438CTYZC76tf3DEb/QGHx QoNvvCoiPkgWhXs9y5GQh13wx4lo1WMWpsNQoqfsBCk8OajZqkDUjbxz1XM11aillbLkoDmPCPrF fzehQssgpr1jfnKAJ1WyVumKJ/xenzssTBnJy4547mcUjaGdKePQn1UDKimX8zLv8wfaVpIuf0/Q v0rhFtisS8WSHuEhCGmukQlBai05H6uHvTqeWzgU0j8tnp8CBBfVjoMNBpjWj5c785wMNMozIiao WK6I8uq7RvkYFI+PNwENTA8zL0LXJ9XXl8vX504aF0BNNTfkMcPGwJyhex3Uwjz9MyP/2CMd/njP 3ztAd1jpwxP6CxstiHCdaMpQJ8sI2vJGO0vFG1rsnsvl01HawPo6bqnR9An690EzLfwFFmWcVbYW krCGY9b5sEbjH9y6lJ+7W2StRHt5P/KjL8g07eibkrJ1Rbx1x6wFjuvlo9L+AaDJyULtHJiWLLqT 1xutn7knx5CFvE4OTaWLepqgsT9ft98n5O6AmUNV+6UY8f1a3QY7J12sG8JRL6uFfK5BdrKmv1eB pkYStOvIStRFuuA2hEbnPgIhG50YPnYYPytmShAb+cRI0Mx3CdxWkugoI7PIKx1hI49JeQBlkl9r V2PYH0/WUjwAkOI4A6MMUgQoeIU59MrfdQnf37YQEFAlrEkfq8nXB/fnXsYWwD59mpem1bdp1oCY YfMgiVzwbWMaNt8gNdLjwYh4LfHhusBiq0tOLN8bX18zOowLWab7FfCwa83+j+axwpeedX3w8IeT ljh0txCbNpvp4dBngsLeSzp6TYJJ6sdsEeoO26WZhu5TQwqFgK1C/WcUL28dEX/15GXpzAAecS2+ 5k2ilZcmlfLIXkzJ0VAhhhEMh7l41gk1LFWcgnPHpEAaX/gcrXpA5b/jP+/ZfVDmlVIe1PWazRu4 kLdvnQirp1WfZgFuI8dlYbCqumFY8LRT7Ig/tjYl78RSEPJidBT18DDmbhcFjMIT9RIMGtfkSNYE 8W4jIAig3BBW8ZsiWamhyM9yXvPt8uvl7IyG23XA6txiO2Ne6WSDaCIaT11JdqNd4bQdb0jyvTVs UE/LInzFmeBihrkheJTY7ebotV3LfBaswDUXHJqtd8pBpjFHfNyuZTLmQ+7nuoTbq76tdrFqNI9b g+D/oKqgyaOSf1H5523G2FjcVpTfcjmd4VZQvDm2m9sBNFdxuDQUwGpkAP3/uG0To5bZr8XRLMos ZiBd4e5I+UD3fa9z8B3dmCp+PEGAsCdabELQChOz6PooYlSla8ZTeO/9N/oeqU3jyGFzW9rdPDdw 14Cum4UBPPxVSA5s4T/ob/YF1IjF8TNbN3sHSYj7rURwkfc5uBbMWI3xrmVwv2nRCBxteUWGPTae qT+MQumIsVMyFUmib73/vKkBtC0BcnxOxCjmWKyMHJZQi5wN26qemEVGE69SRRVOVqioW2e6eW3F +a55f3g2XBVKkWg2hWMAoEiPgPjLc0ma5qPeIKA7J8VdmRYkbpgmr3o6V05xhZv/6liHF6RvNNa8 3Fc4Q6OApkMgX5PsoAXEKdlMtJ/ZdAijKy2TI3i2PVClqaXXLjWOg82vEbTsJXVbxmDlsI72QWzv BxN9GCGza0GjD9bbiK7Q+LUuXW1FR8RGzDRutafKpfhhyTTwRSjcxNb/ilyHrMK5kuf3ssSPTUKj YueYCl+Z7Fhdp64HB220ocO5+d1n9dSay2vaRz3fdSWcSZnPBeQ/cmuF9uGg2lJwZ3bzZ4GrFu4b oD1OZokZWedn0fukS/bjXoCVs5SkW8KmYEcVNCt1bhZNfAE0G4l8YuFuQmUo24NVP14RLxD5pbUv HPTdJhS8xFwUrl5W2sie7wn4s49+mFgkLactSMD7UcPV3Dw7VjrWLd+/NdTMcOYg5NgYuqSlDASH RfDg+U55/cIBHuhjeZuy/IwWMnBEtwbrOhwpTHgrYu449Ps90wL3iK6uLIPoLFvKNQO09Z6KeL3s kHvxxSj+oU/OaLZcTT7JNw85MFP/1Ncz01bDLgj0lNK2ZFyGMCuMhTNnHt0ByGHqviYz9T3aAIpx wGK4gUyMfsjuu4l8F5EOJjCisraRPtWm3By0eGpqjmhxSanF+F3YXFY354x5qVQCAr25ZlwsSedk 9ujOCgg9mbcrk/e6Vvqk02I9hdLTU9+3M3VRy01l4gmafemL6LnMlArjZ2nD9+BvW9Mp0Z5rpyY+ zgZlz709kUKpCHBHIDxzQ0DU7YuotYRxg68szrTDGNA2lJy+mbmsIjWMbRfYpcR5m+1rV2RBvx8N xnDzz/OunGVUsNm5XvI22Qc8oG70aqpIjLJ+FZHkuaXD6JLs48Dylx/DI6HgdcHS6N/OgtdguEeN l/AzlSFiulZQS/V7xohusu6nBYGwq095wMkJ8LZMdrfPl9YQ/NaJPMD1QRRVGJz6iX3WE9HIOUkl FLVvYCjTYt8DyoGhCrB0EgozC17M2squgk47De9fQE3N/yByRaeB0t3GjD0JRYaC6EYEP5LyRXr2 3r0FbyoMESWYVlOxEIn4qVicEDM014i6BriPYqFhP3tea9HMNT12FCP9dN7YapVeI/EUOi0wjWn8 TS6oan/qctlWLi06OtDmy9CU0tBKPuh+4t+bTVxht/T7iTmRV0UhfBjttadeO6b/Mgv0hLkM8kkQ S7y6LgZoF5vxPxUm5WTbV86erQ9ybHuXr5kltewP6GbHP3FjiZ7UH3iMSg8rfs9+giOB7C8gJuk0 obMcZ0wl3MM/VidKQnWzbG5a/zawBK8c87svwiWJQL3HcRP+10/X/8CyAMireXM8jo2Bp8YToBwu LBasd0vDVIBg0/CpXKEsLnrdJYs2A+FuXswMNy5yyJTBrAHjEG/4bIyFIsmNT2NYpuzb8ctTjiYS MedM4f2ljyffoaJ8QCnrcpaNEWC5O+eDF+sntrXz9/qwSbhjqNqP4p8HS62Lf/0Gb2Mh3Uh9gyxs /in6DpxQvc5kdXue+2GF3YQDed2yPGZH3xdXECF6i513Anb+IdwlHAvjrDiI5LX5FyFsY2r6BdCR oSdP4dx7zg/jMOqTH3rBI/wsSplqnRJa5DErhfDkloKF/7MylhUU2WNYEU6LlHXX3C34pegIpToN GpsJYSjUXOy5e7M8PK3iL3xDOrH3mviq3pbwlFggf46VmBXOOOZG+lnhKOHHEngmpiJTs3mjQtPZ rRNSicn29DoIMWuH202daAarTGrC5LwdJt3O22sapDPrvr5Tfw6nuueeDtrvQdL4dhztg2h4UKty CKzNz75Sp07UYKjAxf7WKvdEvTFy1uJ6a99AgNkX6WKikR4wSbEi2Eky7+fFcwiaN8rPq7RgUaZG gHBzYFwqnB1xVjphyLlW6BPTuWrSkmiZPsaEYTOd00zFwApvXII0q0HYtQroMciwy23fBE/ZnFRZ 2RZEc85KhKyLUCeQAA2xzth3CWf9l4XGl1JvjS4CxDYo789tx2ec2nz0LSJCcTHNzTSwpSoT+KAb B3+PDL4q5Ks29qyMzzSWeltWbRn01ovsjo5RsSgTyQ78qf2ZxJkM+IqmjVRJDOo9ED39t9KvqSsz wrVaQg3b+TnAGZSQtFG39twFYmrxzNGasDkN6+8ssaAoS0qnJ/mnzFKb9HFUBU3y+YEgJTGkY7Td EMZzW0yeZb2WjOazShLe+3pToNceJdh9EBxVhuxjo/AV31lXTkjRAIDyqj3vlKxhMNb4F2Orjc19 mTRmRgOtK2+OjTEb9gtwOsdzdhx3c5uk1CXsZXAPhrMVGYlX6/wOOHWx1XNUceVVhsTOGq1dQF21 kYrk8DHrtnlpDKRAwCPdi2KWsU/4JQ4QDyR3R/tQvK4RZETP/pvbqNrCW8ZjEbxzXM+hq17iYbqu tseD0el9LS2l7/EsnMpLDxaAj6D5Q8Sc7Vtm/0/UlirVKmzrFZwz+zvYNdYWSX6u3OaM7jivTNDw tlPmnGPkCM5TnkdPKTQCcr8LT3/GEAfdq0zIEpTi4fbVQl37ajF/L+bet+OZQv4VqgYWG2+tbXXK 1wSypND6tiW8zd9ouETCYm1+XA5sQUW7Xjdv3zaceIePoQujldNpWMAPQVWhUbm12bIwhM6A833J g9CU7E7z12+Fl8rKF27CQFwcLx6cQGQIVP3/6AvyKnqBDtMDKidGrP44V+UkEBuoZvEsGwZYKptf J8OTZPaLxV6hsOjJprsuP2ocdglNUYKZfcNH7/m/HBDIwj5vTYmIOKBgzC3NknQ6i4Eox2OpVIc+ cKTIB5qPH0cO8PCqBLageV8VPFoIsTJQ4bjDmIhq56t/qva4vW1LzqzhK/5nk0P0S3uaFit/MnXM Yr8LTGu0/dOjTJsXpERT/C6EnMRIj9W8ZN20lggXxuGgxGJ+5IRdFi1dLiGFdNxVrU4nDs+VZSI+ /r0BABVUXnWDnTWEQfdKoX0NzlO30cgzLqa/ZLbm8DbXJjdtQbJ820vVlqitLOaOYFcLlRaVvIes HulR5OkAG7sxPH7rU6tOBbOuzqQKP3iCN0obAdmQ807LZrZ/v+KReOAkChAT+dGue7lDr9b4WEit 039twh3n91Aj4WfclE9BSr2C/v09NsWW5VGbo0sci+EVDGHVsF94hYo0RxnzmJO1P8OrMOU4pvkU GoasGxGX/2tJovJZo9ZKSAjVB+Pjg4XkOzN7XDgw7VfOE5og/4c3u5liBzfEGQdkZdY0N4+XIsAl IstITjZ7p6+qZaVY/7wWl8x5cBW/AoGlLZcr3CNxY80fORCW0bC9HudnemKwQCt/PxgI5Hj/9Yl5 wVIkCy+nIWSnHvwqrl3/v4JBvA4FDaiKI+ZNE7g9cJ8lQg4pRzZ1UNaCKnRFyka/AkCJsUK081jk +2zddbyptvGocNTEAWeONDJvWMePPouNuMW3yYDGK5zOsI6KoXr3U6u7+N/Wh9JrXCmmH7igspUu 4GVEi8JWyotXHYXCWmv2WQaFCYoURxSatWUd21QGNHYB0Bf0st9OOXKzlVhApCJg1a4HtYSg+gbj 9AhefNAl0YZTlzK/3E1Y2MBeMC93qd442LwXkp//WrynwH4Cv8JL00D5zk/5bC+/pE5zyZiBROQH wX27yRqlcAiDRg4OqRzjCIm548Nh8eBlC6zNLk2Z9CnFlxoTW1Ylvbo+JAHLi09sSPwQaPa2GIyi QvG9h0UVKOMdZimwbTrISkRQuW+UWwhcA1JBYEmHrpnLTsOj0G+RUUCb6imi4yBuUGVjFT8JgU39 BF1luIYSzhw0gAb1tMOpNQ9RzGTziqDBehdCutN4MEAsZI5jfRnphOyrYFFXyU2aFfiSkt1PAPjB duLYa0FehcOoQwfi2qf+OwpRxZFOXpfDYRXyrXryM3iVoY78/3D/c1Ghkz/R5qPxQoYK+/QoA7MJ L8p8CwmKOuDSy30tWZYPjpkyNBfnUSuHTMfx4cecS05RvVZ6uRa7kwUjXCqmdwQVsJdI2+8/pKw9 1fv1bJ/GiALHuZGW6fWA8nIecvcgs4l9TxpnG1P8ODQm/RB5ZvvJner56aqQaSuUFBhWgWpzLAxD XsshRRMgWRA+UH2zbCZWsihZ3e6ipGv+tufOfKWq7Z9VAApzq1Tt6uZAC3fQkYIci3R5jf8YQBPU lEHjkeZuR0ZfnHwfobKy+Ab9CX1b5Gml7CinSo+3c/0p46o9I/TYuJYpdrGPrgvJu0RFWRHs+WHV /E0jSOCJo3cr8JLCx55CGC35AQIpkrgUw+R49MYwGt6YY0+RM6uNItsZTEqDshtLfibMmnhtBYIk p+xxszuXMMuUYUtp0bFofIn+z1WQPJvmQW58htKpm3B3JuxWUgB7eqHiObmSOTqzTeKUv79KYMH+ D6e1ZUWvmDgNgBAr3GuwVu4PJ8/fP/3JC1xru5mUaaXBdqA19H6W7PYbrEyPooDDnWafbw1FuZZv oaILXc8kXxetRNZJQhupl4eMs2mAHolMia+byjdaoNfOgcxXgi7dz4KhKH8mD5LG9LPHohLpfM+8 IVRbMkphm2JEM1tErONCiwi4cLUoRfToz3kczDX70X9dLQ6BNWPep/ZFJlTpCdGymQVEvgyN6GkQ MUOdhCLT9aBHr3pdbOpJz4JPQUkzd5OEGu+z9D068ou6rOgPMfQNrHUb4DlDtOUulotQG0/vk0qI 9Ao6zjLjjiNy92mYSd59HUDlDGvPTSg7l5EBLqC0oH2S2S/cjYXg+x2hk0mTg6sN48UOfaPqoeY/ 5rO55VbfVdKqKxNTWM19qHdVgTzuWmt9BAM/ogszfOxIb1szlhRb+VGXZOPNIKzz+6TsBT/mCO7p v79nvoGwDmPldpLPwOiRPVI0loGYruZpUZ8m50G+DU96Ae4rysyvp6nK5aj29BC03XUzrMm7fHql SAN2SX12imLIDX1CGlqI/o37zXx4CibwirWh9/IHIbjvU99xhXfDDXSG4dZMesCRXfNp+CplzFkq +VJt0JJEkaw4cs60my12QF3V9PoIk+PVWOKxNCYsDjxKrzwv0Hb6mct2TKlGUPZ9sZRsFVP8nFlG B7WlChMGLW5KLsulggeyEfS+8sSSkHnq9zZhXIFJ09Sus3P1e2zwQerClL6PIWn+7egSdWLGKkGL grTTJbcRJGo2YOmBMWnaC502a9RhkCp5g0eIoq3tOdhgoYpkcEcolg8TFVIc0BWFUrMRwAQoFSNp j+0rj4DxT07tc3bLw8AZfTVhwLWyaWzk2aPqxp7Gj6O4AU8OiK9fnEElS38ONs+2zbg2OTgRpW7j k7u6tflFp+Qk5QI+Qbf+HPOcxEiQ+29nBtFeZPmmg3HEwnJJUD139U7JaPnfxnICre08c4acWOpw ljIoqwhNSEZvY5B4s2FO7yHQQHLqaXZPJrzocwLQzjORYd3HrirDWt9nSVlHx9ts23I4cfJzC3RO aEHwB3x13B7M460DFUTO5nbypOO/edbnSoqjbTAxC505B+Fwi5HfiDVL800jIIK2W1Fnk6I62fRE kPXHz03589y934EKBhHQ3pwkX7bea+xGn9HiNxQ5qv5Zy9iAhJg6D6H18VgGwRzz1c1UGT5txYX8 pNS+qR6DcBAyhudmB8MDCx87C4mOXQvW+DPRltB4e9XpoBcO+AvuYib5H8n27r0kTF59mc+6/vYX DEyif3ZwYv9y1/x1bn00l0Nz8+o9NLn0uIOmRrrNQ15tdeRgZBViO7kipDkaw+jo1zPvRgTJ9Wbq xSABrQOw5Y2DffoOnvVeBxKtCI+JWDZ4FqfbsCNXuCIpKGNQFxY7mdb9QN6QL2OpnMchxrhzOjUf CIn2Elt+RC2t9uFOg++doxwZx7V5taac/KyLkRN/Si/qEuXdhVBObPdSuTxNAwba6/lGZ0cGoTEK ImpxM8xx1iOeQuue2RcYK3l43CoR20JyHU0C4gjH/4oXNPz9wzWp4HLcNNRJgQ/FfGItk1CDTZIL GspBbYTF9pybXUlW0ViWBKz5P+9nOVgyWASr+QcU3UoJMHmBCMeOM8BGzplcxri14Npd/QgSBdWo WBMcU8pPZ18WFu47Kbeioe8XLyEZz/vQrnsXs+56FJBIM2OrvsfR84DBw3egmzd9sPPz2ClhnoIz 35CdKhRIo9fRotEMa9Oww7oAuXDODiGVhAqp3cyu9uyZGg0aR4oqHo7+VHzEuIjDrCuSUgJRk6UE g/8AgPSL3QJCD8tFcTDUyBwT3JDItbyW/xwFXnZFk135AfLEhULTSLrMRULsm7ubseLAY46yJZ7H n2/FRh+lyriEmlgacDW7Q+wwoXb9I10ew5egk/aimDmccrdpS1xsDvobai6mSQ/DNpOPi3QlMztk neWmi90k1qKAWX729fUJHwsAJvTA8Cc/g8WKjPHVzaDaAUVzyLj/nZSAY2qQeCn0RIN06s4+TQ+J FsWkrXkmDtYTIbiflAK0Hel2xyPV19//XZEbJAywS8thROLXuGHarEIAOHYyJYQaJo8ko616ZBnc NrTChTRigXicBTwkVql73Oq7h30UfZ6+UIui0ruNpxgLfmgo3bdGWwl5JlYN1mdF6Nx1ZYErbLlQ TAg1JdrPcbOH/h7OKVlD52YDJyn1AteUUbBv2Y19yZaqfIWtL5Z6k+OphEkarlWKsmDbxBUNe7vr s7Y2rDnQ+k3CLHIWLZXv7KZurCJoQO5PTUtCMMVL+K6qXl4A7dB3FoEn797KNkALwN+pZF3Tyqj/ E0qHmMxJ9bQ1rXRi65G4rgA2NgqnTGGy024nUqIM//w8vbz6n4bh4rikcNGGWmC4vHg+7J2RalWu oAGaEMKZpfdJEpdTh0u8KRPeQmxP2fMVr4HqWIZaoZKfDAxJ2wSALhcQnMuDCSU/YBxc8q4D3M0Z PYfDT25/M/e6BkeHLyvCH+6FBJpSDZbg04EnfrNUhp8A63Rgc6v3tH3aUARCT2yhr47lsFTCLAYF HXi+wuz/59HceA4yJYOucj/QDlW7KnC05L5bu8kE+6g5I9l4pU7zZYBUCXZTpS/DYad+1bFRw3Vf 3pUMiLsFrzf/ZQ8DmMePKtDdADId4UZrbtgoljSxStpBsTcVL75pFMHFqnPXhUCY6qEdzvveA3dS 6R6cnTYCycJyoinKa6H6CafiQNqqH1REG9lGVkAbjr8WUfnKRnNm4WJzVLlNFxG8ITm5OCfCdn4l SqJ7Um6dFF67hwK1S23Pb7iwjxg6oViTJiUYp5xKJjyHQt/u2C+sp9umu1ezaNYqXB5NbYRCikI7 Z3hWvOpICXxaJwzt4+6bOqI0WePLEBKQzUA9v9xTbtWnk5tKb2XVDUgN09HVEqgz20XUKeVZMt2P YlDn3NoDJwNy9tTq9+1AnQMe9MK/cTZ8nTHVMZUVSwMfJnCtwi4C1t3Gl/oz3u/eAd4GD6EMqfVq llwka+y5NVFcQXE1O+q2S4joiGNgmVnTUjasanZTEeo9SGGfsPi6l0aL3VLe+8VPf2FzhE7lS9kc YOW4CDNxy1KJUMro9lSciqwecYsx1jZhKxcUPC46V7jQltXE2h129TNVWOwl3CAa7cHF28PDYUIx 4GbfOUFYoUTCXoBmTbjJNmwidKZA8KwRh91ydDGFpHrWUkrzdRZSrZ/ZR3DFI/OeWwnHCZ/3aS0T azmYsCdU/wcR1lvZ/MtkRlTJFCNeYn/MSHJzs1QcK8Tl9VaHf4IKkcLkEAdd7VPxHXjjJ9+06VVS ChB6zYy16hDpGCM2Nm/eZ+OE2ZP5ZMI3JbVi81nf6CheSDORwT20Xida0Ck1508SFSI2dncZvPsv ODyWgUxR2MQfroo13vOxkAvs3G2TO73FDFMKqwNe3GrAD7dO2UsO0iAxv+pj+Q7RiK0fy4sDMdSl cJd1BhVekLuP+GoHAgD3VJPkVrh53FyOIQH7cTVwDCmZTp4/Jt9XHQpTB2h/nJzID4UaImqlU7D9 101UMqq4LNuF9OPefbIaNYdHK212ByIMMKi97BItuwBpQFQIgXF6tXx3bdw9sIlLXeVglcPM1Zo7 voTe60SqayatS6xadHsDpWjoJvf4Zj6EPkP2gR2pGmgRigRTtPeRtKYZjfdplPPJYRi/2/dImUL6 hCZ6j+CvDinDrV4MsI169RkAizzIq1NtH0sZ77HYnSxZ+dn4W0V11/XKuq+h9owr/oEr/lrCAXnd sz+T/sh94okEFTNykR3Mcf5Dp+VCBcdxOyO16Kwr3Igz7XtGKTW/7mOyMQ4LrKwnB5jZ3RRs2xKt qX5ZuoA0vMDjkw/ssxHY7rRojEMie1bpW1eZ2csI5/NjQUro0cyPqkkTqaoxpt2bXw7GXmH5i+0e 6pjuzfLXyB/I8E6jz7I0mRgVPqqxeFeOz0kSZ8qqffT8IOw2k1Am4go4av9KExac9w5hN7jv5z3D YjZdbMP0/c7wt+bOLRuCoIh5ts/cHo6gsA3kTPpnAXXnorCIWUVjSYTu+VKdGOOQ2hBeqC1C8P/U AeKF1gPKWftq4ouv0LlVHDuqc7+TLtrOlYheo0HYqUOEwbJTjT38XQiGOR3AXDRf59ZEjg7UMtmf 9pCCzVNMGj00GggLpAlxifFnlRqn039D38RJwBjkrYSecnjtt6GFvh+TMXqrnrEElmmipU7Yg45S SL873SLLWnwvWoWCv2qMBFx7xXxZIDghzbMoSXQUsPT6Sp+ljmT6iq4DfR/srCoD6ZY+QAle+Lxc 7oHnCHSrbN2wwasFqUDd09hzTDg6g0ZTZXE4HnSzqfDzKIKi1IZ7v2opn9pWBJ49ElXJauDefoSh 0vlRr57952foa+Ld+wbF2tKnwXrIOyGkHacMiz/PFtqoN/7cjU6i3VmDTpz5JBhquMleYIR9eP+j cb2sPyFsfSLp19xq86VvEZLhnZvAQY3yCROUVaZUPZtcYHO4O+8k1VkmvRrkwNNY9FDdwv5XDSP6 P359qPMvqEFyki3YCYApXLrLmiZHKo1hFnOunMtphHZo0bmHQx4TIUweN5ZkZdULRYQjjPDFB5ze 4kwz8C1PQceUdwsWONOGTpYFH7pYfURYR0O2jweWYKvLwUckBhw6BA8gGYIdxpAQdRielopMcR/r j04olw96WJ8x9jAvV9J4i4Oq9fnj1sJXIzdLPAr1Zqb5cvsbyU72yFsinowe28Jby8kq58aRUhny Aa33zTRaDhIAWZijHHXu5tkaqek7VbZifc3Om71UHB/XZ5FPHGOocUILJj4K/TiMB37b6icPaoSj y1q1w/saPM5PDSpk8WrepF/jsRnwaL10nnaTQ5qBygnP02eEa0pIXY5C1Uaf1FF1cC/c4EBHKogl Sf63Cu2Dg0ToM4PAk3JTy4TFrrkgvgzfgkIDEOJjrhIkmiewnJA82Rg6MTgksTWoga9Zhrsr8UZo 3WUkVisKGrcejdcKwikUoFWb/5tfRrLbPbgVo1no3DcssesMwbT5wCel8hbkGHBYAoSccofb3pB6 K9yz+x6ec2baXnpSz9j16s4JioPn+2pLyavi6yLNap83GE/9OvFlebcodWweYVjzTskcdMP0NFWO 5e5dQk4xRF9ohIrpYaP6cFPSYGr7mkTI/uMxUgdpMghftDNSitFYXoLsUXahwLlzEBQCWzDV4pd1 xlnOgUbkndOEXqKYOOhtYXGZinEcOT2GJm/SH7yTsZKntgqECh3y6+o2wg4C1q4CAUVC00wBy6JZ qToHLOTEG7wcWl88TIBGsrWjrFlermkjZAF5twRxujdpZtyylz37ymnzSsY+7bNOo+Dlv4CgD2GW geYROPjs5fooHybSs67+6O/OQkrOl5m1KxmpDNY9X2uuTBBxz05aSqDSMxFTqjjF1QzS82CNX7zU Jjsi82MnVBUrbV+MLXwTnK52TYVJP7g7IQnb3HykjNosnb2ChNudisXG0NEM8czSZNCQQ1zaWOw4 fN0vFdklV4bo/XbJEKgR0+ai0DnOgLpPqru253yvczqPmXATbdWpExOP4nNXFjkdDyg4LUDHVzJJ go4CRqz0iNuBwSVQK9kchl4enCoaKnW3gixoXfqUFANARm6vg0xIRyUlAeM4gcf6SkKtahp2gwHn 3yfzm3MBY1CW2rHSXFUXwGvtdozVsm2lyvTMijphxW090XkDNYIf0E3sMtCtIWIVl2q8pU+3jY36 EAqENCSNALJ9t/NhLSDOjxvB/fla1nWHnlcss6hBIxhDlVHOjgMcZwYLSuyEV3dW75tDyBwv0d87 qhnbL0Q+iaGZm354RL58YUNtDXBuDH1Wr7YibiJYWE0hqE36vnLwofu9CNoA3L2bXjADQIjhwFrq Mv1yfnUD5bGS9AkIbcPeVW42sI6GyjL/zsAjOdzZ67FXP8Yv8e3KwHCnIhLry4gL7uQPTMzJnB6T D3ovD0LRxdQdMe1YpcphUifir14xgT4sufNIsGjyyrOwhCp77hydK0Shq/XeNjs115NVx5b1qTir LHNx71ywwcTOTJbKV+vyqv7msoNEwInb/oTtMMqFn2Jog11dmm+5oe0o0JwLBsC3w964uwNivzDm yYgk3lrhlf8v+krenVMbNMRKMvY36hoCMbXVwor6nRQfqYvQTQUxcWd8Qjhg3zn3/EV3HYqH4YX/ tQievqMv0TxWPw35cAL3d45dAxAz6qb/oo0Pz0SD53YPx45mg7Q+yGF1W1SGny4snxjthMNsGBZz 6bEr4ATu7Coni94e9HjVMWM7eCQyqqxH0HeTW/T4TwrCENiAQK+bgkEcM/fctoJ1h2cOfbcAOTsi 6K2lzUCqHUOz3UNBF0cKswlkeWOaGfl+LXESRdfSG/0KauvbqzCBgJjKQQz+qeaYBs8VS3ccjatM MhH9US68xl1OpOAWLxey0q7gEk4kxLnnNTyMIUvrwtoKVpN6Xwrm6EiFfML+5fLlIEp8BLrCc58w gH4tGTxbvLIsU1sLdidU93A5pArXvNvyIQn7R6krO87oOPNK06s/ps79eA0g8+RBDdoqKSjhcI5h uqSkVSwNujnI9NbDgbEwVs9Y117TGxMwk15uk/6ZHfXEqx7vutJEjtqcHBCZIsQf4sScioKdO362 aZPDRnbpSgVbyse7nQw6BLbRvYSiWUYhCHsOo3nJlNpTJcrFDT4gC3nCQaQwk2jLZQRpf1onxDvb bvyEDj722aA/hTXQR1PIfPXoScGCU/hr2uO1YGiQX6YC5d8aqZmIEe6Jjj68wieQ3x3KwzTAiX/m FqBTm3jtmgpEsT/rFX4/cLHfKEsq7cNQVPqooxJTFDkEzJWBhbIrkWWZnFq1qfbemyR+0lGvIOYy tcpmyppsSgvBUOqXxUIcnb+aAxuYWdFHqpaX/wzH86Z8/EB6UG5XmOSOtz1rFb/UpH01OCtPtaT4 d/b9BvbiWMTDgxTzN7jzxEPQv6NYFXB4KUxurvecYYhnduTo6e4B1BqsKwdiSPPF8n7pIjCqsmTe XJ3sXPBsX518kpjFggXyn+R6BFAN9IjZPfDbU1wxSO6uw++/tfK36EbkLGoX1b/TNrqtbeBTywGr GusUGizn4YwKMdfL3OAqIEY9X6hRrABUTYSqfJJNP5RLe1hqgJAAVIrqwjamHvJn7fqqafH6cQwU hDHK+USpoyp33h8ytHYHEYYR6Oxt8WwYvHphS6ddc+xxz4RpR+dPc5m2uKNqQQL4scLwJDVG3cIm jpBECHHLjeaKoCDLmSk81X9ULkEo+ZtOpyn+dCTTjJzH9XTjxD4sJ2qrrY6n/cAwaUF5TGdZ49G2 ZjvmiUY8eoFgfAQ0ooaJ/z02iCW9hbqCmbmWVThR6+xvN5BdQkuaerMk6AvV81BgABA9PiAmcxFX xC+qrNGUqRlfceuiQg3DlKWLKMP6rOSBHwaOkqRTY8EO3jlL/bM1BqojQc+qJFYaNr68fRYxMwvY VDyrdVAJEuprBGbS+sLaGx/mxN7VU8Sj71WMfJ+Vqs0yw4r5I781T8ZNPG/xIA2W0AFYai1hjlsN fqY+GCJknrK7fc3Eyrf7xN9OjADLb60XrMMDxO7aGQQRR1itb+3kFJILvGii0CIJ+EwEUkl1VKEV 24VBbWZe2Mv7/sVxykYUdEWdNIxwQArSNM74ozoHqZ7kLHQGFtTkAE3Q/RN6oUq0TniL+IdJl1QB rD85E4kiIYpwFz7U5GbLKsl3mB8YakUr/oWqLHZSO+Wj/UCR2A594ZvRCRlXENTaWNQanyetR+nN KnBkmpbrLApRClOkdgHYPf4VBtNAHMU6qNWK1EeiPAore6sVOHSfmIreUjqIwQlGpvwGZXioV+Ex Kw73NYOIvUWQwe0GtJqN4HgkKJ9QfevnaLDK6gh2qxe4DsgqpWKU/GJM0TyMx8MpX2l/DD1+9J2N OxYH5CeuahqmjBbfJKGavDVWy+Mb66hA1mN/4O9c7q75IF4jJ02UbZqIlTiVkb6umf/GSz4ovByI +cuk75/Q9Ezf/cuaWKHLM/9KLRnj9YtRI89hTIIENZTiQVibGzXGnj/1XezwMGr8sgDxrTuDuxoD 9jRj7QReXLdcTzhZIRqytQ+YH6BwUInJOvrkDHgv/kWad8E+bX0ZWJ3xdOAtJyxp3fwkdY9T7EuL ZdV5aTBh5FB+wPchqggs9ZgMfTK19Lw35vJHYeLWrBJlK2S+naOrqFiuDWa5ceHGGNvsZdCS6wn4 UcMfrXU+/NA0N8ECJpf/n1g8aB6+mdDRMRIm4rYAKgjFFundNYiD4DJTLfpK7Lsut+ZU5Ko9HGW3 1eyV/USFq4Zxr1SwPdn1XukN7y7iCfCCCqgT2HIT3cNB9NHWahlsNsmCO7DaneWe0K1YO1/O4rm+ uELLf+0AnTcdCTWpcy+kK4b+F1sX5lFlo94wKXd+1YAvsM9nkOQz9uS63J4KQqDcphO3eltR5rqo UeCPU51hyyXBKbhPBlku+LS3xaWRbR9f18ZZmUjbIgyWxnKRACLdFMKjr34IlkG4eiIyGhSFiNxb N8nrmVtMVpCR3K8ZSTJXZXOemNcA3hWE9Lmk166lsTqwFRmOCl75z91g+s8mZjlPpZU/c3h4t2Jr fhiV4ORZP0UyC6NO1JZPtxjGYaVNjPBNIfM09Uj4p5y0Brw3rMC3wzc1jnPToao+fzfnS0Y56mQm +pjxOlg9JwoVpbo/3KhHz+oUuUGQ2QMTpmEqMFAMRN1GiEVwLJyu8q92jXJG3lr3LpkS1JdK9SuI RLXvWbWabJeMQjadIM672j8x/t4V4XVju9lhdqZpBaFTPY78c2O2DRV9TWJwN7TOfllm2fBBBJr2 ZbU1HdRnxI5iw/ONQkPjAnzLMWZiNsRwZ+YBtDdoJuQHP5GJ+DnG+0Mil+wCB5CgnUKlyxlKbnWT Yxsk7In9HFtEEgvUtfZLABpGFcGGxBb5sUT7LEg93n2/R1/kbEN8xYn7oy3IayATEAMhe6tOMNoj ar4FNaiSxHb08sjdsEzxNUAhVyzdPjybP/EqDLqIIdXe/4eaQ92lXiRSBXsaehaCtsqMrLBr7L2l wQvSEZrX+Q1iuNQ8wLNMlh/NFtThkhneboDH5Z91ikGknlsvlOSuUgYrZDMdfIRTXtDWFPb+UHv+ G7Ra0EigWUM9YGXCbSjnhXnY+GumsZaIhcQ74+DInJqa/tUdeJWTFpEbACof+Q7cE0dnvxrS2TMV 4/fDVoUxq8q1o0yH9bOggLKLY4ME2khFg4hdr9QAqx5wQ8qC19HxthdwySRasp5Xl21KJ4/s3WqM iY5vrRiZyAAIbbRN94xWMl0UTY24C/cZqujo0wXcAcgtWZpvh6Vmfz52a873yYv8Jc5claIRK2zh Og7r0dIkMWK5M5MRHkXk/pSSwLhQyVFl4wjvgFauM3v1KQdnKaj5hAYcDOB7H7Te9HBQpGM7jYoF F8tO2uEPZXQTaMzn3N6+GT5uuZIkKDduCcrlkApz8tp7Xbn7PXqDeaRC7itAe/0BGKlyxoDbjKHt UexP8xVGieGiSXGcHZj/XMaX/mODEcS8AzlB6yw1BDejL98gWdu+uOxE76DjwDRyxKbEMKEUL5Bs bfItpPPJ2Ah9WGI6zB6IlWii2xmLwdgEJ+7RNyAw82TjjnyknNtHf+2UEf2Bg+FoJjDlPNnP2nRu 4ScP51DcMEf42rfzOKS+Dc9wMdyNJaWCBeVchcm3MrEs13mRa1hdpLPbXKr2LSV9lKjFGy18hQLv T7ISt8rkf09BtdRaTSnQv1VUoYQETnQHiamtIPgC4F9E8CqCgNLw+j/YHDnAAoFPrLW1ggiTQmIv cYim1tysAurHeDXtSj4Z7VqL45WgE7MdLmJ2Ad8jtNs90NW0/RR9+5Yxou7WaD+7ewxhrgspKr0c 46+N3UEApgQhUJXPsgOHQHb28ALz/VAaOL7oi74kZDP8opl8h78zN1Y6GSs9qLEJT3aXHWXxkYpN RGEyHapthGYcnwdUHYEV/thsq9ehtnCjuAeY6PlhdUDifuXYOPoR6cjkEGksw1J1YnkixRl7zXOO JTLj74X9oYBXTb0LohwEbxOCU6CDBWyiMPfs3RF+7ci1nK3AJuk+tfzIxhgQ5pAbSZIC8M/Re7tC IwyOOrpLxMge03bawZZJRSWV7gPZD3Tp5apojqKGLZIf4hqRvXfj1gO9FopRcuYenRiKt7xCT24+ onyL7Fb6BKdf8TrRk3YH8yQkBh2pDiti2RJm46746NhFv7GBDeUYaOCbgRM2VfLILvOTFByXjIpk UxJhJ7EPJeIyqNdQohKz/PmZKH1HkexdaAaNMKcGkxTH0FxUcHfGxRE+ULtuvg9awYABAHVencBS tVUEvSHDJCkuryyhlrzmnSuhu0/yKXghPDQzvco9LBElBijSDYPu8J13z4XSkPq9zxKoAi2GlEdG /uWZa1GkqJXR/kIIruMefk6SBAiiDtHMco5f+gh8/GNI+NCNk6AtARdGTGoPXW5b/9IvSWS6ZdrH +vz+vH4yJ7mwVI9cDB+chJZSFrPSyydEZTPNGNJlfLBBgqjYf0sp1YLgnCR7DwzLQbrJi+4PEC5F N99pYWtxwIvQ42aCJJukjpis9Ri2Akz8fnbmgqITF0yWe7S452p7/ij3M2pK5WLL8pJfUBP9JJF6 tnX8nZrC67XJRUD1xmR0tyNzu7IjJ9VKZPe/wnAYiblEMma8e0Uomt9bCvqWQr+LbqfVT8uXf5Jx H4sRAKXhURXFwrHCTUCZK9Hg139rJJDoL/RT39S3G1GAgUKO1jptnAAprbWinx7IZnGrATnxiy/k S5ReQYotaDT0r/s3nLFfdj3Zr5mPKm9MEY0F5oMvsMuSfoNAx+wlW5dji+4FbmK63LJ4+conNzgI d6jQT1wKpZSQCMMPjgOHrF3fTOTyFe33d947V6PoDJbZsHWmdjeODmdt9qL8x2+rlqxhkYqNKjW3 8z5D3sE6ZJER6Rh3lZzNnWji3q70rIBNKsA8QDoOvyS7PCrTJ6CnILR0ZSo0HrRQLunl6O+PfFEr tsOfOufkORnIzS3M6UejGMkYnhhsIfmxozpOi7t/9HqwbdWrRcxnLWBYwWiYN9YJYlHNrIZC4iY/ VssetVHi9fXLuQvYb257jMYorjfTgoSyNSFwxxqtUqF2WyyXqmEV+ahrTHVUgfZfChZnHiv0D09I q4fnUo66UselgmWB1X8cSrN/RUZ9wf5umz09J1sUZ2/hNp4VwUaBBt9OJipZDC3o9YdoQYKqYIvy MSOQ8+o50X4XQDwGO+yrkV+6/NRui8su/oy5HeH0VgKmNjKSby52UQkeNt4XKw7CWQHDvTCxpxiX AIKxiVQPrqblpgkREWT4S/bs9ai2kNFVk6AnSEwKo44qgE3dWXRyWaDWP20q0ZQZoY0nVYaynfCN iBCn3mZ93uPyHbdQWOieqCir06iZ1Wdwe+estu/Np7MP5V6dZPfwfSrabmIhAbVBaFO1SduBsjK+ JtBQVxBrWwkHqx5+bS2gGbzeshYGHNHJwD/kEpDDRnh3ULj8p1+TawJBQkBzdMtQe9cSM8yA8VaH iawROnrLiqXqp58XyE8l7CBY3DE/Pg9SNnDe1SyUCXq4DvpTUvgA9pn1Fphqsz3olhFNnPlVzJvp la2E1ENljCp761S5/qkJxy/NqJIiX37OIzYzJNmKT5FgK3QeAKWL3D8mhTCogp7ZzJ+Pyxh/UaN/ 8HA9Ew3aUGgWma1cQ7ndqUYVkTNRJepgRYNyWplUZQ6NUOdY0pqOtVrZu3N3mbhrak3Xh6B+yEAn i0d5uZYPk4iuhSdZiG4ycFAupJU/l30wXwlXVyEKjqooHtyUrAY5CQ3nSxL+LHm8Ltmuil2qmoKw I3yN/y+aP/vSCV9HDv0Kd2Aqh3cxYNZrLcnDsaJL985VQpsW5TmCVHwHympSKM66jgfLgotyxe4X Z48so+SfRJ556ApaWHM9bUyfdflBoWMQEN4uytwMAj3tMZHmpyprdRKvgiLt6fPg3Q1UltZeOm3F TFKiMjGTJT6MJDFapqlDip0oS+d0p+lPp/shXiwHhk587V3B1qpQwnHiiOxKxwopQXmETGbh3IKb TCrJb4SInNkbooBJWRSxVPkgrqIGvGzXPIu3lqnozR0MBBHSFvw6iPcTCaScI7TE9yGhCFQTzGRW 346HcZF7nV8865XH2a5N7BPKpHRpfXfsnBAEA1Ieqf2UWpp85sN+/B+zJwyWM5m1CKVnBIII/irU FlY/dKG9ZCJv8wn/I2DOtI22jizS8hqVeDTVSIVow29voFEh/uOhnt4b9fLulGfxnnez+bfQh6m0 0KxO1kCZsBNOhvVBNXGgM1Z5V5pIGNaqV7nfDnEOmEa4pdFSpKyUCq0vpg/uqYF73elTEX+o/poA fOZ1Vo4iiJJ5M0FJjXMxdJr6UR/SDFWhkOeG+p73VGi91J94pzwmffl+QTh+WsPnz8gERx2+VrRs 0vah3rERBYCsRGOFbsq/BSu+znM2vMmKk4IBn7E3gXv1SNTIlSdiJ7xL6miRQo+x8OoAvh23ocdM pM8mjck+++oFvQFnY3yO5lEykU9F39UUn7V6Vu888OxUl1NJlMlnj+oJK/mXnAJ15OWn1iWUd5Eo Oy9Wt3ggqsHCTDFS2rshdkaCOGnzsPlLr80WEfVJGWlHnhFLb3eySTWDEC1MZSQSAXTwUv0smk1O yv/wv6R0YsYvbET/D70pUrayVAYnx2Nya705YVNkJjpX5tC492CI5HV2Vozhq6GrjFko8KzgWMnc vsqBQq9ukV3vtX3Nwk/sma4HaYAl1P4V2LcDmmuaALb4Iq9L0jnqzFhKZ/5FncfhDT5k0ABtQs0Z cWHioBlhVnt+6w/Q5dn/+TQWp6Rto3NgbNus/2Quj0zD8jzmNpKyx/815uuFGGa/Aek+Ifjrzo+V JQNj9fs2tkPEm+vFAAOM2P7bJedrirgm6U+CmWym85fkYQGlIxu9odEqXi0qnkMqsN7/F+TpF5Tv Hw6MzA97N5fjtzbFScBiH/4DT4Onp2DwCOpnh7tOhTpVNHjGu9y5ZYXPUNNlw5o6/zD97CEm4aYI n5crBrB4Ymd6JMgqslucbNzpZxhoelixMRLNsdusIBB+on+58j1CNhJJoC1HWViuBBbnAlOIULtv +EvieBx4w+cErMWZ5t90qIPq5pkvTZyhdlMpiCsq8eqx6c6JeogOLINY0i0SFG2lQsNQIqH7mioB eRMe1JvrXbdUEE/zr8/jZlzUeA/SIQL8haDoiqq2WMhg1acQe2sDSvresJcJZsT3Xl5ciYd0n4pl BMhD70R27fKMo4I1+2OyfPTaOkjLLYvQCjq0eterhk379VLbdiccyhjIxXskBbMKC/C/D4OBF1O9 ZaCPjaLGQ0KAczcsCHwRk4QrtVL3foYTOSzf1aeerulL1QYkBm5JqQZrMNX8AylfefWVqCsuL5LA IIUGfc0Qyej9cKfHGom1T7tYByHL5LnhE7gzVohyDf6pEpPVTRc1NaFscBhuBH/+Q+JuH+ImpP84 cVEccjXJtp3qVkky2LdZrpsgqIGk+MjxnfOa/xuJ1qzqczzFR0rNq4Ln0qkwdPVpvqdeDuarinmG CuiPO5xbKuARHWVGxDTbUQR3qn+ihUzawBbiSE+shuirkBXrwe/UHuQMBfTTTvEGD9IYqhlXsljb qsEiAWvUDxw/btYbqhvxIku/t6VuqwdjaaLFX1QlB1qPimcKVufPdIuvohfe4qH1YG+j86gyJ0rL ClLngz7zv6MwacTe0pHBSXwLmUVKq79P8xxv/t60IRp1cjf47AvwL/E4Smjrrcy+Eh7ids757Djl IK6f36vMqCrivKIZPmWaEIeFYC8ThGeWgtbz1tKiMu/2D8tQKPcEdBcywm8PYMnX4S6UGrGwhnyM lsp0c1+Gt+UGHq3Xt/82E5iZordSx0Tb767LsjmEndqHyHozlaeP6SyCFXFwgNkbFHxGpDzn3JoJ uESD3TPmChT2npZdSK4hioP4HkQwqpsqf7yowcGZPY8RBJP03+499EbGIURdorI2w2roQPe7KPxc p3Iay029Ykd0mdz+h4j7JEPvVHI/3clJAsMhoorBTJWVjcS9st6ZsSFvpolKE6qYspe3JSQEQWcw 4trPejtgiqyn1NSenEppAbW95H4E/hy4OAB70PbvZA4MNR1szJjwbmdPl5sEcq35FsUFLqOq8FOB /wqK4W7FwzEI/qMfs4F4m33isLbN27YZwCy+iGtl/Mx2syYhvCcXOX/BHNN/R5P35n/HUUXdB9tQ 0+4D5yLqfDLC0EGCLg5w7sstP00Bhrt0yb+odiUUHKNtq9a7AtvS5FuOtXMYwOW0GJYImUiqnJDi m/teF21ZmEM48QGzZfJwn1bMo1AnoynIOJi0RMPBfbVyTpCL/yO91F2T486YI9mMN1EX4mVSFCD+ N3CPOxxz8TTQ4r2R+mQAKGMXFLyVvFNKBCfdWx3O5FimmaLSBB1VQYkM6xg2dPhxVuOD1zmbtdyI 0Lnxt2XYFy0kdjxwUntEk78dBv1vaMDJwIbdwFW5WABuYp2tCEBv1GgmFtg3ELh5hdilMUp+FMMZ KqThWJ98UrRsvu4vMIj8oi22DwqOx/I0lVzojoMdMhhCWj1POfHNetVHcghd+GnK7QH9CS7mBjT/ oQ142v4c4ywHYgitcs8Oc6Gb+UQyuDYgOnbjG9zADv3W8vQzHEQNSkQOG9YQLuBco1GyCh3fuYkS ufBpi3rLsNpylpsA6PFkQrOTOIQOeZAu1nL9s9hOI6HdhEiyDuVJmc4a/oaFexdHs1tDCKFUAnSl 9XNIjQ3j4xt+A5Vcfoa38qr22ntdY6JW1cjEBBhE+zxwWPzdjRphYOFs8ByFMrORgu0hA/ILAD+V zuYTNCa01ZhGBwRRLzy7KbRrEYNCoMlu9dC19hsHdMLDrv/cUnxZp9Q9tSVAFl9CrG82AhT+/bXX pSMycjqgskuxOHj5TBVcSZfq9dhdj9UWW1Cpanb6J/qKsl7NZvAtVbLTzuT0ITzdmT16PDDo4wnp F5r/Szqprl1mx+tIzTfMEnX6vOVri53d2xVAkdn4DYo2cy+x7TdJ3Y8gPaUghGGn1HMYJTfx4g55 LHt9a14bfsHVK74SRTxQqT59il/biJYPDqkfjjJBKanZrAzm7vwmHcPmnGG7JIXBTGoeQQPr0Sho lx8bgJ3g3UGM/4aILm7UhoXQZ2CRZVk7PbZmg0SdgEc2pLzmZKu+VLixhReaeL95EfW27UcGEzyC A7OnAe/o7otYjPhii9BA3RipHBSZXRn2eVGa+dTOjLsOcK19eCF0z8hr0d4psKgGTsJtB4t+qeQj 7hPra5cEuQgVKkHllN6PCVSN01JKtmMQx4oFiqULh6bqhAgwUaOoKildpWEDoaIIJCx7OV53ekQ7 3S11F7js9eV6sPs8W19Q/dJ/orERwWckjZ08M6xqdyGjrrRgt+bWtbzTWkoks/xBmqqgzmvpd2Z/ y/DxoZ5iwa87x7S5BljK4lLT4Ul8IfzjGHjxoNPBklnb6dtaSc3Xp0/R0vpsKKA9aVRJyMQis9Z3 CP6/gjikjy8gFnMRyzngz2VQPvmu2Wzx/a0fWwqcFoSLCa+T3xex7DbTm16oTuA/55yU1FIhwKiq OFuZekaQbQ2RDd0oY7JVRxPizGMLYgIW84bqNnU4wi774d5daiygbODfpK/tza6V/fgkAYvTAZyG fHKXmJJLZpist2rvk7lOWxOf7F8Lh/+zJ2XH/lJtOotyP9Rj9uLbis8KEFSkt/9ZpL/X8XPHLRmV zE35SuvAgd2gBTYw/RoDZpkW039FA1cdmdgOBi2NsiZbaN90fWjvWt2rm1kqGPDWBsM+FQTlyn+t fPzBiskwPqKjCX0okTmhsi0MxBSqPNZlprtl9j0s6xyfmzn0H8zVPCRWTX6teQUwvSbAWKHX9UsM KRngav6Hz0WV3MzW9ey0XsShXxkuJyh79Dps7HsObUTQsPZBvHwMG5q5w6RcdB+JrasA6SNyHYy6 UbyKpKjDtvCNei4Vk1xNepq6O1w90BrYu/liaTbIDNRZRF48wFTYiFHAiJ/rnASmTPy2su7aiamK oXqgIFRO7s4twwIMRof2U7pbntLI1x5n56BhmOM4Zui98hFdPOdU2vzSc2DsFPi5XqcEqZ0vOGt9 zg9mLYaNXj7nOvyquEV887J5s5faGiOgwtnpMV2uLUQSFdVAKLbGTdtiotMGww/rNrOs6yMuXXKZ ZTcCD+/BVBx01Mnn/vKTewuda564SLLd/Yv4iMKUR9/IoPHp2vO8PJ2/USvhWhFYgJ4b4M7tqiOi NCsgtXOQENM/M2zYp0QcTYs4hG0hhg1ED0xUfoEOpBEZn17x27kjIC+2aem3RD7g6GqAXsRqi9hd jbPjebrVfz/fwMUUE/R/eWONqVUPUVZ4Bhk+Y51pWicx+kut/PIjAirY6ZhlBFeSKSSYp2vvn+5D LaEpoF+qt83nQeixRnpLUZtJKRVvCuZvylKhdQ4cd0xvPpsfn6ZaKGJBF3x04QtRvhLzIIMRHTFJ MKs3Jq+zv74nWQEDY6nTe9v0x1HKYnVoUIIvMHUIwH1KFPlgdr4gbxSrLZurAKPpvf1Rt70qYgRS 76f/37oJrfShdHcayPCBA/ZwxTl3MhhRIbbRZe2ErL6e40VWgstTXByb36SGHEyLJ6hd+sY6T0xL 66GPvpxPKZ5IimyKZVKJLW9CyQ73rh0IC2WuaisEd199ZwAjByJGWJs0ztitwerrLrKHiHZhSYpE ctfYg6YaRO2TJASDQyuJSukleS7dglp3WLvkev97fgdL69gmUE202BOMDjJuKHt+o9z5oM2Dl/z7 Ardw1G249SIqOP3C5hkYzPWKHRW0NYspey10luVBVLNPQ71maNEvuFroZeWEkENDQaqiL9tWw8Vc jasdMbyUK7S3UIYbLxYtWWf8V0macBefV5TynV5Xn4UlQ9t1tufk3graONY+CA4MhE5zzDvTfqDk jaQyI79wn4UMBpxDavnlKeOHldocWwP7JCoFxcwDrNKMuPQmwboGH8hl4Ca3tQ9h2qG/fiqELrA8 sBgeOxYojZVHVpKdFKU4UqT8gCjcrTWMvt/2G+xvsCGOouitqwAJ/9Or1d7Vp5YMwspK17QE2OK9 vxH3ygCmWcLuHl21Gf3dJJg+HXImxN19DBmC7VAH78t7ii0IRB6hEipicYTRUGAJqZZaJbvqHXab UgxXAvhHKsi0STMN6iuYwNS24kYCjCSmiuvNJ+eJozqpPLrGQFVS7D7DBCbNpq9F8DqKHE6K2RK/ 1mVedb9TYDwP7Ij9iTJqdvq/02cedYtsCihpvfSLjEmHGXhK7KfkWnZAdUg1CaGthk2ptIUYSTbw vt0h3ia9DKV+wqkc6UfyqaRd47rwfBWuQDKmI5XmzxYnklfl8SeZVOWIXb9nt7MR+LWsFqX+EljU rU6CVlkK+6G+bwiZPWjkV130sPLe5IjdA+nrpJjd2Zmr4epPnX3mFtpvwktB7UX34Ts22cEaMHyM IOfC//nYqnTgM8jdUrFondCwuycZHKqm63Atl+6yH/ptQmGJ0K2dzZNt+8EShTI0O2OjnPXfhqw9 X/GsoH5F8vgG1AmxwAqE607bY7/SlICIKEbpi8P93RQyv1FiP4v5s+UcpwPzklEEysz7lJpyx3xN jrjudyKbPaNuKuR0YCQcQVUxV/AWZROJAqSmWRjLPETso3xX0MKVZp/fdZGbG673wnfrW6RNFcw6 RRLP7+hpgWorNjaLDXpjn3k6ttApVASXQxJkO2j/X8AH2Wki93qEbKWDCvOfBpoPYEPIcgP5PXaF 899waPfv63Lz5xHZRxyr1HQeHCcf+rzWBQ8MUxO/0BgXT2YqMSE5ks+55/EwfoQ7WCbFkssdUKsw zdl+b1ZoxDaQTbiXVjGHE9joGb4u753POLLFHyzz+OZmTvB8vXERSMd56BDAG8tH93tJDbB6YGD/ fx7fIZeOrcfifsUkxkCUp+x0hjhRt6NKUOg7mNHHTmEZFLcwD7EWRta4nH3caKklY2mx6AVz1P5r QD/g0hOqz68Mffox+qQbAagNSmGj/MOXELdlWHEZKtdjUeNAcndaaDhZsV+65oynDBPIsrwx/k3O en4ccpHLLHxeimTK6Y4T0caBKWWqRIP95TKUC+RITjyBPVLQEWXSbsfhuTg/aJ0Elre5GsDgr+dz CiGWEK23r3w+LeamEPVRBFsZrU1GulQf1Yw9ouevxSgWCNZyNDCWbhAatRjLKeX1jO/RcyrhAG5b HAWpXz6mNoNUd+bBYFtT9Nj7Ew1Y+lZfWIK44cFAxAp1IlfcCHKwbHkShFRe5tCsaoNWs07XX4YO 77pPJYFWxiiP1bgdcLK4XJCuOzaCSdpvkBoeXOp5+VwCdq93lvfB1cblOXM/oIR93P8lv/r3+efo Hcb/Nq3baLVKNiwrEJsfr6GBpNEbr+uMTIJ+VYkdyT2gl0KVW7BNt0mVF76eDOmlNcqFAo9p3i+T RHUWYLhNpMZf08iDwHbD+qHontkXvsoyrRf+v3bMKOcBWd6IWfhhR2wIuZ3MWfHJC1UAPdVyL5Xm zn0QMKTo0TBJdR8LMFI0kUJLJdekHuAUPAT5fgviQAH2dYKZhqrA3S5K5s4xYnjWj2JsfM6noqdm rl3JpI5acq7TdjI3+ukqwCjuY3oQVN8L5UQT2sSdAhN7JnTgz+mhKZIC4m/hgnuHnUJupFfsM8MZ 5085IWr1CuNw2tv8HHLLHfRMlmIQkb98C3ZgVP/NXLVSkdiy/PS3v13tG1WdNcxPb856IsHq+9RQ XROiZIxY0YCXvcu80nfzzIWHN2rqVGCx5veBJm2MEtCZMlwoO0wX67AREdAS+q3jzC3zkPCZARsH S0Y6vh0kgk5UExER+yDs4FXN8EjF+wLjAYuvTwrKWudyWfpGo5QDJzrB7x9Mzjl6f+k0OF7ej63r JaSFkJ9RY0JSAXZnWjpv8lK+jKyHNmpGUHThKEe9OMFvx7RBEPhJBw70br4dp549ew1oHY/3Ovj+ Ar+jdw9jD68VQdzj+PZAwwvob0L1HyLY12tecSlsz36jwnNoVf5xB5M0HdA/FhFCAWnlrtxwENlC Hf5CFT+Y046xiLvJwbIRS+oo5qZ0PGwYP9I1TFc3cPWnjtZkUi5Mf1m5esfv178FlD3Sl/pCDkw3 TYunlpKnkfwiau40KUFXOHNOtkyqzo65GqATIcrrYt6J7/+vfAjW4fFV9zii7zY5E8UOUpgeW/dY Lb2jv9Ilff0ze3sHZ2MhswobdE/2lReVtXxSgWKmQq/RWLL5lSgasrWn9640po8W/9UrcrPmDm+3 lNysr0V6JpvunXVvjl7h0/yPToXIEeaU4vtqI5fYtNBnjY5ORAHN/I/QIknz/m6oWjaEOAjZUULw ol8onOx4Ec6wGIKQZz9uMiCdNMga6gvJO5B44IczrjIbyOCb+Q8+LVLFsHH2xgv1vamx1/lswwAS OALVhCgxGOjIhXrgrrVpD1W/g1sWlU4rCMZqdmWDQPsNyLtbtM1SXOdyACKPaqLieX7++2l8gvyD Pn3YHm1IZ8oPGPfBdcWMDXDEFQR1VOMhJoGrNCKeCH4suzdYXFomndg85csnZz8Gk8aoij6VdWYe yREtJzFW/mYc7ZtkR2VTqvAHyMRIwvFZTlIKhwqLDKk+2Uwyn4UWIXubuEXpNJHScrXotznMZ5Lz 3RN1dRpCPjgko0WcN69ie2oWLBFhilBh0nkAlGBwl+sgkQ/3IToMGDE8yP5GsXoYg5iSzQz/FsoV E8TDUDaHp7rtUi6ED5ryfqviQ2G5WJMvU64OOZGFoUP/+shU/HfjdGn5HhZcqcn6WssLJ8/vvkKs 0UtvdT35H3sU/rdvYAowFW324HYME//zBaKz4vzZLkC1tKQXspWLrtPgMy5qE/GjOtCVJMyaNJnU iyWGrvYgly/wh6nFiNR0Spq4vnjn6NJh0Yg10YZoSvzYI95I7QPGbImCi0YyYw3VJo2FQzHnm8g+ 6Y8lTHanv/W70HV9byC6pju/b3Kk3xp7tWBbTlFjju1QgM2uuFEvsQRGfnlgzFKiv7+qWEwTOK7w XLM+SDOtkWEAqPdbMUPTVsqGLw8dWziPMG1D3SbqbQtMWdFSXgWGp93TUCY8r1q00mfozLoPH9Hw EuvxteOvQqz711P9LL8Zp0LXVhu7lAHFTBEE8E83zrS6F8dDFu0PTtBouvY75WQHj4uccK1UDhFF AAeY0yZkXNuYViz4sekC4DmYw1wi6UAbES9YPPnjBcT+uHyBWeNn0RuChdvKf8JMrvsz1fDFqPx9 kjJnjGwWDi9k6q86tcObzBtyJ/ih3raVDi6Lxh081Cv68MG+ERcg0eoIZyhtIxqgryW9Cv62QexW jhrRq1Rqx597SDOf5HHu2cgeIawJ56YjMsHhI3e3GFdM5UNtVvhGSk37uzkKuU9eakfuoAN5RHFY FYJBMhbBoivjLPx0zXuxJL2WEI7xLI9Uwmy31y2mPD6msssadPVhdFOmz99ICzfqycH0mBlq/59W 8FoOQaT3BpWp9HWOyxWTp8Ws2hXZWL3VpdzRV3Hvcw/OYJDDLuNB/NuV21g89kkJj/+3G+1savBJ gL6CahV/PsliqFJ8C8Q31twG0gNhhLxf/4uLd++eUgMswYM5UjEKygVBvheXf5Kxcsl1buehxYYl LWwlUuHYrtClDMdI9vwAG42fqJuOirPgzy3HdoXfh6+otul7Ndoh5TrcvBU1zK6E5HME/Sd9c4Vz ZTp3QL/NcBWuKdbeTdWPa8QHo0uNUTJ72UGjBlA+M58S4W8HxB8cw0ra2jbbtVlFyZmqWqj0JEnB SE55g0AGPoivXx1u0WRpJtx/tUggLZHGQseKIFK2gMOL9FxdmQtwt7I/xSxmjV1R+OCI6oaar+3y kC/PPQOlb2cevUCzGjsY1AgYqDBBNAwe3LLSUcHV8h6tmmqO2Ik30KSyl7LBavNvEmYTrgckySbr guhx0rDLXx5MoL49ynedlE/X8z4CccdOoaBtC+tL6DFapEMsPG33c923al5DR//EnwqImgAK25wZ wSRrggn87hIKvsiU/t84RZAcHaG+sh67m3jZIKbr+j8QlFdaJQ/g1S8G/YwwSU9DI+/7lKdFP+68 TrZmZ4Bl2Knua1d8V8/UcWtoQMZ939vcnBLglAphKx1Pe/xO/KNwvwtHjQbvKVmeYMyHBD95NtXZ /5b2+ao0E9ImB3xhyPhRiz8S+nRZBFWY8WNBg3MHo5kUUw1A0Yqp1gMUU4ga7Z3ON/X7SZAm3nP1 gDh+O4M0DUBAuM46uCVue31lQcd8sjyvndJKRHTADVQsEk5Cqlza249lI3a6z8DuIXrXtgV+cNl7 yiLI9wK7yGwf15dKmZvxGnby6tu1xc9OVQPZ40bQvGl/pdjSEGIxsbzDspQlhNfWb/WD0Hxf+KXY 02bJdvt7W2FD2PsfFEHwRUeqg+gRQhLngjkEzfztLeGAzn6cjwJ59SprGdZqFj1jG4U08OnvXpkF f7iJcyPh3kxo0S0fLFkQw06PsyfsvCYxyikzsMHEl2HuYrdlkFJdqrcPMMTSC/+1H2A6YbjAuMdx wUxxid/6Xz0iHl+9OJPJUAKMt8tpEOmBFx96fhslnfGhqeO+ogFO5jCsPlt3047H37SLXjSMCTLR BXdxySQoXZX60nPt1aR192WJ6N97mYryONUXRACd2bweLE2DXss183BP9W/vKDZhJ84qkeYp7Pq7 CiVUw1fzVouk6HwKwN2TbswDhfuXhfiEc8N2FZ+cJe1K3wfUx3IW19+YauuP3PPErpDD6UZ4zAPC lg16+j636/+9hSRTPKLwLINKHyKyBR2cKb7D5ew5p+uCBWIQRjyIx/R9iaaeQDm1wYSzxDPSbSaN 0ssHsThH93XKNxoAAI2U1s3ZhF8ibQqVjIcn0Kfekvwj4tG3x1aXJXErbsuASv0ByFlgK2OYBKtE FtnlMX8mPGyjVXxj0U8Zc4ojUdEz+gNG1uzQUWR4K1TAGbXFhoXL1mYTfUDYmnKqRlXFAGHgh79W eNw3Mp8ZfgZteJ4IUdlNm5iaCsGe+6msTmIT6oo4rLAKNWTmtJos0QrZ71+2TyXQ94C2tcNc4B6H 3EJQjm1l1vRuth6NpPMNzUd3+Uqr6ghhUy3xtmvY5FZ19WXnq7sU6vEkIR55JBaMs6n3sHR9+Avi OGHe2eJGmiZfnnJfSkTMJt3ulxOmxBlP/6/L9oNVsS/q2emV2ZrMX/SSSDjqiDwVY1SDNR3Oe7mw m8P0PRS26Q/0X+KATFPv2xKz2eITZeeS8BmKkNAdxXZRZTKm0jMOcBIz/ux/zncIQLNpb5VM21fi Hdg1/yZygV+kRzhCLgJoHoGt9Gk1t1xCeQwZ6sfH7Ttqm7gdhUrQNu9kQWyMexfjX7G7fQp+n8UP Tjy6vvBQdrK/Q71hhshMrsd9pKIN2cGbOyJ0cWCGd7fhEV3OsVqqTVAVh0YB7B2vsHtAm171vXiD Os9sCMJbUpfiOPyWGD/2XW8T5HVxds46Tuh444U4QjCfnQjadw0pPD9pbQ/T1iWGjOJ9jFvYpJrp Kl72puTl15AbafQ5lQ2WX7KchErmK9+c5b8l4a12rLAjFgBVUknSoCVNasjfx3Sd9NuB64+SrMyy zRQ0BZoEmKmwWX/wt1RXEpFq6tsYY0Yli9AGGYY9JJeksMPpNhwpLa3JgxsbxrGi3r57q1ZQSUQt sZ9c7VMihyfp01nS/fFgl5uvBdcfvGV7bt/wH0PIsixCgwBJo1aSV35W045uf2tWJOLCxsHJBm5z 4arwhQRaYo3kOs+9amZJ4v1MH1+ITJBykGaG0fnrHenl2Art5iKWEnSPkr47jfMi+dO4AonjHnV6 hkRQN2GeH7Cprmmfy6+/NKqNBTNhkSPmJ6/65y+a870r92Snsck3k2cM/z3l22GpkrLzXgPqhu3l Q7WFMGakXhC5hoCBFwj0jIKEp4Qsn9J+tUiqDlVFsX+Yf7XgM7hSU/knyhee8+cA7CLsvUwHJyeF mAtVm3Z8OeChjFCMLQ7l8eIYS78PFWNlO8vKlMB/k3BEL51kvVX7MdcujTU53Vo/nWNmrUvGIA2k CF1y/ucmkIgqXozksKZ4xux6iHgvZ3+JEjDmEe7LGR6nYzWR76VfXr7DppTwc0EKTOC8H00kd/Sg umKaV+abFmuwHUp0wqDRr7s22QdAjOEUQ0q4lGgCxYcEkrFpzLE59SdyPGiHzubsWvNYxD0yj9wh Ba1vXnTTJ7hNinQH2P7zFojijS84CeBXZDpk+dDKFfatk+bw5GBYqhJh/wOrsIsWYljAdYptuZ7V Jm0Vu0ZHYpQGzs1TZA3I8ThQhOkJt16XLwGZrQePwWnrp9kc92v9m2AbyXx8j1CKMKtqteS2EauM Ju8AtRiQgFHtYXz118TGv9Knrbn+j8c5yblY0KXezaF4E/DWjWU1HtaX/Wjboz6oQ3IFh00Ta18E Tjg/RqgP4Ab6glHmNzEPcB3x9PLlUgNQswmXUt10gLe30NK40VNUGYUUYM+Z23L8EkhNPsTvZxeX Vjh+K0yhftqJ9N3p/KzAZT51UemptS+c3AnjyzqESMXwGB/XZLF8HGs+RemARFLgZ/lykmfVbixr VxQL6eEDz5ekWhRx7iSjQm1tNH5tysfISiWJqA/GKJMZj0oNlSpXBZc4m91kYnYLtsCvXU8i0URr pPUYI16Yd/dvBITOP99zf3epFuplv2zAH84werNBU7C/5YVZArk0vr3cg+3HfaRLbMPIPszcxxTB WNSgOqAVkfNVpocltQo2prAkPYRQsFjcbBnyIVqpS5rdqafYP+JjMh6sV8z7iDpIGZuGgc1BP4Ug zPjwwbc9sH6+6gN68VgC6kjQNxOz7WRJI+zGEzzqUzq8mFR31uHyO9bFDG8+QVOnoQBpPYmnfGnz LcomZgPyXBkY7Q5PPXGPURAXK938BXSNwxjB/aJP/f2DcbIrXvyK4luzLv1WNXn3uxQliFomFDZ9 XyNYJPl5q9QjiflTAi+zlMdDEhE8D0oflwgx2W4feqhKUMXuHeWMR5mYPrGnCVl47tgnmpGe7kQ+ KKE/We6PV4RH3WzHjD15pI5OQ4gvENxQWIP36sL8NF0c2emHqYYkGt7/jslaDKgzFQ2uNsvB0y9v mtxA3cAFZyTeZJyHMXsskCUQXLEk06aCo57SBp+KJgDHiOlWbDmDGYzU1yk7o35M2yV9RMteSeZl iUbly55gPtMENbhizH2hCUupYa9vqkMxLF33CJGjlqMjj1Ot6+vIibx1Sz7KTN6Q7bGC486r4iWi 22sBOlGFEVUhmaWJweZAF5v2mGfjr2NJRv/z3hKhTvHsP3VJYdFoqCUXhwPmm9u2MF+/+PrG3tqP CVnTqjwIVNKJUbpeHJCaeBuYjxjzRbop47mUAVHXAmoLMc72yaTdfN2l8UgTxr4Gk7yCR1JZIeQP FpIb4/lGmAc3DZc+O54WMoBzQPdvMMbB92LztrVVDlJbLpa7YopEpTFOzADnpnqCOvFan2POm+ZF VXoxj6byTWpjG94oRn9C+lff0v7hjxHI58GdhVl9/JeknEErv7nAsLVSaIon4/NUXwOGcLaYwTWl 8i9xrPEreP8HPjOXLEmyqBvupTkiRNttfdiQ6edEHyqQEgMvGVjfZfg0G+dh2I+00m1w+EMrjHBr 6MfihlPw1ZstKCLrbr/oTnZeR3fzVYy85o+J0XN491yfpiQ9qKP64OYE/bsWIDe4ETPoE/JuGTVj 0y3gDQSkNzmg6qYE17S0dJwm4SJKCJkJJJ1DRr8I+oZHtxgJgxA7HTdMk3/fDKvGC024bEEKldjV gwecYCSp32k8/A1CMqWmmsD8OcDoFfYjc8qrGgttbbRKJQaGQF0qn7BcOUlOLRpGsMW6XYWbz1gQ IKcbMtabIM/YWWGbJQelGQWLC8xhRCU72+zHWoTF8ptkDCcYAUpkeFaW4Co54CEx4/EwdQljyeIo 5u8kXxaDAENzJ84VKbOD4UtKxOJZ/no810HvAV3e/sqXVMHlnvjTkCNL6dlQHN7rqAvBxf/2oKxE kCwI4m1MmaMrW0dhTx57spqj/ImE++j1NsjJ/GR2yNryvIi9lfu+hvh0dcY1ROJ4t9kVkXU71xel SDmSbNh/1JExORdAx6x79Mmptw4HwC83xDjRS/wbws8rqgBpHDyu2aL7REwEklLIUxX4sg3B2INJ g6Na1VER2yySWU29yxXdLeNZaK5tVztj2nedRGuZAbZ1BSqa1qCk8jvgwca4BnP4LWOpj0yCfcgp /4qQ+6kc6gyAHxSFcb0Pl36afg1runVapPh3xj0nt23ecFW7E4gm9WvPnsfGuOqZ++MQvRCTXr6S DOv0lyQq1V2R93UliVST64V83DLRVtpezWr8zgJCtnnB4OUnI57mo6ew/QlxUBmovRWBo2eA0hkY 1M+4O4gjJBTBSe/vLs+wBd6ToHkmo4z3lgj4FlHML/t+8ZZXPzCCrJm0CSFVnR5nk6+iDcVayU3T VHPsNXGW2IcwHtP9xbnWoVUyNrA8JCvcDcENcili5KHHdCaU5y0JGB9ko6owbEiDcS9ZYdoN1WNY jPkVWdEHB7zpETAHPNGpgoAwb2zsTcTBi1O7u4c6Sz4vhUMM14ng6gZQ16qLCP8qn9Ly0TUnWx6o dVIDKLodx5f2NXyVEFhMrLNqt87wwWDsXvzqxq+BTeK/nJdEHPXws11bo700HKppRxIRnZKju1tP LtqOL2080kh7Bvv8m+a6ZbzYlWKR1tA3GfbtE/WzrMON3pxf8do1E346y7oDcm58SRVNDgscXWh9 zGtNvObImHE7HscqbhvmpA5dXj5veu+HxYoh8YnSG/S+7lGJiPwEwkRbcusegHAsOLl01Vud0Xsr MtnsnVF3TqYR7BEN4s0jojvPPY+UkGpS8N0Y+RYJU1bfFMWazLVvlsl06E5+qvtZki/LYINevtJz AtdcVhMw84XZyVxQ/V0oi7VoyuDDhMQDi1Vqr4eUBPEoeYyq1rgAkLt3V0es8N5PPgfIXncsPxb2 8P1XjyWsBZd16/KoVh4Ra+GNjxAP+QYCnz9tSXTjQe/NSx80YLgfztJW0/gjVLmGb7oad7gBfEZk 3jK3Hdp87HAtPk7zuz8cJgXclsKQDuW+u6qvxMUPVemlbfXBO7eEj+rQjiuDgHJPKO+RpGFtyb4j H62BlVMFE6L5kQ1vczksgfKWicph4K0GKrhafo6MX3XKnilkMtgMbrz/KRBO9pfK5h09YQF1G2tR f5/Y+D6R4i3UxVhW7bsSpAAZNokl4q47+jSI36WfDhZ2wkXxEnhztLnB9ABtwL8Oxr50UqLJjjI/ 3wtLCU9xKVk7KVDdk+2AdtV+DpQv1fyZNKaB/1xT40CGPqqh9AQOGwRDuKeWp2hh33n9vYAyPFuG KBL6n9Pmro+XUp4dWNRrz3IJjIaLIbKUggD6pb3K9Guks6DTK03irv0TwN8vQEmrIpxAnVOwgBrX G1Mm7m3Say74OXGQO6FUnaEWnYQIAR77ETCvDYZFoZyaNzg1GX9Ms/h5pj23JC2L0cmYEzkXHAZ+ ZuCL/iXfZhToQ9kRQ1q7w43a76Om2ea2H8k4s0jFWAGvuL9KCfu2dRbQVHZpt8MfLZLS15w4tjK1 4BzoipaqyjC3lO99/aG8+CCyGRDHj5aHMxFRPD5qCVgSqfJoG71ibiZQPU0c1XA7s5t1wpRG0vop pQyO6T3996QeMem1FhyXPqMbwUSp67/QwVb5YeSSgHYDwOXbuAl16OkBQQLRT2AyS/y0zTfl+jF9 CSaTk+A9RbT7/I+2P7x5IsP+zka9MbRKRrr4nSM1T5kLaip+iROnBwDbv/fWGaSR7vKr9WgWng1D oTMtoJeWQwYS/jEEB6R85QOC+JFzJfM1ETcnwT5qGjlsFr3VxTfW/wkylXWmwzQobyWxY5fW59EW sVyRSDQ8aDF6k19ScK2CqabW/Ad90n5XwwSq/O3Otb4QyUtSrKp1oHnpPXGC59F2y6GrAS71GCEW MHKifZzRkz2eVhhnw0dPQfMzkR7K69S3/gJMSmMwDwwKtHTWlIbgJ4gAL147JYh+AXmQ42kb9HIL uvM7STEDHpvLBjX0QuxkjGQMfe7gqeGn3Oi1lFwroJj/muURXF5K5pnOR0XLtYlsDEL6EVSw+DVw b0OGeu0iFxtsk7/MYQ0QXe3tcrIuHM98ow1mvAQ+SZnWQFht23zxLwFtzbI8dVbX10QFPr13avdO 5hVJNJxF6/Gq8JSj+uUzSG6zs6yZY5C6emXzO1xl7Cn5wkv+qJlNCQa4OtvoYjBiwr/RnODuxMYI 8wgsyv0fuwLw42Cstiq1Mz2y4Xx2wdtDTDk1XIQnm04zgJL+REnNeNYmgk4iHyBshtAcPjzAkqR8 HU4QIqG7bweoTOkIqLKDMBluxIYleTH1yCt7zGbjZtlgUXlVAxy1fx5g5UEfs1N7m9gMsg9Jr5YP B1HHewrlINVke2CxTriEyxC3m28mCoiWR538du/tHksCTHDq7VTH8h2YIlzCNVv5Ext21YE/9dX8 O0gWAMjnr7jpwbShYOHNzorcSVyNyAsoxYKLUi3fOYpjpgg3Fi/dd/P4HCrKYbNZHeRqvwHPX2Dt hsCZ4hRfeIwcrLzVR/ANWglCY/u0wq7ZyTfTiPa7vJ6EI+Jt5ffHcGpKEoanHInHZ31Q2IlK7vLk 7DR83yX5NDj+LBi+LZnjXzgIwEbYUCI/VJR8HoRWUuxSp25C5Ab5Hh1q5r9kITJlVNQ8OGUUoKRj lDTmBz9/nffroF4DTdB1GN4u/uhssFQeyxmOh1wbjUYEV8YFkb6PlaZ2Nhyh7B/i/3dKJAYk7YF6 3MK5dkRsFN5yJmG6RdvXaCK60cuGHVobFeo9JsZNf6XiLNECGOkhHMwyqAAsBJaq1yKzrDhk9DLg 4VOblykowVm1oMZweYgS5+xyI/NmuuFcCY+x070N3bDB6SafPUy2kPyi7Mnow9KGrGEsutU66fJE NyOgPK2y15Nbta6d2Oi/L01CifWdn9lBSKSCjH5yMEiS42cube49XOnbY2z1raZ/F3aO1ICGVCvk U9mIYy9vVjrl/3O2pro3CQhpIenWz1d7rqFebx4iUyGaY/6HOLOjsNEzqk5D+4IX+8HlQImtlNY3 Zau1fGwKDGVfljfF5wjDJ0nmQ3KFleRKg6Z8dhNAIAbIHolNY/9Km3r6YoIaPx7s66bhmwHbm80x rBAFG3NfPjf6PiN5I5avcl2haluPkYINYrZfBsQY/pesvymIH2MlveFphB0vG5ZrUYJGxPk7phlk OA8cYQQ0MjL3sT8BhU47Rfa8MeFREUZ6GfYsUWbkQj4kBi584ZmWmIzie5cMGXKXRQkOxtV3tz/X p59ogejMMyHGv5jmoCGMIvLjKyEYNkYB0g2KzHVlqpZnl7LzpIiuA2rBzuAYw25tRyb7jBv+4hbp aoc9rcupvn8oelsDSYKTTDJtEvfewa9B2CtbzARFv5iD0ZS1c//4u+4n7OA6KJZBUF+ui+Bzks/b /La/TUw6ejixDd2ArbgeI39Qdqx/RIz8vBCgYKf39dTfqm1/C2bQ26nf8kXXIXx8BNvEoHDtKboK yBsZvGjIfnfgyY9dP3owPUxLbt1J1ZdgDL3cZ6I0BZoR9C/3EW6HLvET8AuTrkveZm3vBwkAaDAC tMCHoCYKqW/zvdrunT8AEDHrTqHqI+bwts0tzO5pQYE4TJiLmoBMoWsQhr1V03wGpJvHol739Zem CH7A2kQzcrHTN2thiBpadEHIyUeyKHdwexoROQgG0O/Sjm5S36+jDVmK7Z81Z7Pfaxl0zYgn7hho ZVladdzR98azx9WRUkW8KLiW1pPlw+p1GSx7GQYUox42qYJmh07b9lMSau/x5ee33MxS+exncp5I JgRNGZMd7OMOhC8EH7y53EKte1uepywz2KNNghkMiDn9BKDcZv6JJMuLHRomqtH9fwLsdeI2ZWyI 7tGestLyJ4Q85P091FEMV1qIUfCRMHO++Sni29CzdoWmDeHY6+hkZomXWxaxBoQIZm1kuGFpXhZb 2j6DsUMdgpCgM5h2VPZV7ryyv+/r2p565koQci1E76Z8b9Gxv7sIYPQ5DvcwWQW0qLkByFC0gQ8T p9Evd7ybhON8iO7Mhrq447/jHLeaJlpl9g6TrwKnjXYEPOj4oz2ctExu2MYJ77PZzO6QF4QJysxc za6vNi+PhwCYdUwSzwjTeM6Y7G8nz6a3TsnBOxJcYN9RoziqWpt0Sl/htoaMKohyRVpytUuUaoMQ eGImRuKwn6YRuozoX8gGSpdXs0Cnzgij0dUz2TrhDW7VgIKVor+b/irqwcAxHXhX+Dmsh78m8xRU hpFc9J6sk0gCoUhAJNmTtMyiGASm9Jefrk9xo7Uv8s8YV5Fzta4+G+Xa+gaisRmSSHWqu1yu4HuH eBlyGK6SqLMXpZmvwL1ftlhSuDRqEOgeybYWHdmBhtGh35Pk7CUB3vUqq4ah1cSuAGdalfSW4WhL y4sT7g+se+Sn/i411ldvfeNFMLkAc1ubppMbG/GnVEt9wbB71jx1jbj0dl5bBE8AcFeak22P94i1 qZcq/NJr0VYu2O/hfHeDRAAZLMCfknrZvHsFZkXJLfKQSa/h77ak32FI5eVl3Jus5lDI6NMsQon+ cjdGjamdYRshYkqdDivqZ9kTmyWBQWbKHHk0UvJZShw5B1bG82EVOZP5H2/xahSHnPz/Tt5gztP5 22XULWBuiOmg35Uz5hFST9BiCXujdyJVRx2dDBL9x2uMjVSU84CohX6nab+ldrAZuKYkfGBvNdue unuIXiZJ29V6BFjFZufZRRYtr+ZIZy4B7V+6D+iu/LVMbLXa1K6Li2LBo+XUcBhRaOEge8CLO7tf 9BcYjUNGrFM+v5e9qs5u/mpnEZErVXyo73PPbaBuadlZGN0BfYflZ2e3vXqQpE0SneginV0OHJFK 4BzPMrgprx/vEQnG6MHYQVy3+0tPUlKe9NOj3x4G2GJot3RXalHz7U7DI8fTsj99aq8DzGy/0dVt qVQ+c/oAYbUlbbAY0X+saagiIzrh/eCGE2vEestNMcehY2/TD3oiRh/9gqRnsBYeT7/zJLnKxDNI 7Bn7hdG7If/TrPfbxveg+O9m3PMXpdp0vkHgZ7WCsp1VQMUYUk5mpDXKa4XUA+H4H5dhbW+fxOJu J/esqsy69VOp9EAqHINSVFp6mUfSo3TiUJC9aQLHIGTjEw54rxFsQ0gxfb9YenEgCmYB2pvwhvms PSnekQCNlidE4f7FArJkfEkUdx++Uj+imLBe60+sWW6VDoadbHcMzUPQb5GNKTyzz5bT5plYdoIr BqNys/5g5amhUjAjdVRJj8Oyu/zqn+5sYenF74Nh2vsDHu9KlQsZ8IfklpUTR7PrmwcrDTxdk82z yCkZhCYJ6bv8rC6ZBlnfd3TGw0D5RFumtk7PJeVbyOjzZKujZstyU3vq6wcD+Pgch16Snz1LU8D9 5wNUOX/+ex6Lh9vYroirl9FtlXGvsIu0E4fpHivjE8mADu0Tu4V6qbSy6KuzS5ZrofVdTVadNESH fxgXL2tI9CzUB8LOR/rIZVu+u0h4USzvZkfYDXxG/f9kp85fBv96YPSGWVaytETesTLyYukd2yna 6K06Zen5MzhgCWGrSb2fnGLI8dBZ4cflwQS7FomqaLPzPeBP7WDf6Nlwc+7s41qKZhnqLKUk5V+4 HU0D/KaaiNfKvXPRbKJ8DGIdJatdK5awb6OeQPwo8j9EScoM+UNbjyrcT0pkVmYs4h+B39q8y71+ nE/7f6ENw2ubAyBV5uVOL7UYW95ujQ9134E4cgMxAmAT4ijs0jwrj82xj2NJLkHpCqiGkgrOjbA2 MHelb7qvmcBeHNCtYFUuOShlon9397mqALn5cpnbjU263WaJl0sibRMLA8ctWwb8ZCX/ECnI80gF ona6UEpQH2IThKPA1LAYROGWQLOtfTqStOLIPyVnbSPXYCBJ9FWJmWSvj2U1gVx8icFvyUlGCC+8 sDs7XsEfj8UtGTiqaEdTCEu73okLNs6AGnwyoX5KG8CK7xkngInXfrIen+XQBkZFQtcnD1l0lQ/E VkZiaHUatRHswQLmkoXXoB1EmGQGO2mGD6AR7as9ACNMD54rl9Ltzo87VF6zFNcfAuMDeovw9T5q 6LhhbvPYKrDxsrhSS36FuhI/89IycmtOYSdBbScuG1ypD8bL2kvMZptgHSlWDpqs7Yd8Ke41s7DV bUwqhSLQ0EyxrFILMy2sPKKVSFbSnrEkwj33sUJP1k5+Z7JemMA2mjkXrybAvVjEUnndTztVQtIA sU6wag0xGQbw7D167IE+ujVX43wPAAen3Y1AEHd9RePrKpi3MZYFbs0N+TajECKkITj5QaD80zcT PJJG6PPVqOh63fcWjIxuAlHo14vnlUFq/LTb/Dd11zQ3r5uGN1Iaz7DAyzlKO6GUz+DlxYu4opXE VRh5ieC6mMN/FJOug7MhYQuIrcioFqCG5duRMkSNeVdHVvok5GiSWwCoQQZk5dX5dvetVM/3P0Lg KwqpVe30J1tqQvWFZrqey+2M8Y5duZpw+V4CoHC3A5oUU+N+HKSl9B0hzuE3khbL+S+WYgbV7KA9 CAHoAGuS4IET8YLipbTYY8nfDgN6vY6H2UrIailiiBtz9pq6phvhjLbqXnRVVXLwIXTmwhkXZO7K 3bmrxmfS3Iw/2W2XrYjtyi091zQyq2Y/F66KPolLb22CxnfRAMN93b26cqen39g4cTVrrUk2/NWa /WYS7dY7mXgaOhLuoIdmq9g5o5ivJ7CQ2dqjmd7CbqDLIuooiRZkBEnapo/zmXqcOdkY9w627hBk N6G6xZmw6UsPGmbHXZ63EmwI4yZYByo+cl3n+vmzSclgJhu/cx92XkzcMvOFeZ7iFinTHdHHTJX5 uW0OqXbanVte1NA1JyuJqXFx4/4DSI+/DbbmjC+RGEw/+NDniUy5hAvszg7MjAneIa0G2nC5JVmi bt0qPHjrrDGVN0PLltOyQujeeQABlrcOLCsfmcU59G8kOTSK8rMZ4Pm2hleGbDcwMa42BMPAeM6e WQeWTB3tg2x9C+gjc+0LJ+7hHgK9YuSsFUYGE9b2f98DXHAJgMHV7EypkYOh5xKa00gG1MAq1jgK dlGmanMZd96fwFQ0J7/Q2GOhLTw5bV2z/ng9FBRZOtUTWCF6WMBrRPSyDS2/Emlchmkhf2+R7sdV N1te/hbEQKrcaXdLETMePv01WbWBli33N3f9z7iTBkt435B2YXlWtOhBx/4r8KP2CQhAwgCulqt1 ZcX/AQ3Vs7HjuRxXFz5B9bCU4QVFWBye9Qiq0CTb8uPl7TFj45YI0IqXVWc8Xfezm9Xf7WaxeOxj /nREwCk+saCw5On4fXVsS6L0Qwf8FXMAtmYHkDSSX6KWVKtoZ432DPdc9aYHrBb+cj3icFBja5g0 NK74ng0MaY4cedFZzIFyCfRPqVDiwWBovco/TDRyEathkE/Yoepdp1389QHPZacjv7oqvU8ukS0x LqOHG44ZfFvX42ssq21TtSOJqGOMfmmbpP/s3mo1xsFYWrWEgawdOlrTJUZH2PrFjmRm+TjIzeSZ 3qdUa3/aPHurgp7EmclgFfQbGgzeIjbYskZi49NxlEda0Gh5Zx/I/uPc5Cg5FObYvK7lHF/sfSCs 9uBpA/XPl17fSTs89pWZlOmXO2xKz1fdrg+sS5mzeCmQuaJNa0WF5jQr3UCjMu+7IO0E56nkVV9+ Q47FV3RpIfcE802cP8Fp3vY/llqMkjPn2tQ/g4YXD5NhCQa5bVYm8Fmhls6m5I8lm/ZRwV4N1XAh TJgG1l0xMPWZRd/58Aq0Ws1WgZCRELvjqh4oARYKclbLrUYVMzfJJ6HtD0TjY4gfLvmqXaJ9j7nF ECe/NnjuD9wvOLa6qU0Tz6wGXuBg3BTFvoFaRVuqLCFy/I9L7WrVoSwlFRWfgRg2gBOnuKiJqlfr Hxj2uHeJuQWmhVd+nPIWXnUDJbII6HgKX+/Ptin9ejovTLVJQ2zPhBtBVtYQslQqEm/04j+1mAol 9/kOPso2mZEglGuxUuUXE1CTrszQz89leOUEAhfKzIdW6IOqs9O993uTnHAKAU44NPCfXlUTiqoc NsnB0OWKDXH6Gchn1828dytZcY9qoII/0CwubMIazp87PfM00LuPnH53cbNVPBc7f8/gGdH32C3W RkYdlGRKMT272cwBEFR3TByQ/9g7yh/ptw7boHsuGMfU1ejoDxjSEo3WEo4peER50Oz6Wnz6FUXA ZFBZgLKyvq574C9kjwyLNkEcsZwovTD0sQ32yBJehp+/9UaOLpQWyXpEjne/kuwPbhX+jegwbdj7 +eWko9JQIcntHNcbZO92cEM6iOfu6Vm2ET+h7sHpQ0PD7UpcziKLU6535vNMA9n/wue9moTxQWiG 8vkDzw+dH0fD4ReJoQq0q2yC0otpgWcINP3zjM/lcgfq9nZHoRbOu+qagz3pqWTsqQLBHCPcNEKh v45P6e/q+6PPubsv6JkgtijO2Dn2H1iJsMyRCuYuBpUmWSjSm37To1YnrQH5QG4S8reI9ejLeelS ksI232WEgoE/eJKuKGdwNenwNaFNi16J+LPkfS9StKn2jJ69R/qP7d8Km22iIMOd2pR6sb10Eipj yYxjuoR3joxGpfsRmR9TwbiZW/IiJRRW6J2ZyltJHRj8gELmYt6XmE72FlzvnWYxQDRnz0l4tDea 8FHdL0DTlmpqSIua9JpM1kwFPafSes58YOFJTtPeypDhz1niGw5ub4c+m91FQycb6baC2dEbl4wV k4UJFecSR3/fR1vuBvMrMdnsfC1/sdFQBK6c4wA4EjtIw35f9HmnUXBWuBQlUgBfDU5tSgV0yIzX rSM/Eo+NypZ9moZbRw6OyIV0n8f6aHfTlGw9luwRCUvDTAvp/cJm3v74EoX5IBcsUrvA1viScB7T EqlMv5b9jfeDDx3WvOW1UL2bzCqdjj4iLHXnHVCDMgeoIu3ZBu0mRJpqgTK9PYs+qC1/6NkXLC8Z ApXh9Vu28mtbPHYzfSsXwhcY6s/wlV+CobyQpZjnwpQfAxWz2A4m0sSZQt9dcwcKT1I6YFsftBCp fi53+NcLiQPM9+xOdLlH5ymmhrm+AyoNJd3gvSpTKfbzA+bl9Cu538I6WWTl3AfuCoIse+xQF6G4 FxhmQVpERmDYyeuFdnA29EHQZs+OT8TJJHWzKObKf176klpOM0jbtORgIaJS6oBGHN4ZBhXAJ0FC IE2PokSxvoClmC6mkISNtoyPXbbAcT1dl+mvy9BP8B/6RwtGGn4Q5ZABoWT+ATy5xSi8oYs3y3rZ sqV6VZP4ztD6qc4ckSza/6YqsaZ1kop0VU6IDFlqizJhgUixmHJ2FRhpeDWXGQYePHDuQRfgvRnU p4uLXhcua4jAl80h4uzwOzxlwl/jda7pv+1QdXfsFK7V9ILLEi7XDUreuyx89wmTQH66CH/cSE2d 3Zf9ZVB8Yd3GeWjHnqQABOVxKnH9OOYOt3AoWffCkHTo9FMfED0A3FtAP4P0EuRCpoNJz3BHqza/ fX6Zn+vt7tM8OIM2ivyWBQA6TQOPLB+VYI5WBwARIh8PlvBt+XljzqcOaIHm86kNu2udTSG52eBS OFyQYkMvJ7PtwmSJKSrv4YHjBuwBdrx92ikvWJ+auHLSLVdma5oy6WZTK0CAO22wGeNXlTwJcsKW 8h577NLiM9DqdgPr6jnIliDsX1B3hyRYT6c/VfnKZCNLosgX84+IdpIaUUn4EGoP8RaDjFFQrKUi T/m1PzUbSrZ819wUAdOGGNGdWL2+gp2/ILo/3T3Dd9vBrpNo6sG20SFj1U9kq7TZ9z1CoDG0sxXp LdoiycoPSItTNsuiXu/RkmpJheexsNI/978hQQa4bOQ2na26vRTr/ARg9hqPZbgyQxKsu++NjegF 8rhLGVQ+JzALJmj+iuFRGGDtEhKMA2NtoDoWegwrN+NkJRBDhKKXNPyW3x+1I6uA7zDWeJHoOU92 Rl5Da+nski8jP4eGGlDfd3h1aPY6yMiYAa1c1BrrHLOPwR5Q77pTv5UF5NUnDzDrz6fHAsW19cAS JnCoYcuDGkcoUgq8OeRBtFq9+vxAH+PKA3czvPD1UVExf7dznhWz4FiKxzJLbCRnHpwG2dqz4Wig Xm8owhPqL/mNXqz41yfTPVABn41YmGatBBI4WamJvizwKshsEi+QhH2Ca8INBKzXKsCOGIhC2C1r Sc+ghmuwaof4VZacHwfszGYm3NRpD02jxIum0bUM7BsxuFBXMckYVCCJOpc0tgr6OB1HFBGImx52 Zs0+MRMEZ/6weXZ0ddGaO0pe3IxFj6qToeOexROKPZfleyVuqRLEc/KAyED6MgJMf3KPQKqTB9ta OKhvBuuy9KA9REn1olVcd1R88H4+HENkCMSAF+WlkU4taF1mQ3F6OOo4L7yQn5DV/K58PnshdADB 7lHs+ZpL9gvRFkq2sfhKGBC6uUQ/xBEJAmJbrjGBMhg24htgp/I8Re1qvctWEf0QKxjxxZk2QKNj vyyWb4oDbNoQ7cP5hI760mCiqCa8gInQH2GFDRGH+wK9pyhJOMKqdmFVtYEbGDOvko93w44ITjM6 5OVtsVeqOACOjcpCPsEZ0YbTDhjLKrTa3pQXUMz8G4Odrsshf+xXesJ5zHHPNSKfV6xmbqw+GCJ4 Iq2fYIvSUNgE6q/+ayUf3eOBqZBsQ2oqghnkRjxRWgizmOBg7NuWkBC/PGrQxkKMECvW6YEJTc4w C3SKq5C8j/R5PWm+plgMZkILBJKjvh/Sv1YdFWVMfzXM31Is2COCCHJANMWOt3ZZCsWRUtUKyMb3 0qJNRDMsXb+k6s1CjZBWWZIHML2gJ7/BqQHLE+vUd2pqvG+ijZZ3G4KTeEJPhtiGXmIuBh+x2tyj gsFstpt/SQ9Zui6ENrd/rJMtY1O5DXz1h2JCZF6+bx76IHKXNZNK/vc+qQxmkle41rlZtnHQD9bt KKVQBB5gjQ4fLsMYg5u8mGz1HKZtrbn0Vheby8DLg9eus2c9VJxFHS6dMug2F92dz8hOFSMQ0wgq Mw1ECXcScogFcl99WLW1RGriH/RDdu4dANAeVqbp3i6Wv2R7aCJZQES5aeeQiD2rb4VNdbyY3WN1 7LrxI7wyja7PayKNWBCyXEKbd0WhBbBVd0Bvf+/vVBeLw/GqFwTj32splUZDpzbYUduznCNpmYcm bwrgx/ReRdN6yfDcbFeihNWT/ZEQNPM5htaHWIckpEPl3hG+Z34b8qJUYh9Qw1BOJsndQkqZNqjJ cjdtLTQDyhKBHhxQniStoOXlMTsJsrPI5d+e5AY2mskBc9nOBFVMNcXtZjj7kgU7GCRCSqVFWYDR lYMpqOcB7H/P37ugln1eRe5new27/vLrvB9GJS/jDzxyz9bb6YUx7DG3qr+C75PM1eaNnFkHWoK9 XTvwdv5UGvhOiLWwF7INOd+xNBiJu0guZrtzDmC7W3ZCH55cVP0ZQbNPHU6pjP8FF9PE9LRGk8WF eRtocGTiQLa5/2IM9JrgO+SBsSO/5UbOC1qFZDcfY1Zw9i3OSKe2x1RrR1CSRgaLD7RViNu51k2/ sBhox2JmoVcvCcv8b/reOMvTxNQchwj3cMiHua3gdwd+O05qiYasgQVYhsAns3HaTecH0fwHIWnz ZZfSvdimagbrnMAZUZBRzEhwT4a5hZKRwFNDRYPL63mKcuuLktnuU9qPytsTip9OuVtp7QwynyjB vsCujs4q4XdnJn6iBdb1J6va80i/0z+X7Yxhs24ebcdfupisAj/XrlKz/cNAxl7Q4bh6dVZHj3Xe TMnQfYdaNWvjGPptHYkpeNZzbhhuwYzpxFT5D8P1nIAyXalnRtGQtMYrlIW1AB5dWhdkuOQ/EJOw wr9kDKEWzsXYh0C+skbt2Q1NnYkSS5N35MypVlRruSZnVA6bzMozs9ot3vEKCMQrO+uFgdqlZgYK mqn+QaDzFqI97majv4IAF+Agk5HY3E78hXbVKkUN4QNYByvOWnn6lBtTFMw84ej9IUExmBnv6z9c 7VY40FQlxbsCVhXqVsCtHjE902uEMqTiwF0aE3a0oxAEke0AFzWGoUnnh19evWGHeWoVPyBvkoAu ERpTfroL60Kb26WVCnxCtsUE5Vfq66UcO2Dxv2Zkc9L/K46OcfnWY4jSUvWAocDdb3fTvcejJ6Sp pETDR+We4wNpLetZRxEXFe8p3+1xDUfhmmWjA+6C0QG8/sPIsUc4YW2r5apFoMntV6eGh1b1WnDi IrZ92Fw9pTF+Zvtn0d12WibXPSAX6fN4k7J7olee2uPGqk7RolaELg9ku8ImmdwOPhybg2CkzmaH 4yOHdOtJF7jn6hWZZ0eFDXCv5pCTIPZln34MEnslrKWwlaY3kZeh8AL0PpBj+xf1IYr7l7uZdQTd aTv69iQYKynxMgSOoYaqXDnRXjyVxWZ6ltBsEXGPbKKey/zMvqZbr/NM4BNmCTvPg+0sbmW6rNbu U+p66JBytveaUPX2HTBfUYiFejOO4a4wIKxXDs3IMgbZ5v9bdKfY0Tpcxjuikxtv/D2DsIoNf/Ja 1+ynscBmdCUxz8fzcMzVr28aocBifzo31RbpeKpEDOi2kiJLdJ8mZwGGuGui3ZSwADWxtoO3D0RE h3bS/2L8gld+snyuhJ99FChHgZhRXQt8alIW1JHUSIpmEmPvGbR+qGb08DzD0ytQbeaypYnrqeBh qoe18IGb8dA0zz8JXaF7VvGfifdMdLF3xDNl760vtYwyal2JaaCkiZAqCO2G/kWwnB4uEYsxw8Kw kaEEy8NlBuP+htT8yjgu14cBo+e3MyUsGbLs2yyvdBV61/hp0Z3Ochnffp5B7bwA4Uzj4XcY66Cx X5Pm54ehfRQE0788JxomptKIy96ngT8DzvaVSNZ3f3hH/ZIPKMxXQn98aDQcTNU1hrGepwHOvexa Ujeu57V3tXGmmcdVqUmsfOlT8scZU2TEPpj6C8SYH5s3auBxf2GxuyaXh3O0Gqnh/G8FrRsw8LR6 IQWp3+P1SY1N0mnNUEvFTs1D21EKEUk2wem1D+N/vhuER+jFS/NBaR5ojB9rW8NJysIaXGVK61nb yaV8wL1ceXUFzjPXkwRxZcgqKGbzjZvP+vZ4o36NuRooIL2gjJQukTHBAA+p7yDU6O+Fjj2W0wRG Us7UX7i6XeQ3D3T/jrJyk+aBX6bARO54zwYBej9nvAMYGdaA+HHZNtxMC4HQtxN/G41uffjmF4YD ea64dRXXJbpNDWVRPA0OxI1G4TqrMGEIjU+ctf7SEIRKVsL+eiccSwhwLuAjONYJ3X65noABUmwx 0cZhKhhJhmZGMiS0+PVtVP3w+Uk4vExnPBtl8TVgBAByVHMU5sFNBlTrcjTIYsTDSNCzWIEJyElF 0q9HAHNX0TQfRDoVs2DoziPYOWYtarE3f540wlkF19qrN8y3hNb2Ph3g5yid8Tj/onrrd5zyqoh7 2O22YBvDWDlESySQrZq3lCKuxcxRvy4R0XfYgyXoXpKrZOE1/Bqq7EI1njj1U+eiHgEW2JsDtUBy kjLplGwgNrCBqGGhbTyB36xIsE3s8G0yd9hooWLgZgonKhL/7N4x8XrVCvXtwEmBJJo87XfYGQMa APJaUfqWbJ8HaoMi8eNugk1Hr8f4nrAMDFi2DqUG8jeq1Du0W3YyeTQyotQTw5u+7N2hKA9siR27 jiJSvzxBTq53FIVvz9HJhmMDxeTIOekttySEDa8OEIeHho9+Pm3TFCSzfBcs+A/lJYHYcFxgfQK2 /Yd4tlIMmBhbU/qgqnd68gROli32RefJBeYwjAoiAaQ/v7odHmZXeIJts+qH/E5TxUEc/ZurKBts Di47il0Lm4jmVvE7OmAEL7X+LORLh3DRpHtTbvSk8MokHqjXEHk9lJ4Vk7nZliN5+ZEf7MvvmdjT S/1IF6w59pPoZH7cLSDLpGloSXmQv5OT886gphN7mv5V3TRm/tLaHk0WxU9UX9gSvbE8MfX+KodH GrPsCTGnPfmQfdE/4HjmWyPyUkF8YyRi4cOxjWCnJbdeHsO/jO+/OoBCptl+LO32jwUGc/FSZuZp 0Mf84pQs+WnASQke0XoGEzZDAk1F9X606H0LpyLNkH8vTVqJHYSyyxB8rzA3m7g6qqpj2RjRaWLG Dyy/GHl9qni3RmGP/xmFOx+6oWzbiDQsCVQlJeh2YHGI+O7yShtpiXoxfW348RqXdpSAusLgIrZb EI1oO3CEyBAqYQBHOcpzRgsBUUCFk2ESnzE/wSmOlW+nk44VG8n8DCMZBT98ECwsU/9NIvELF4er /+7kzv+BbNPCEXDbyTca0pm/aBYTWxObi3Hpm0I/AAovwQrj1UJbVTli7Z/8vdIS0Sp0vliaUz1I CGAOvLKmBI3Bz/GSEwgqlH/jtT3LEACFof2wPfOb/5wPItxQzCBR1aRpkzEuuJWwmmB9s5NQzkgH 1LFpvwQSR0PaWNHoVQOBBSd5xw+phZJymRT7hayUnDI4A/PVPUlASDqKvDlUuRJAxvxZuzP9X4jc /7Gzol7IRRq+1Uvsx72cYw5H/Joy0N6mwZINzdhpU5Dju4xmTKEEhLBfjmgO+CgzBlrYOLp7J+Wb m7aX4kIqA6YndL2jyyAYPp/xPj5qoDqlrl7ZoD5TsC6dIeLW5OZQcIye6iN6Vsa07vqOjg6A+yv8 C7vd8GJouUkSXZwq1DA2/UrzlKq6/qasIYndoJ7wUpIYef7TDs5JIO4FI/ONRF/dkkKarh1gYVsQ ErqdEA35OI+mWBqpDiQfAWPUQ/3ANfxNyUNZNkRzz+CO3qJ9b2R3F46beuWUGe6i6nPWG5r0r6q3 XNxDv6Ihp+GvwfCQ3S4sxutomc61YaW10VsPfNPEJkKK4AEuOqibJjp6LYhFLcmXQ9tmc0rdujga Sb2W7rDIJQDt9Df+1jzF1pdLq9bA+qmgL5a6mPtSQ7GfdL57kay+zQwWvT5DQlQCWZO3V6MEpkqL ndosvn86pFgye0Kq4Ix3UEgCEuctD+tg3Rqs/4TdCGDRei3hr62iskPl8Ohouxirm/ZXfuqZpBAt yuAvpIuapucyZuC/HDPvpAa3CLjppAZP9kGeuLYkqO6xwSGiFLzAboKVr3lbhTFP+6YAeBKa5+9X WvdKZioKHyZDWTKOok7KhhAAqsqEgI0YaFbPuUGZTiyukUI2FEOW1TTLiJbBg7HI4hypjCiF7wSw XGwuTFiMQ9IeG+ztn6opThn/G+5GJE0l+ZvbBDBBmtXM5Y/21ZgxuCp+N7ECl7qRBQ1Vlo8MPoNP gTF72PoJIBiQwwjPP4N1AmbavvjrhIUEU4ImHneqvdnydz0UcgkV9/Mdqs63qzKtoxx99YsEyDOH msu1wWN1F6OI/5/lUCUNaoOYqVSFE/Ik4DpL4XO+ckflAGhC+nZa+89HjcMMnP++t7HKiKhljKSB Idv1k8h3WDL+OqO2JpMuL2yawV+mDLB1CvP1/i6SjnuIQmwsPXGaT6xrwtItaSldjQYOtFE7XbXD O4qBYFiGYfHmZRBtjTIspgerNR9TGDa4uNvetlvj3z3a+wvBGuGHg4y4QGxw2fNiiqZqF4j/xvjx q4/tANA5yAUe+Tk9XL0OSe3UZokDlYsPEDzo8DM0LDRJ3C4WKMczkMJLDlYaRhq7uryA7dzJXn7n rbUNPYqUW42cNX7ls2IejqXbiDV/ZfPeD9Gtwz7SNleqOdUsOaJDBDo/a4sH207IdHCgNzsEao4T hMIL+bA7IqiEHezVoVHbCKJ8gJBr2m1pLzgX7pUAOi62OyondzAgDc80Szd/xgQY322FtvpE0Lwo gVNrpfRw8LwpJroJbwhe3O+lSkDZJCl6iC2uVXCON++OShVWW5PFCVZHccd6qMJwaReSrAph+0iT hyJbuDK3ziJC8Z9wF8AwF1ivGaoo2HR0J8fd64Ih7MJJXUo0GsrRP6YRkcUbZdNI0pi/9aQwRjE5 XXsVJiOWHWNnvb7yPVPIG9rHQp2TJ70cPnH9ndIM9mH1gy0FXjSFPlFYKIiEA5aLv8JkiXWDWUTg Rv8QQjGB25XEZGAAtDdReCiUe+LHDKx48phXk1DGvhv6cs3MR+5BQqpVUiUJHr33Rx0KpIHXTXte ncgThgOkq8xTx7DWyrdNIzsi83Kt9X1zp5OAVItXxtoGQlUDK7Kb5rrJUtixphFAW64CyRDSQReV VpuBW2+giWnmhXZCVZGGsfsvEV5Rh8bE7E206EDhsGlsBy25S20ocTonW8YptysJf/16wfxTQU2G wvH92qFqVjDc3rSaGhQFCIpleuvZj8bK/LJhQnpQrB62LZQ3uqU6tp+sHHMITY5INgehFc/vrwf/ QbgRIUtxox/OFfF7gYwr5lfeFHntn5J5E1GTl+Y0UUTd+UkX/ombRCSZC1c7Rb4RSF1SAyTMMOwE mUBOpn9DY1ufgg8bZkUUP+5pj7oudadqmjG0OlfVUSWQiJ80pQ8QT25OBxBubIK8BKc4L2Y/2pez QVMKunque8dgxY65l+8Np2HwK4FEAPik9gtQ6jNziOJDxdHiAi+JBTsT0ZjklcJoKuJyIg8tm/JG okDtQyXdlmS5PaggPM+a/mMOLFF4EQI1Bqho1WddrFJk1xqL5hrM9s1iqSVHWAv9zoBuHbEoccZ8 G4feikP4XVlwQTzvfJiWzQMVvGhLcgxyD7u/mg+NhAHO0eP0c9tOY4fHHKn0Ee5c5U0fkRghcYvN wYYaLoRoqoLCw6482F05XNYj1TVOfmMW8SzWre0FqdTNtAV2tqsu2s1UcLeYFwAIAJH39V+NKeYl jgGEN68bw1h0j0m8H6i96cMR0XP5s4FkGLIhob+yvMLGQ7MF5C5jsbS3hqs3YPu6cO1J1wfwpglA YsQowsZbY1xIepBBt704U3+sRwzG+obXkfRJtyN0712ikHropHQoVsWVt5SZ1/1MIDOurXLqsw7O hWk3muS1zSrBwKG+/7IrGUogTcKxw6VK/GJvTHAqRIoWVloKlx+HQgUlR2E/SEyoLz5T2K+pt3Xn WDm6vAgWdbJ1rdSWs9sfF70F25PaaDhOh2pC9f9vUIBv2rW9pPGzBzLwn0NXntiB2DPLHrgwfy4+ QbxPQS0IglDxuzQ6Gcg9nlsgMAv22Fp/PaKLy7tvfebLT/lr4t8r9h2iSvMxULdA48Vsetss15ht 1LQB3wU0gdEtPj/3pUuZ+OcenFKxxxJyyiE1ArFX/ksxdTbfh3FTBxgEZtVZLgsppNoIAtCaQQ5N jFqqpwm/RONfaTBYyt4qo7dplXl6jeuLnw5mzbbXiBrvwaXv4jkomTLaUPrZXgqKHAho7FL/2mGs 3Of45Rbl99Tdp8KMuK8yrE0RMdBtczbdBO8tBjV0tofCzJuQ5hBct4SaceXl4UZb87PiUsOViCL/ eg8+7qF8nSSCEAdJ/jt8u8wqAMYA72u8WjqM7wBoL4ruJy/QinfqT3hgGRk2vRYo5rRStDks4Pb+ G5jzsty+QNMlRDdHus9bolDhrFvrnDT9zVLjAzLk89FgERczHbLIgZxgkTymyz+/m1UHmGrREUOJ cvkwNSDRfMpW7sprI3GeHqfFgRlCVDOz6susqoZFfihvo07QR7drun4BrbCXnGbV5bsX6E0UI02C yf8IJH4kW/hB4/TOMiSd2JYjktNnAOC0fHGcngiTv6ktlpbOZv1s5QqE499Xj5Lca1b+cKIjIsL9 lKrwdkOZWJchtY19fsNVI6KN6dlqy/l/pRC8BJvNApHHO6eSY1PHyjLOqkFRrsDwLCaFdtj9IMy5 qtfnKH/LwjkyctOZJROEZj2c7iEKR4fC5hKlBUk/OPSUF401MHgsZsdB3kc93+gqQxmQyuV+R1Ng KOZU9v8S24P8Ie5dL8I5RG1LbVOaeiuEB7eJL1xbt+tMMKXNzBYXSW4gU6qs2h+MW1rk2Z7DN2n0 eTMEOrcIwwBPz+JjZINgESFfU3N4eMgZsJvugeS6VU8m+RWAoP2IiYN47R45TFxx940UPKrReoDl G2y11P5hYX/6kkaKAEB+YqV01HBobSKaeIB/xC8zhzj0TUOiHseMpuHALO14RVG3qTK/T5EMsSna LdSDuyFpjYs2rJ6e7wA2JztsQaRUVxttYaugsh36XegFNL7jtkPGZyBNCdAjYGC8fLna8lQ2j/GR Al/1QeBmbK/U5Seet9mG/wIgo+ru+dXqiKLIWW5muSzM97A7AacEic0cCvX8K2EkLzaqbk8zXxyk ykhq3VzmmMckYOdLArlGuMyFVRY0zpex/cH8OiTgVwx65KKplbPiDfxBOQj/rfpGNShh54h4S3Fa ZkxeOL7ComSRjO647JPfp8Bu1mpgHTKrYv5BcPOIyg2ou+fPojvWmiqlO1ZfnEfFPy5jypKvVfeL +YpCPBgp9wz5t2Pv5e9e3tG+pzjWbVfSk7tTfy+THRaJVFakBy9/lGzP/QneMPUjk8C/d2saMj1P fxoLqw64AjQInzcQU2lXaOLwSM9rvJMEIWmcN4Je3OL2PGYxmv4ObaVesYjF1GVCkALyOdNh62Rb nBhxMqAA3BWLU5gkymfmXuT9DGyojVxXfR7JkV+PRpCk8RzjAFnEIJ64T85rvB73M5qjpEbp8Qs2 lu4HXODQRvbpsblgg5gngku12+IAAbGcOaW6tO8lc/Lh2YtKvj1KZapk83pEqMYRSSoInMOX9gUY oH8QV8PiTZefq5yz6kmPLfgboz7Oo6P+HgkfyumC1NEo25GzGjMnFiVeNC8Skjayvqo+lgN0Mq2O lH14glEH3BQFdl+WLjGRljGADFycT4Yu3k9ens/z/MMxv07sUJrVxQNBCZyGoBwftBTHWh/nIlIm DzNm4ANR+LNAnvhEPf16/OpkXazOs3Olaew63nwwjH/ULrahG+M1Ent0X+mIywa9SKQAVahv0T2R 5Uno51gjhxHQRpC+zIr407qFTpRQDmcO0och9H/7Gu+WlbB2iGm30GPa/F1jjHPTHCyAtSoqizPY sod3uuKOIxu99jeoYaTY2g9dpRKpepCIBazSoB7iI+C4VRGYqCZJNQUbq8HJBeT0ZPKAL8oFB25t IFx+VfG7Whkt4a4yxNlajAyXIu3JmYLO54Reh3LIBpYwvlFTqS/Qb4hmLAOeHdQa/gFU5P0tHjaM EuWknfr2JpaWt0w1lgBwB0YXFfSV1cm4ue6cA0XYJ5QHXpKuabJ/SbSni5xMVtXKJuBVfitKO8VB FEk54FEXqtzEYvbCzJJ1+cRIXyYF5MdcfEJzIgxQNa5u34o56W0GavzX+mR4PwUOByU5bClpovQD 8E4zaxiUgPlz4JgGEdSW3dErOsJTjyAu13WMLLQ3M2BtXJnmeFQ8+wuPtf3ao6qmWp4AxtqYN6fU FfGNpnsMxGYsX59ArO1v1aGs6CZd3ctL6YsnNzlCWll7G5T+8ItbYAV+YmdL05YiIPjrGtF6U1pv 1DqrX7j7fH8TR3aqHINLAqI1+Sms6UWSwn29MzKPTEQxz+ZxqOTtMrOogWNYaB/o4kJnuhCMSDVo eeOE4To3CC3sSN537UduF7TxNnb3KKhMt3rtRCACQNutIWiks2++J/XqbE+bPys+6oB8tIXL1zYE PyVYgz9fZgcT0/dI2u/bTctlA4tjERQOV+w2Ljui6czo49ITKFcAl6m07AXrbpaiZa+/m6nk9c4s O+D0jxiashoB1yHdaEhMU32aq7gdhmWcDEdVKrrFJi5TLcmJYsUvsORe/f84M8FZTtOLgpZpxvHN ku+4wfZyR6pFr16XLk+OgL3PLKntapNlPHEEYvn4hbmL0g4VpHJiGT6brlasbnTME7uHoRJjPvdN c5FdaM9u/JnOHAdDbVnnPPfkudsAzXqG0zqZHkfB4WGdYxqMWHqroBYgnHG7uTGosDaxw+tqPBDF rbUgPgQpAdrOuhLh7Rgm45N/aQviXh4ASDAop0f3MmFytBceBDi9ucncZY6m1kE5ht1STzIi3UoG 2vn1Hfw8x5a9z05jbkKXfWRf9Hzn0FKiWYLgUUcpNn4LBlVAnUEo/LIFHz4SRuB2jPRQuk9NLdpy E/dE5bfBygE2UfUuedr8dXbLzzPu+0YOPkeNifDK85brwpubJFYQRqfywRB/4p0gK78nx+Nh1b3n 4JJqBgvQ6PnOXqFmH+ftZfalhOGLqYzJRpKwVVPBCcr9mJiHm2xkrVgMuX9iDdQGDVM1G9oGMgdr 8mRjB98G+cG0vzj2CuJDUWa7esslGyvJ6+JhKrO57ED9h7ujTKtvjejSoNz+U6k5olVsWJT/70b1 ebbpdDd2jo97a14eVHBHJs1WrlEDtEJtori5iyDepnIQVzr5BLtzBB/SiCii2FnOeUB3zaxJmJZX GikE2MYKQIAKVPzPQEQTNxfzoVyLiMuUTB6o/rgyIXGBfD+x/Q9gCAhdmrB/bZ8x0768r056Y6xt CUHcQWl2MI/M6P2Hz+Vp2wXCTbVyv2TZVMFVdOK6EBFiwdN39D4kECFkuQv+1tftZrIHdTGPYB1Q +vuE+6mKuTBYKEqOiTxSBrW0yNvOMvAznXT7QimojLjfLbAjOyikbIs1iJ+1YbzTJaUbojrmZoWE bvkZOS8xSdJZX6Osf8Ema3qTfOPcoeL7BdxK59uamrgYsUMz5cRqlyK/5hyKUOO5cSSHdH+Gt7ga OnB8F79m+BhtBwq5dVanWOkDSlSYmkdkKQeyEByvbxa3VRmM4XBrNhoffnUTNp6IHET1ukbq1EEo EMTAIA/UjifQIQu/QPCVz2g6ybd2kbElSJyuEE4a1eXv73tT8ZdfgnjtGldVzB+z2tsdWtHcN2+d Y3ZUC3mMNHgoS3ZQRKKVgq3PwWwOyfhIcHGSVShx18DBbA4blXfEOuRNcL6RxqiOO6ZAqPEIsKgp 9OqFeUqfO/UysuYJlkqFqrDdALzxZQz+4cX7hb+bYRi2j+CEcEqjJn74SLxtmq4RaRNwEvVjhf0f 3voF1h+TpDwbG4boUUvq1W47hG8aw40vtT7o2BuqYALemAuyxB+s99Fz6N3vVmdFH6o7kGuJ2f0I foe5sVTiTQGqw0lZD8T4h5Sv+eiAX8sHms/l+VcaulrNkSWfrlBcBHyBO+QTZLOvGhz1ZMbV1/AI NGoXqnWl6l+3UWLZPz7zcPffd4uP3J84+ZSvXtUaoDuE9sqSBkWAdOza53DmxgVgxxxXhKg1WxJp MTSw9rhpbmX8heq6KXg79+lJUzVtdbQKrH34vO5t2ACVCitRkDA35evtEpM9qwicxxwnqNKUFO0N zWNNj+xQv7RmNBpjWjOfdHF6bEfj26RreGCsnn+2/NS2/QYr7thntyqK9qeJzXWt8UOAe5tbZ+wZ TkC/yaRuw+ds1oK9Gaxdtakgewm1X/SDMayUMVajcZJ9TgKBJSPSwg6Ehjp8h5M0hFA8BT0XLCE1 xVDRLnXQa4aTo/H0ap1buiWaH8IO6sv/RU3d88fwWMlqz7hq/qM8fpOIDvy70JdA5y22IrLYcJol bR1ALFVkvsuQ8dwl2sbNY4UggiLPZYw8K4sgXv18LApiiHWmVvJG1QGQByWXRNsnkm9eKuf5FWrp d3QRLvnfvIWTW5hxm86b8JU3b9HQVTD7Awzy758jLE+IzYO/4Kz3kx0nEB0RAX45ywDMoa0NvkGF 0PKrqTgfOHMLRUa+lMOPTmI8yy+8DZz3WKKNL7q/ueIvjEmdGEZQ/noH70VPTQ+H0zobebM+aWZl eNCsNHxmCcZEZzos5JnMPr1A8dlJ/SIrm879eGXQsEnKSfIEl0LJlFkB4ynkw6PcwctnzjeKGO61 u9pEW96+u1VL5xarM6EFcSG7s1J1iUityijY+h+ZOq3FMRHAeHUkubeVJO4vJa60fj2bwBCijuwy y2zB+qt1b4dl0V7BxA++EbHvAC1ScBl6HtPkpj+NxIp+lnBxs+caC65i362dt0Qu6EAQarKtvuHS EnGg2kmMn/m+CfqZBhwZzJiobqRc3Lq6n8WIDafVCnEpK1OHTUZ95HIxin9GQln6RPm46oElljLi Bj591VCDHMa9LeeUQglDZMDMyjXrGlBpBrMQZXJN9cfQOndb4JKL0othXzs9W2Johg7JLFyQt4+X 0xwSHqQGKvh2eB8pz2tngBimwPxQ38s9aAdQc/n5/L2Yu/DdR4iZ8vtaluTVg1f0MDUZk0XCJiva GW7tZ6gitsSYCJQ3p4eQ3T32ZDraSPsS+droH4lVz6Lwsop9x+zzKygkfokjE28rQrzHfHzZKAtb GiVaGDGSP0O5IHaBdKktvSVZR8zJLLVoLWhZpmIjohWZtwHMOn7pLlM/OGP4ZAZHRfAAdyHw2Hgv 8rGLgxUrBEfiySZ7xvSe7hBc/rsxlrmXMxBrf/FB75Qs3B/jCzvOVrg5AsU80nl0K7gZJ2Gow7mt HVNQbnSm6eHXB0Lr+ADMyvCwmGHmL2yl2Pyj5Vo+DJMUW17m/xqWLGSVJKKQRBJNns+T+u71WCmi mjUQzistxIoVhLaw58Vl3gLtXn9RLRDNX5JTcdNFf0PFfaR1IHjHU80smyTPyamRDtZf8e7CcMol dSR2FRvglmxaKR5Pv1CBrv9JIrI0hLf4LK5Dj6/lVc8qCYM8unjpUuPiIbWU2cS8+sItEAfezJ8R wzseCKmmEOiU7Agn6HE1U5hEZXo7pntr5n/92x1WhfRzC8+8WHnrSrssVmKjtk4VTxMcASFziMYX R8M0sxoJpYZYdldrDYZ9fg7f87wjI9OhJF9KTDDu5Nui+exonIYCAcNY+gUl3qc7ErL6Rce2tvzP vyYY4Gw5WHTG36xD7BOQkiamcpagCgfWO0J1yF85Nwp/ENGnrKtpEqSoUmxH3q7bxTKHQzMuKfMx d+pnYQH+9gW19IfWQCtuTRMuxHZyQkmnhxmsXo9dQUypd8wi9JvlsC/7Z8P/bi8MAmofqT0FFBs7 xagG+EfwFePLuk4gcvJUtPn6NFXpHTXbA3gQtU2IYqarZhEiHSWmq2Cm3vb8MoAtvFuI81TD1pII ypQTMY+mSsOQPEDwrctQ+Dy4b/sTsU6Ul6QxGwVDpgFP/B2JlBFdCC+6hRIZ3M/Adb1XRb0p/v31 lmpVQvP7Xhwi2h11puyUPUwWnWRxwLVyHz5W0q6QaJRlVb8Zqkyui8mXPX90/8TxLjWepupfU1qj PXBBU99JOMOFzz8aOAktwOnyJld7zVZtsQyPX+M0LUcb+WVRMhw1pMPdgAddqsgWvYmDUCnYZe7l lrZwej5YB/oYwwZ9cs8Va74wxF7rRf+VWCWEgOVGFoJrkvf4cxZiVYCHF1OyLmcJf5WRoy/G1dfw Q+P0eleHhS52h+zYh/2ybTeMWMB6CA8TN87mV6lHGbvfgqAjJ0rSwUpdsSwPn+rblHP4ORPHTbcy rLBv4gWEBoIUWhGOvvZ7YA/rBtfS/5PZU+/k3bKEujrA80mmYQLzI+191Kxj4HfgZh3aPH7CqEWO 7Tcd1fq+1cjsu7l4iC46Pp1l0BtekSzn1Bhm+1eLa+NyeaFqyRhzEzhM9lZKhvcnzZIv1F0NsOEU 3NLGlPLFocKGyFuNoDC7b0voby3mwocEkHT4tpf3ASmqIjmbHKW09ilcwIpXqMBOoPFZeY1thMsN Szn9qr+0BhT4SXGwQXQPTI5HO78bFy2H9Rmtei9ploT2qXHH4zTP8f5NgV3fJJlEmGaoJFr3aL1U 53xhqkH3tmFycZ75FjryHMQW+/SyfijXhE95Rn5kbVeXE7pMBM9VbyssQmaCKnlZsEuNIYl38tKq f6q8DU2HfqU7e//vAGLNtQUDQl9WVKuOI6Bl7cdVCBxR50nYY9HfdayfaMasD1zUHRWXhx8bRW6T wDbGX8+9Q7nQzFh1jfM6vRgiww8X3r8w/dGEG9hFk8f1vH2uK3nc0CdRMzFsU8gUycZYHxYcb2Zh RycSxAIwBy4lbB0bXgun39xTLWltsmI/pUxukSZH9oCbKQ5EAcaXMZ+qgRBb2TN1xiECbNKJelBu DIbZZFjt5JZZn4iJ3wPCSGh0xVD1ex/NN8lli3iPtP5Jnnq5Km5+UHi8sXpmkL86y3SxlZ8RBmVN 6ss94FQJgZx/VlSazozZnI32WWs3j+FXDtv2T2fW31PcPbElt5FrfwuS7mB0BWj/0fQi7b3X0Eei m47R6J7iiLmD3KRAb8N/2rHmxaeY0FakgNBKWYLjTRZHvnveActv1gGvWY/1qLZjUGjP750rhEhE 4oH4iesMwm32J0Mh4UPqTtL2MWSB9+BqLcKp4cru30JFcr2562oiJJmxmSYe41HE/HTPyf7dS3YB TrYmgBuPuAAdxnIGPnDjGeNq0t03l5SGdEhel8BQHz3V31u07w2SO3H8oZCkv8XgH/fwuLeV0f0U Q1ZnlXU4p2oH4ujHAIGgiyBq++pr76vh9L4pCsXi6qw5HnWoZNlt46LeNJE2/0u0L4YgzUId6ohn FzDKxQnS1inh67RNFrPTpIz87VwPP7Hwsfn/hZ5t6OBpaswnIvDAadMdDONKafaOnNzEVf5fB6p5 edt4olLfp8U2N1mMFJvCqttT1GGS+XQZN7cftvAsyP5XyClzHT2fGnG15UZ4Fr8SrR37dihrCJok t8oO7MGUXA3zZownFiGfG9ZQIikhv77NIiqRsNk/nsknyn4l8Z+UGL4+dE/pazDqyKEGoq4K9ht3 l6ePPaCMX6dG2iVWf4RZozyphX3a4Kv8VMT09uYOhnPzZgy7qyWSRpViAQWR8NyMR8VLFzEcnFEE wS5tSR86MycZ2/3YqPYrCIf6IeUb4H9bgUL9qWt+WKIDl4oDucih05vzClfF1/GpyW8+ymd0HBAD kSBIYlaHqcKjKPsPIukqEayV1gAUP++Uvh733Y1RmMEe53BcpIYSiNKD3UXSbPbEzq8cf7ICy2N+ 0YWGbcdAB1scNJZNDU0Zfs3V2Vah39hcq28Q65ZYXw8b14QMBGO6VXwLKMAW8glaJhxeu4D9aL/C Jil16TJlDvDsfJPlPyN2QmYLLBF3VcKTHqsx1tLpDNjzGjQxkT/0e741/HpuC+UXZDmN6YFm5hdQ I5bML83rGss3VbK2UDgxTbsOw72Tfo0e5boSlsHYmr1E4qyr8KbieHOBFn7jg6N1NUrDO3zaqdLD TYk0ByGGTn+vyQrT5aHH6IMwRkLLorxFWyo1b1cBJ53HsL9VvF57CPV6KoH0aZAUjkY8WT5EOJ9L Vr66SfoRNXbgDu6aG/WtEQQvzyfHu0K0zbm8k1D8M53jF+iZpkPitW/TJ6Lg0QzrkqnKetXHtHFB BFvukX7mfAEBZyFleGBCcg0ATIW7/nKXlhC4VUZ6I8wa2081rkzhf0SAILX5pibiI2Vu2m9x7Dlu uLRAUHUwJbwwsTMIdKO82UaFgrj9sfodsL3QXaZsJyN/1fb0JNwFgpfBLZ7PQ8ZE+Pg1MLkdNsoO BM5w61GQNwJBFR1ckHLpa302mWvpGNORrQBdN1kptHh0yiPsWKRwEq48mKkCjna0RqV0Kv+cDVcW 9nPeK/rgvvDdfqkbGMzNECcG8/1Gj9NMt7QeezNGvD8RTEUAUZLzSxzP0CeMkNP1D+fV2UVnwpIm VrPa6fvWdm0F/SFWfe2R8SVhP8/+XWJFmyB4uPfU4BoNhEBTzv+/7Yls0XVc+c/UpBVAwNtQqsGt TyqjT5WeU3RsjkCvX/bKeKredSRvLFfcrjXinzdFyEd5hpdn+wKfP9XMV59OcJqj8vyupjGZcN3v Fy10V3a9pees4BVjSaHNxt3k6CpIcjMev9CF3RAeDM4f8VOMDgjq92YKhgxAe3OFGhmRZCac42Lt mehp4SiA8V5JZkETDvuVOb696QHiEkj57jH03BVTjzzjHUZ3eFhkZUsBy1lYHrkm3V31x0+4/4RF SRhH45Y9748moQFTl8AfvrV5eJxf65tKigJTvsYMW5eqIOs6kQdVdcMuEtYsairyJSV13ayM16x4 4QKi77izU8fUJ2+McAPgn1y5cpzrJirjxCZJ4sGLPXlbFlCbRzqxknL+09YhguwLsdV8VAvp+8Ek ctg5oQKKmkX3ELfLovc9pdPQ+Crv48y1n4xAwNT7dAlsAe/qTDWgl9W6Ina55YyPgpkvoJLU+O57 mJPNb5+dx5LpXPveeZMUxQjLuFYT3o2AwscFNjjgk5iZ9ylKJB3OWLm9XqcTjL41SrqHMq9RtNuE jjTYpmYwYTcYulxyHEVLsam4XqXWfQvOg7gvGHEVcAuFq0bC4M6q0H4KnNy7RTl6VHKccE2Ey/xW BWFNLQQj4hQ0li8IrWUwY9CZZrjg1Q6nm9kmw5pKvY0MKbzCiGoWoLWrX9RuEpKPBYmyXNvQNcOz wKJxUESMOQ86GPqpIl3d+X/fSY6POOGpgPOfUdhk4zUGDO49S+i5SL2oJgaoFdvDggmiH0j9KxYH D/w4O+5+Et69Z/CJ/AESinoLwM9kc2JACl4I1uvDYslJ3PVh1tOmhOca8G5XbsFiHlgws6MDmPJx s2tuBKKtx2rv6J5j9Q7IydpSVlVRs1gEcJcOSjmUT0wLulGMbyeQjCdmr1Bo4A+esyLvuuBopSg0 w/NexTyQJG7cq26mP0o0zHbVM8osJgB7l+433o3H81XahnLCNNL+XmfYbdsI+4TAc7upTLHrD6Kn tnlyDaGq8kbrDu1ZRPLP//izVhZ6Wr5ZTOx62SwFup3IeUJOXfllsCERjwQx5eSuwWp9NL8jQTQ/ O92AWG5uK0dbktoespfS3ToSXsKZIZt5PtbeVVcVSWCAIdIVvIb51c6IZaqGwUqkV0jXpDH6eQ5e vNA6/eAE3blbvntKNjfpXOeh8+/WxIGW1YMVX9Sbl1OlpKVblaO6nMD2ap22KGGks9yLo6a5WNev jjmepCrxt9xH8Qet0k1qy73c3DnXfu4fuWxkFs5KtVZgxCmf0h81rmayCDomYG0mdU6XQo9BVqTi 4ZOaFwF/Zj4Y8ZBaS6UNrCfNccQ8bmunZ9NEtG2t10wwIaePxnzrc8B52A3h7QMSBHb4ygxytPGG RabWCWbUctHXlpQ6oU2cqMbXpsHVxaGwT9zF2n9owGPz2BBqw2GZ49ZqHVHYUGRYvDgSHvnwyScx 6vYaFNyDlsAujSYWJa0ju9LIpmyuQLq25S5ctyL+ckCWRjetjI8AhGksb9cifDkwPCo7rhwPrlaq b80Rze419xeh8S2GAW4FTEmnezCAE+P1Zj0oTeIPjxeQLFkr2lZlml93+zwvNTLwbgNxABsAMUJB /88v+NVuE1FqbEx4ELzBEX9fIAvMoKFf56clbJBuO1J3CKtGbJauOqgShLkLtB3yPSbMjvxQjKaf 1KGLeTuyfSGBHkPSE6jcoDukZzAeTp254p0oK4BFRK06luTm2ZKnq+g/4Rroqzi9Ls9eXcbv+VjX g0LsVTbm+XAEWGol7B1BF1EMHi84k5w6iFjzRFbjGyksP+pVJE2FyynJkcDPoElTR4nz7UeqmH9S j5ImSjhfjwhvx/DUS75l76w0wZpIhJLshunazcJwdLJ6FZjBnXsIk4eMm5n4iKBU30ttOHXlgt1l X+yx/OTkNY0jMy5r4T+lZMod2l6BTzeUr/C5gzyTB5PBbB85sevBQcJdNs4Q5tFxfhWlk9eM27ri U+ndt9mIXK7Dks9IOSFtBDJXPzmc+nYEqIkHi/3g/G5aROIh7irlp0UJolxCVYwKRaKnhnC8ZeW8 2rKgMpHKrOuR6vYdzZvovghWWeEdRd8V+TOyIM7B4YkLiD06uieAmWSZyHAF91/ms2b6yF12spNc HtlqCSEM/ZtVBaOlci7cSJ8ApJ8xQ5QPVf3zE40iCbJd5iTXA4kct1gh/8LY+nDFmdxPQkzGXyI7 xKYaGfpYWLwZzQ+a7okpISA2OTHuD56npzeoX4a122scbUmwVw2BaSueLs/rFG3GuwOmLsG63VRu fYllq992ly1xnXZtAKTKPJGq4XJWX78+IZhc4QPrh8wBiRzwCaxNVwuJ3qrMgHxG/9uzvOPHiGiG nIHnH7epnRAyKfOCC+4NGVTOelUYmw6z2d8QuaKCIWpF6NAOTsulj+JvL+TB2jGQqKlgPJsQMPHT suOlSajHYs7+i5ZARQntFT+O+1Cdiwy5XIzCf1g0Xv3ePDYCfl1j+wicWT7cMaRUo1zfXTihV2aU 91WFr9MleKT/coRFsuSNZXwA/hy2GPPw9JSxXKD6F8DpnucekZTXPVbNSynpunN7QVZsHkGlFMbn zP/knscPJK7wbktNvj7U5vBZJENN8cAZ12GdkfJA1ADT0tD2x2ywtZgKGLrU5RHzRcR/rTZNXQV+ JrGWlPf7MMuPBBtg7JnkNaANUJTv041hNSY8/YXxdmuQ7uF/HOSWY/dIiKSmx90TZkPVBwauxdTb 3KZilFqeoBZYOziuMADSGCKQUneTzO+sLe6e3LcT8RPno3CsYpioeBsOCWwz/ZfXzcARpra0u1fb vr6x0vwBt5mEnbVNOh0APin2q36wx/a8FaRAsHELvglGCDsT5ijPwmv0/ml13kykmzMf6nahx/x+ cvGUbT77FyPcMd+qlX3brRDaZPKWxd64TvJ0B3OOXc4UFXwxf2Ko+QMJr2tcOgOvjAkta6wQRIzt CH/YqLeaaHAxl54ef5AGx6TKAeqxMtVJXAfDZvYikdNFls8aD8t8Dca63WPoDktwilQ77tnN2VQL +1H0MfxTvw5xt1WqLWFVV15o5sCnQS6Wh+GbKrQWUO1LQe4QclsrkX17pqG4KTu0bqASn1oPLYq0 XGhmS1NRo9uwHEvY7upQ9g3CpaUcvhpdPe9gYQh4rp0udkPunnXR1Dews7O8aP7+K0+DCEXaj+fR C/moAvEA+YZfpSDEd1VvrKq8Kf/o0mi1ZZ3pW1xrs59Oh+bAjIOPU/HybQ/3dzx1yjGc8TKccZ+Z Lt+/7qe5Ek2NX85O40Xubj5kb/23A8DM3iSOS0umybiXHtgOfmO3mOyHPHSsn0KoukICHxBx8z5S RZ67Q2CtcKjtN65i9Kio37NuXmSLYoFWfU2HwkfHnNSnflgwMYEmXJ4SKDMh2hVsizf1vjriTRZg 5QA0OigRh2MWuSAKJLYNND33jsdh8MqQJOuitDAL13l3JyU9jY2YXcRTiezNHJmC388RnaY9YhXo FgtrPpxvNQaHuNkqRkdkvv3MhxGu/mdIzn/SS62U9qCUv2bq680JYITz0QPc99sudp4oZ0dzJqrN +vl9rtz8dSpE++9PfqFzi8KWaj2GN/ok/mqyCb9/Nw9loBFtszsdH6F/eTwMLpNk0KeLUGNX0qf2 G03ceZg7L3d/3xxxI4qf6P5RxZm3bZ5IGsE6MOlQhLDBBTRS7VqRLRXXuw5j5xMSurif8TUMpAAv IqfBMP7xUyMUUbfWiJby4+mNuGo4gMTuGSFSsCThd6Zzho6Q1ai5mLJOXEQRLTlcjfbxBTA/EYIT QdnBtLfMGuarezf8QUpBnYSGi/LeIRaw8nZTfLg3JIdUeZyUo1xTQhlFJqyvw/jKEK1icu1gneoB uTlx1iKtm0EveGxXoKCaLnfQKuKX/uf4BdOj8/QkPlLSuE8QI0/qily+/rjk010D1hY2qJ9UdSd9 KfBcWZqg8Hw+2XTuh8zG3XNO+huWoUqjACOLD9hf9INrU1kqmktJA6zdHPCCabLMv0u9x765Lpe0 BVWWFmtAFdaj+d7H+hYDkbfffpWEMTzvD0BFqghmkSdfwXTVnicoMnu9gXTkCIoFn5x3ZZ8eCfET 0/6/XJFdbVnGWBoq7d7QM8Ga1WJDB83CNxpWB0thSSyCv7QbAb8Ulg1N51gU2XUEQiKmta6QOrgC VDPEINEI5j60HCXeio5bUzqqqHriaNFNSuS6VgF3k7fUVaV4Hh/FZ9GCVEyrXUqbQx+hBjdRZZVh iqSXHhfcEBuuH40RU76IYicGQpm+sy/SEdMJERu+Pfj+LYNLfYP4Hms067ONufCNxyC1HmdP6FoB /KlatzU7dMiGlEX0JiPKfRqm6GT5Q37hHbo/vTOIlTfUf0lbzg9/9kWKoVaULdZgmS8i4ugNvVQ2 GklmBlBjbkw/aHLs4hzVDf2gquLg6Hh0tLJ567jkN1cULCB2nZHRspNpHvoGTd/3NUlIpEedtaEu biO0RmEQTUQPBeby1n6MCjL7HcUNb6VxfFrXLW3ehQujuB5ITpNWlii37Ic0DqcuBG1mjJcgHsaL SAskzkyW6lePRgu/BuaLPT1tsw1TThPRGp6p71mcHvrRidTLfnc9Biy78Bt5TfGwh8fLaeUb3hT8 2auInHSwiHSDMk+wsTwFBu1ZkA9x7RbTKGEuvCYh4wfJ0xg/NvUK45rDdhYhKKUmJiQ4MZlVt1z8 WyP1A/LpphpRWLVU/vAtTJSGNq0CgAOpd+J7JRp+U7L+nKTgBnCqPomMM4ONT3YpuZnp9uQMUQ+i ej/jNFBRlQIlE7YQc5VmdxCCtHZL50WfrGStYsHhge6+Eu0dY5Z6UcPR5uSOF83mn7Q8wXhfgEQm +qGd+/TFrGTm1zmIpVKhMFgOG34Rhtqk9tBJWzqHsb5CmFtCCxM+O8VnXgdm/vwx0ubvKaO9c4ha Q+lrPdAeRln4B/e1TlRpW+E+XOB3KO6rZ/wjlCJP3CMu/xO+ffpamncCi5ur7nm0s2SYEEu94YJw C7Fsl5uGCfp8ZPuH4kpnYA2mYoU1kMWuVIH5k2I3R08HiN2LH3N+jlrf78VwBleznMp38/S7+cho +iFu9qJYjzPTUxe1LcEKKFDrkmVioTIId7liiuOsuHNfOYAK9qFnCzXLFQDBZcIVh7+aP4zXjLBH bzy4zP84viLyz/gkLVuDTa7OPCnGTCPSOOTz74mIO51RJlFR+eNeRwzlxuznGnb4Y/Nbknu3EWOR qKZhIAXK7g/4tzb4IvsXg36M8bYT26fA1KrRmZ6C5HqaAXb2WYdPAz0QcdUyZAHH4Bye62L6i3zI foCCW5RZZBTrrPEKp94btmzYvgyUHTwqFpebYOvIDh9/L9d9pLWlp3osMMQo+yeyu+v4BAj+g0pT 9H8PkBeD8xpBf2+hpJvwjnTaRhmDKqliVnyfm7Nr+livb+0iAnbwh2/LtKrOGemiDsR9zA8N4xbN 5qir3ZEqeinIeZZ7/34q5nmX89qIwYa37BYVoIeipPYInmfVYo5Ec0vnA7UMLYEDsafmz2PHGam9 f/wzv3CUgNg89vExWlaDi7wqoSFoA5l4YolZ31eqJe04MSsdX1j2pClvWcH2+vCt6dnrna3fp7MJ nk4Qn/X1fsHFOdkit0clfiayzBMAXB3Vrk2JNsYPdZc4uGjmLBg75eyka3+V6RcnQOyzGOMJdvs5 ndhX++Yjq5D1q9ObwiYHs/ngVqdTntn3pKQ1mdCOO/22GHuBvOf0T2Nu9qd2BX+1rYmtMoVqiG0S Tpqj678FORvu1fewnYOEFvywOlfpJv6WGeMLJgDEL6o/0yMrN0Ut/3yUOOkLAdyziaqxNQ5YzE6u 9ZXEVSQGVwBbAtURyhBLPzstxSzKk5YUvlpeid3puaSffhLlfeRWaxZLgfT++/X2nGq8nXZS0Niw LdbREqvUMBWOTZBoTv0AoLUmhVnbn6L6xPO5XSElkkPN//754iBzuE2SUHSU4RsoXKAB0N4hgkEu ikHtBnd5J0DMjK9VlLpSsajKePSdvI0TQMHYeTTqt/XrfaQX4nbqWC/kKdtMts2FBbk0Vb+rjyd3 ioWISuU1gGp4VlFapIYfkkZAFHc4DcbGRtnHSj/iqtcIHu8Jowu4kj+NTkr+szdssuU/YUzLZ6uv edohnBgK6ESotFpL77vQwweli4tKI2MNp0l28y4LW2NPfjx3giAwtmKJ0+qCzayoOCwDM1+7vM1v ik7kkNlDeK7yQ5wBhKh9iPk6W78asmhATOnM0noLE8h3ynHWsQ42t5b/WbAFPD8BKaLPv2OELjXk Z6Mitz0OqXUI0w6N/mwwQgUbm8GnQnW/iuHEwtfaB3l5r9lr7Z4hAj8wpUICO2z3OlnUs7Wbaa4O cQLtwI6f79IdYH1N+U5SiSbX3F7iZwBtGhlAlQp4TgVsbR3qNb3wkvAtybuAG/HXR1+GC7slmMHc mRzDrRkbBcxxJmS8EkDliXNGpCKfLDGvRDbnMw8+qgnwNxtipeUs+7S4Q6rauiegxp5vY1fh/bsx fuoaXRrvE3ERKsabUQjAol9aFqyv9V9G7b6PmYBh6xdBoU1kehlmwgFQKxohaa80dZ/BN1Der5Ec Y9xr0P6tNODxBjEiiHty3Sbmu9Zq6en8f0wDXSeyurWXI1OHU515X2pqvDLyy7En/hjyOG1ETmWC KC7R4OeTqran5xUj/aNpMaykaRwFehqkhJxPawJfbnrgKTKsn0pFaiwgTkb4L6LbAcUIGPjpNrys hd0c6LXV2Wqtd3hWRRNgPq6d3dVWhZfZFkV9zEUlj71L6LOOh1DWVn4f2UC3dgDNWDspT2fFtrak XeIkLThE+Jo72xcJMpwGaXtoAjB25uYrn1VwvxX+4Q5sqFgEEVlSBbVig6zhEtW1w8PiVN1mkouT SI5Ag3e6I9IuawajOypqI8upo7Qjkq7i8B2e0XdWNh5d0J/3dmZS6/togI5LJyshbEhdx6tnclbq XVNOXWp5UqBk4rJBFhXgns3fliCyIQP+3KdScOaWW4hPfgvVqoBscU/vWlpY6+3fv6x+icVURlcS 4Ikg3GLqfebb3VEpq2yH2xLVC1qmu5ka7H6wqVZ6a7NsJMDxIYDz3SRWaDqZDePKXWY68u7bH/hI TRsbsgpTA8do64AxzugdkN0KhKk6CHi4vgg24pfLUTcipSW2bKysMELkSi/ZsW5RqD+1vC7Wm5J7 vYSsvL0uvNjS7qi4/mOrqm7s2Mc13m/xq9Gx3aHPuoM96sHz1boBZlFX7bEltOldteLNyNf6nuLA hrwBYqr33/Pu10MhqAhR5uytSNzzHGD21XCkvOqU2Gzf/wMdNvvin+ksjn+o49Y9wAUNX+SXIHuN GUC/YLfb+tfY3pdHjuTJQpjW6P5K6vbzRNeU5GWGCDUf4Y6Un8tFuRx8uAy95V+na9dMhCnv/AoF tG8Il5hysvzu56YGhGJZgSxhQ4FaRMtyg0orVd0DQPp7AZeAJ91eFC+BQZElYRHxI9RYR1FLjV+b Vwdwxl/MlzMb36q7qaPzcGQ0R1FwEhIdv29z91AkwW3hc350TYD8aK4L4cB9a4PVTjybjUi3YX0S XVX5Cjrmpu+jBJduTBJkz/lKDPPpBJ0VZsjOYJPePoYIhEct9PnOnQ15/yBuGP1ZLhK3EZ+SlkUU EG3wcvuX3jikBCPi+LSH+lT3SVZw4BZzlItUZ7+ff+C1Ls+ZDmo6wOgBq3cU7kQnS4OwwcLfWD9p m0OFy6NJcZ3gZj5bTIVze4cA6TIR6qZvZuzRUOEVW0hXLqrNcuolcnKTqki2vEl0+rgiSBEBFp+m SZMb0vvoToswfpB8To1hscN/+4Kd5rAxxWGpOXFKDinGPDvhI7qWWePFbgBd/x9NQDTCEYH+SKt0 BJQp95YuyP0upFrP+FcBAApFzpeoypjAbnF8q/NZ+asZwiUJfX7vZHoU5vsIlvxruFcFSoY4eU+k BC1SnuQJIkhxXj0SO6dfOsKKAZ+sBK5HK6wpSyRMtzjNexfDoIo8Y6JsjY1IYk8i8il8IsolYIjs uJtNvIN3NTrzaxgty7QQa+IFI88yEqnKJDWKbO1HcEw4rI3ivaipJ2K1M0Aq/4ZqeMT8rQaplMs9 MQbIYU7LA02eTzWVPXlKogKsPrURDX0wxDmTMJH2TlChjmmYLHt2s3GHNxZcC50/cJp3q3msOjGR kTZ2Yk4pt+SRpdH/o57VSpkhytZZv3oBUoCf8rrG+roYLeWm+WLg22TN+u/PIDLAVGL7co8wdCcz 06CtUfFqr74GrXndEhTovuJvKzgws5UMQBb5sXMEbr7sIi1qJzuR8dlzVsB67t2mqa/nVeI0Wo/I nzEjicxGbAPcDq9MNcjXFU5OkiPrkAF89uoQDCP0K3FpJ7iFgnY0um+h3/vtsJk3luHDVmqoLNYv 8BAEfMvkADtsmHPYoD9aAnXhnvlornwqvpqJfnhsXKbA1nTIZgOyv9JSBcrqbPMUoChppFaLXEJ8 xPKHkermb9nC8L1MYTisTwN0RqOqCPuZn0atk7eMOKOa1RAKe0dhJ5QYXQ6Esy1av7Qta/TAp7aZ FC/cy+wJDH+SDTSHULE1CX3fLFFtArFKmXYr9W3blHPh+hDOyRZ4mEn/igd7d0hTcLX95ToneOSg mmJZWJGlS2l9NTJTqhF4Gu4hVb8yvnaRBu0JLs92zmFe/jie9GUAW1CLlBwD8Kg/u51kE7Ivgj9J fRIbuIszN55s2ikmpkxb4pBkgu0rHHe+i1rtzRDiG3RwRxxzpmKf/GpNvSvla9t0sRInsmnoesY8 d8ssEk6JP3qANiok8Pmop92Vmz0BG1/p0h8eQDv4wDvgvyDAJyIHMQcw0mc6DDr7+QCw4787Msdk cRtdaq5oM+94ZgQmY2czRbDGtHQVK2jXOlcge6L9jaI7RN6NirMVF2MI+4pjJNvc684hRuVmM1uz YpBz1nzmFR6I7cxE1ZCTIgIv3+zKvCPA1wpFgdmPEkK3FP/I+9ByY53REZ0uDKhmUTnq7dOtmMD8 CY4f+00mJ5vUwe2NNI+2FAq7B3pJfSPiirLMdXde583A+Dw03/UnkM1mGIneEQX40BNDd0XoHxvK 0SgHliVYppZgLeIawUihK5AtXxG+sOWH3sYWeRNt6bFEuydKE34xvxSIt7Qylfs1igaAKZkrg0qO A8MQnb1teiMUsTrcIV2zaEB73ViqOvMTHfcNRLBTCNMqCG9ov+aVMT1Dw8cBRbsqleYtzi6lXoe8 fZhh+t4/Bv6OrAJNpQ1N3gwPXQekxR4Vm95o2ok5vUWCAYjhr3y9Oa1ZRpscHS+7RqITvcv70h/W BUlwdyyb4rZj/tEPQRY5YcNlKblrFPc4uwL7qfbhj73EivmBFyS9r3BmQzTW/OPEoEXUSLbR3zEI 50qj/aOgqTDfC4g5ZrxJVWugpUCz72mzvU7qwm1dcO2SAdt5jA+zP/w4vIa8IYwAompM1Ee6wVyj yINUVKwYxRe0idK5fF5KY/lw4OMipb1GJsVr1l7+X91cw6C/wEqgEhJEKlB4dyfNjTxSbRyVJO8d WEZSnlOqTSlUPDn5sSWdfgyZYKnp/fQXV6Ag+pIZbKax9jHdh4znde/2s1tYyc4+wlsCkrCHZXz8 +D6reVhtwY/J5/dMQtEKKs6513junljpMWzWf1BWyxQrJve4R7JWUEyeI06HmPvLMdSQWQxbW4Ek TgRvUrkSAflp8WVkg/PfpS0f0+SHaCgCkxVW4ObCreKUaCOHpjLhfhBjO6cA0Fi2kyo6kEhw+4O5 P91FRgoZGr+0iPJInBfypv+A+tTynXm4ZuHj90oU72HwjEK66xicJAgvXgr+LC18huYbBHTmcl7I ACBAZPBmzhho88vdn1CDKVsKPHvhP9BAskz7c7cdjiFavmNtAkdP5pG+TkQEd2dDmpySjnhV5djh TfBRLUALkn+puJt7Z3EJh+I8CbuLRYTzaGKmX+Y9ho9/SS4fneSdvHrR2tPYrWDoig40h04AjAXa be4HR1vRKthW318FOEA//PciEtgrV7ochauZafvF54Ljzv4YXTKe7sArdp+ZKxv8CBUPk7VAMCEr 9uEoTkoRcVF6mI8c5hPI9OExiMhoCbzJoUSOBgKCpOJpKIkWK6eAYvlDCP0yx8kwxGI2APhqwQB4 9WBlMzdNF4WKPiQP1B4zLcKsEQrcNtLuC0jqYnEUbv32Javo4zijSrGkAQc3apsoK7mGiI4IHfSK VUY1IykJcLnLLOcIeBga8yqXPCdTv6mOWwbGUuh6Jvmk9RBOrDDr0Ljx/yC0FjsxGqaXBMTe90xm Q3yjnayoihNmUr6VbISoCAVRkvLwe1SjJ3IDZscRF8ub+iBhR1iAZrbamqtk7IJf2wSz80jPWRvm Tee34hrrz67pKg50P6fbBREv1O/Kgcx6M7zRb4LdP8xWxeiPjGaKASFDNYmaMbydRgRiHPWyIFmY dseie/82JMyLxLaU+22a2Prcwq3R18CmXLT+5wmMlHW4di96E++5QCKeVbUp8xAR2vPW9dHG/7kx 3CEZJb9t4N+1r2etpIrv691H9uIi8JA1nwlNMOhhFu5Iyu3Z79ahzLyTcou75sv/XeOuHzFZkYxa DGspMJTiPNQIE+EkgtW1Tqkpriy2oFth8zLAYuU2bOflVaDPtDroUIro2UGlMJyfjGAEGp2x5S7r PLxSLq+h8cVrdA+l/PkYwbrbU+o6MQXhezP2d9wkX4VEj5+YTlznQ6zU1K0Poc8YjlA4hUMGPcPf rMMu2+FSJj4qWe0cl9Zq82K7IHR+e0CNb1QJC5r+MVf2dG3d0a52pKuq4qs9UCld/pZX0meazJqz OBQfnrnt5uF4HfCt15s7ph2zSBp4v8f4l7zmHbgN/r+z4nRV+7PWVoNIcMObn5CUTcDkRvkJ1wUO yUwdwrqkb8pDQ83HGgfJ/VbOBFkOmsDoicxY0ptyP8KZ22ak9u46/i8nFIFVKyQR+X99cWi8nDyQ dzZcxbIV5KpyMlJZM9JqNAXltCw1Vbcf5iH3ICfToxt8up8S2s6Dc+FSopp15lwCkcf6e73aDReU YpYat8OWSJJ68U6fdqTTW8n4/YwtWMYetv1NRwaRO8xh6nDQQ5jgLAJiRKTPznV84bIGciFzgTQi dAsvSCpwdqEv+zPXrB/lY/8eUvRdj3+SBXaew+fuGw3gOtUBNxNxvGpdXWyGIWOan9OHGVHgjSuY w1FrgLxoQIPWvIpx+0J67vp1COq9brfSdtwDdeALj+sQRqC136R7NJi3YXsu5yv5b3hiU/OJqwiZ +Pe8p/tnjg/klHAjNAnWcJ8puCGbU8eUu7NgyCybQvAIkQoLGPxMiLygnzZ9S5V84CPgPpm0EKQT soeclTSkxTnV+RI+oJsbRq0mcaoH9GQNpeiwYQbIAzidbWvLZkLyPDniursZomko+xejGf1KoWYN EoJUnrLcSecq5WQTYcB+XwuWrHM3qVSdDH8BupfsU4FZp90l68KfMmA104GW43jIiOW8Kf9OF577 1JmzUJzRIkwMCQykOzprQRLlURqmjkywTyOM15QQMmDaM+ki/rz3Mjtf8n5dT+8EuhqVt5n9cg7j UfmnIH2StsKNK1ibVRbOaMVRR0BnvJWa5+LHU7hiSrScSTirc9jRsQetmgme9saeuD1HdlcaJSPn sB89lqvqS4OOnq36DY2n7UoFlSTa5WT2ZX0eeWNGdLw8ivn1oeQmDX8RQ/faYFRuZhAeeW/3vWy5 4ak8gzbjmv/hpjjjasduLejLJDZlOvGO4XWAmy5Q/C/i0fa6dvta0nBAR6x8R3Eoh1qveha5ydEZ ZitV11XLgQaQVvVICovQJDZzIGXlQoNUcWufPxvhvztuxTm5cNoSQC2+jSFc61LI4+GsPVAveyMR lb6XmFsEeuK71PzzsELHuz7iP8ERFCmEjUiOKr6ZZTnaXDuXbkxQexYbIFCVltka5VxHLiyKCAEo nX4/DnSRsBnQqKTkprci94AGqwO4gXklV6VwVs5LRy1+UVOKQaDRW6OkGIl6c3iVMdle3nXliSv7 ThgRDJq+sqvsTX/LodMADKgBaSrM/hWLQ+7vty97sxkHb5xxqriCkF5qUKRnBYRtt0CiRbIrJiX+ OHTnZ0sBUNpE04UW62YojDVURBuVCUZr8Cm+wLowWM5B2d/i/VmA0ZhA/P33T2C9RIcFeUG3QEYO 2k+oNrmWfXH3vscrmuKHZoDettxkdCsM3WvAiNRRBcpWMTpN5ZLgS6SazGwoaQHk/jzW5GuEbZ7P mkU3JcIFHnDksR46xmWA/uClBFwEBkuIbkeFt7llgSIIRZp1q2Nwj7EG5v/NYzOfVZXKJXu6/xRS COSOjvQe2DrDGDhQBou8+bUP7BdpsVXp93EDQFXmOO4AEsfxX+JsWTEvIR5c3jXocBze1KgcPQnn eNoLHatIIa5RuABo0EJiAq5cTqiHZnxZYljcGyMAZGuXzXP+/tcP2ypCUYvGRoj2SfQA+/sQA0I8 ceqmIxUl6khohDif+ZlA4Z3Hvg8JmpnDbn/WDSWtuE8UkLuDT+TWObOA3gVaXkllpYBhWT7LBHQi sKKrF6cUSszZWbtymBYzt9C48gVMYJ4XFw+EWYnQRZl6KwMOHuVj+3HbNY+8LmUfg8q2bG4ShAQ2 57Yt4XFl/VdOsCWvvbpoExesim/UYqpWXbxTd0lcFn9tAqphQir+Uq/4Ry2lb2+ejY0gdhFXuL3T sCjs2MlhYv6VTByJVmu6PwFECq+p7IymppgSHltBSl1/0toJfYzjT3JEJvB+AfhpDKKgWVDj3lN1 N/neLKLWsjJj45nMlI8nu3oADNLnV/hSTfI7ZwpmbfYXiGjcdSHsAlXpUlxZRlUffmoAzqmPOHJW KbZjW1wzsARvkdo/4ujMZxZKAQwo9LIwRz/5QUau31jNQ119W3dD51b1dJbslwImSeqXRXQSYuq8 MZs3syOA18Cpi2H9rUDmX20uUc5HFQBnlS/WJRi+fMznUbtoZjk9VG15sWMjV9JIh3cyGZuJxNx/ 0F168ObbXm6tV8zx4SUkQGEP32Ej2MNvHjsEVmwk7Og4WkgXQzd81gDwb4uED0/2w69zKaFti96a W7BgwlAh8SH6CjQj/KLoX02rZDLaJsVGQMnz/GzvUvf/8WT1TFUMM0GYkbpadROZNwq/vyb6uLnz FpjJ2kTA2gz++pqbvoZ6WctP83CxBPqAttzdjM8uKPmC2xOXyTpPe59ZFGlVdpyMEDaq/JtyIjR3 YduoaxDuri5xEzAoloDm/Wwb5kx/WHIdn0HRfWRCv+oxGPim+uY/i9vSxpkpl2xiYfxq7FGOJzNG /G2kkxP8NQhDTv2lLyeTzU9ZZB1CRt5YJ3yHbYVsqx0xMyRTqltj0kSuTOHQu3+sZ7zmHpl4y+Qy dg5k5EvduH66+ZLLBo4vSwwiDs1eqV1KcAXVX4a7pSvUlMpLY23t/SmK+wlir1DP2jrd5c+VMVMW tpZn97po9ADRNRhu2FZzJZ/eSKjeoJFDZyTTOTLdUCjYiySI3Re3N2FVUp1LF58VBZrtGcmDZKkR 3xcopsramvVnq6bIox4qD/pWHzPPdikAn/QgLVdlOlgaWRsOAHKln0HJy4y/qIhrHQSlD5DvOhj5 whW6nHEGss+lhbTiLJKOrFiEEHlsJq9xjRAykw4NauRggeEL73XFJz5uJYQw9aS/41615qOYsYFo cYLlSvy/Ra8WN7tFTbPaAda3f85x7tsS6e/w5EklRnxIGHRhfyUZ0Ac2ceDeDZZXVr4+Dkii8gW9 lU8F5VUc596ha9aqZZMAINtYJXFLvcgBnb8ZHPzuvRrwJSc/5SpA8IRYfuCLJHsNvAg+0mbHDS5c HbDPhQ6ZtJyQJiPF1pve8QBqU/rDw8uBh77yUREzo5MZb23GJgngFjzKHHhpf4T5j2774zk+XEFU mTQDvxIotYgy1oQea8QvAt0PKUfeaJsFNhZSEx3UksA5ToydVEiBbYNZzd6zkJlng5PLfZnCM74p kM9jkrAgnkU+i+jDfQ9bQXZ+RpuSahYGo0tBbRV4DGSyeYPP+vziobez8cQ2JMHGBL2/0+IWBzTr 7uOL0jdmzOojXxG+dpCKQ3K98MleU4ZdIeKBtLQPT0fbEqgh3bOYaZxEyHkYiA5R1iqg8XjSXMTN pB56J+q5DWY3PCE6VBL4XRzv9M131ZJBIZRDQ84KJSaSM+XBrCVU3JjFu0iqI/0iyNJLmDAuqaXS F8S0cmuS/pMlcHbH/b1pWyE6tUo4h5FmA3XIz4Z6Bq8SAzcOtOWxQzBlZElGqiI/OftDfOOjhkmo ysE2MOabx5Rom0vjus9hKRzXXEmkOkSoTNpei9qjUEwLXDbLUOpdJcX4YDGQdyvKSL65twPszcU6 QBht8WhMenkG9zk58xbUzaln4wmTGDouOAu+VWSoQOQNRqrVU6Tm43rieQMiEWaa0wV+bsgv6g/l FGZALcfiGcZyaS7HXEZWwlNje9V0W6WdCyZyiw9bIIF3fzEH4ROWp6RN0tln71Pmbw2LlCUMurhA MZRZKpxaRJgcZKLWwrLzfc2ojVz7CJG+0jK35M1wPxmj6ZyqIYxMtn+9+hoh7LyJEUbYqsbT5RF/ i45dS9xi27JMZPUh8UgzE5/6gt94YO43EhmiPIOdtPlHslkN3P/ZERNmPh9JkhoNDbof6yg6GZKL 3pZBJXynAA3rcbotyIal7zmy+amdXy58K3jZJ7qtw/cWu0OTsJSc/q/UNorAdoDmvZuSPdV5yLvV TYQmQ9dQzCNTzhrm1PARxEEbI7QYDTKpBDsExLEFFTN7vI2SYjVGYtQ1+BHsHxmCsYfKk+Q0NuNZ OKOKJAO13VWt+kown35KoKycM+U/qgYA9WaiMDuRXnZJosZTwm8ThtcgpYq9/w++rE6YuxrdR+lX fuGEJmUBEz3nnSbnjyM7blS1wShAmyla0jzuuxL4fvABxauo637lKKG54QNztwzEveX8nLDbCsCj uW/8Rhisf1fL3Qc+u3qzJoNOw9vzXGVz85oPyraHvJrPzTMr3xcJ/kWDImc7Qg77c+0anDNvnTKu 1Hcexdlre3gncEY7cwUQEAhdtAL3+yo+CbOtSu1bYC/igcjmkEyEkjPCeJFcb0XARYee9/q1knex qppVCy197+pWg0v+VrY25oPDhXYfyXSGUkuZqKKcYc8OjRB/CD+vYpspj8ogq7k8moqwqvk43irP 9d8NvOX87gUxVGO4u7VKFEYiIPjnWeV/UaTWXhC1eNxb6G6Wkf5LJed/llGfomxki5q1qdxBIekN maGEb048M7m0iBuTzmjkDXvfZfz6E58RYlC8ay4zVFUmHgtVhhWoYD1edMeHOTFRmfjIJBtZgFVT VJNGUt0BUNQZ53dnv4XFUYQodFibc6inYL9r96wdcg5YQVJ7rKV6/LB/192wNgsBF7S49mMCISfO 7Wv+bXe5EyhiCberaWLidBjBTwlhei4NIhWnQQksCbvU3VtxhUL8LhEJuILw//j6/FM12Z6ydf2I jNNCEwstgHTJe39YZLuV5cpPhwgBjj+ovkFmVVIKmtIxpVViE++IBQXKba02cVqECllzfL1MN309 gzjEK/oLC3YW84GIDybUQ3Z/ScSb5HvR20M0D9R6bVO/3YK75825oN3zBvQDp7IWz7BKMHlbu0aC GfyLuC9axZ1QhH120lIdHsz6so+7pcs/BB09inRSwb0P2dHSxOkJ13EVq1IG5eGS6V8azGRwocyR Z0XlOasVeST70znnJ7CzqEZoJivotp1pW8AOqVlpOrqq0UoQ9Uk0dk3RX+y89jRVQ+ag9xwWDbu3 esjj38wkg52s56vGZ/iUdly3SoZAc6O8jHg/Eh7Ib7mmCoSov6aER8Z2604seX5GBx4ne+UePRAo glwBezUQF2GqCub5Nb+Pn6Xj8P8fbIGLKDC3iTdE+26y+/yNdri1CTkQF5E05z2al3v1gRp1kKNz fW7TOcdHO9YGPYXN3nWIqcU+CgL59hGslblyRO+tV1naoS//jJHn3S/8r4K48fTGroa2FI+pvSLu fyRRb/IoWm6KH/+H2kfrPEuDNT4Fk/wXsrABqdAatqa5ilC+DSFBM3LzLqeCR2WyoBN5ZIQxC+SI gT+1AxdGzpRVjjKR0k45id1IV67L6B7jVhFLFBtfEASqbvBpOCKFbndjz+O47RcTfE8V/5SuZSJo 0HS7ua3goRJs4uUm4KSbcwR8AbMgbHtjoGFkRP2tOVpdslavjojH8RCMRu0Bet7fUc0vCTRrKUYX Crsm3BXBio2nhreuUlhCWvQDfisVKxlcpAjAoY3dL2sbLrAPenLYR+w/178CCxWSYzzYZl4k+MP/ S/W5TycTm6lJycv+tbO/SBfrEIEucgU09/B5MNPo6bjGVyHdr5LWcSdx4utarY0YPzAVAFo62sTy DFW4DVrLh/iKkzcuH2iaUM9tcAmfH4WamY9rMkANBgR6O9L8UKCELZvoiOzk+inTwR0sj3IXBUh4 R9cZCFpf+n/uwNPk+MWW5+dr5uFUsvn1sciiWjPCBGW1XDAuwgG+soY53o/AaLEhMPrMfu5N5hX4 ZsNI0TOGIlEbwvS0BO78p0gksUQyE9vdAP/UtYz0s1y+o1u5TNk6xE2g8uWiAziutfx2NnsDuC2v xKwhSID0mYA0hMDoDkqNHTZ5N58b8eJLsYBz4u76QBkKw9SHQ3fQQF8uoOTnykOxxMXwfcdtP6di ewR7/kHq+cXeGnd4zTP3raZa4xhrwRYaXNfcVkKARrRnefinSG4v6hlbLFrUTTPlpZOLcNLQTluh vIJOCvdYvNJDUlu7c5uNvnyq5B067dbZgypgSOv/TzerJu0BPpshiIJONrrfKWPYjdkjNVTPvWEc z5R1UAA5goVh5NM40pMEx1iBCfJ8lbFXvSScGEqUTsiiCPdJOHfRXtu29v3i9LKOyWu5Itt298vA 0lvwinjrEc6i1QtEegjJkfaCMeP1aUyflxpXpTjGre6wftQ4ehwZ50Zbm/0dpOIQWHeGzrgDuIJj +EYBuYl2V3zcEe9QuKF8tF0XxPkEFWfc2J8+n/YYTx/1wYb4hgd/7d7hWPtLKKG2ySkj+CS9WvvJ CE7S5KpyN5k2C2GwJIXKs1ujA/XLidWnKFZqBCkwBaxEFTn3FI2EFqXFkETC8UkjmQ5zZmpaT5YF lnOPljQbhiqvCIx9aer05MUkVO+hpxGM/9Gau3YZhUs7PSuu5z5P9+2+4SRNL9/GPGfq9ARwdjU6 DEZ6m1L1P7QbvH7004lfhuv3iEDhZ72K7tgfYMVTre1iCL7/o6Gk7f4h+9/Cqy/8gF2dIsl1BdcA V+S1KSxFlF/uWCuBH5nPsqGc7ohm4GgIMUBBAgWXBGFyd9jueQfXcWraXtfzyQnf4P9lZKtFT/oO YkZU3d1drUwlQXyJqFn8BTfqPa0Pc6v2kI1SGrSQC83y4nfm7PvzEKn1nHM1x7awUQ+qJafPPnae q7FZeIYxJuIPKmW9LbYLr0mlbbpPvK7Vctcxdk4zgfTcLhVYyK38nJpJqWL2L1g7Ayrjdzzb5/4Y FY609YF+A31n/HtiTkFN89MbTx26b2/HxlOIQnaTgtIaRX+nAUyEnGabZ7t4/VEE3a0/xX9YvltA z+gFPX7ma0GTW+CkEaY3IUL6k7e7aNNLFgrY7/tR06BXlF3uOPNC2XRrPhF0t2FCNWdqlNrYsUzI ZnKqGL98pWjAgmRLWnJh0MK7YJ0VPyoda2Ewp3vUV7gnbOdmkQ8we7oFAUuAjZMyrNPOLZdKC5jw 4Qo+3tOJ+peUQWDxPV1MtXQ9IlJaZDRr0vKZzTg/c3gWj/OxqL3EoG+FUrwTIF3abBziCRoXxiYY n2x4nAcRdwGg2AFjVbmm5keNYLOTNEWvOf8ut/TO3dJYnLpwCMDsUCtxp87pss1OPiAW9ByaPAkh iVQJ6ss+uGF0LkJIF6Oii/QeMUFcWxvbn55/Tscb0wgKkpSLgIoA8jP9qiBT/Rd7Txpf6I6yZkwY zDJ4DoQ1K3Vh9G+twt7yBhUF/eC7h6rG7HjW0qW1zUZ+tV66roDrcuDRBU26fsciK03CmNVE9OKo hoyiodGUzZPKOctqDKJRdPL7dQRy1EWVbRKm5dW5KI8uS8p2aEG9KZld0dRdNaamnNqoFBWq43Go nDKa8CNFOC7RddYLiKBAJOJ/SGujb8MD+TDi2fGdvy2PSdhgn8BzTBPTSJT4hRXhMboplk8w7jS/ iFyrGCh3ISmlI5jvKSUFw7jLgvZNB0KZlPE+cC61XFp1kiPfggJ3o0DcC0ssOz4z7wGaZCuruKcZ 4ac4VfJ3BI/uf4dvENumAWdyEWr35D0tDiZ7A+PFiAt6lg2HysaE4Og/SdQhq3RH+FtRO4rnOnZd 2NIgNiPOpO1U3M6ZuPR1ohxBuGK6v46B270zgRdul78JpTozm1Z4QsdVboimWhqHSRveqMvM7u0S VilIp+ZaB91IJ88FHz7r/4mn8pxzqS5l0NyTkeVnFEKuV+mkpVUboNNSdQjLYbo7AQp2tpoD9fkF EtU4FZO0m+AHRB4Op5Tksqb3LtNTiaXTxnfDeo+qdnlSJVL9d1mOC9JaSKRSmadtnnsllGKuOIrv QZMdrVwm9TQpRN/OLS5KhHCAHhHLK/wOAddIMg1ptPSnnKsPfRMRQYo/9hHpRp18BXWSFrJL2dFf tGzvOesHZAwzHrbfFmUwqNnSVPsn7Mp8lTvhyIqI1i/HLlQLa7ZTGzAa/TUuc/ColX6/W9F5cDoN BPOeRUk9EVmMbJqX8ZI1cwItxDzEfBKXVEByeKzpPxHP77gFSjrHDQSjnLDeGP5gYNe1gL85BEwZ eB1x7Q0CC9rY3p9WFZFWYQBfv7WI6TVa/+Q7souYOZvOvAQp0UxdrSYPEBsYqjrFnInbRkrfeglQ D+PyViXzQSv9D2G3TzKxpko+Sc7D9VnRMh2/RChOyW4CqWG2AaU5ogoJoyew/aLxsDNwZN5vkgx3 Cuxiv1ItRWfr/D61zejXRl8xZ44+MF59HZBJF6GhHWR0sLVCzoQblllWLADockrwxP2U+7vLCaDa ynwUK2HKqXL3cZ40UMl4kG97YBfdaqIxMUcUOU8jTw3qNw1gqn1hwmhTC7RJ1ybMbBJuVeim1RaP 24iId6zFsJOTJpQQ3BrE9L1f3/rUVsXABRP0a5ZdX16fIdY3Q6OAowhC/L5WEiN4eDGYO5O4BLen SZ7/1XjTeMWOIn0vxv9BU3sLksAXJUShRWtiA7YPvR5i/g45iBNTpXT7Q6YN1QIVOcaIBuRtIQI2 q27QsTQO+22lrzWzmqE3ubsMLwi73NWggqWO55/9sv89i33u8059hpfpZRl31/4PF7stIV/y5iOQ BiY/ugwBicNKq+00GizwIKQtu0QYuWxkumpaoFfI3Q4tOIBW28Ipmh8g8cM1nCo016OqBhSh4sA2 2UFo7YfbcpbULapJ/YVIKgzfjE+sEdEu1b2CYEoPfuTcvna3axhBZJFGyA9tbhu/8gLyxZ+TvLeR jK8Ycx/KIgxMTFM8Nw52DZBB4p/8dT18ezHbXDJPxrhy2lgEpUILZN5wsYOqt5adLVriS8zJ8Soi SqUvJSFl1Cf6NWKMxheGzybB/IXI+EYj9mPPR6RRryLz9YWD2ihochSnvbTyLjD8TevMoBHBOpi3 O0k4T6ksOxdrYVcf3/ySWmgV7ugzmB5ohnUF4y8w/iXDHMsEDhYdFAVbJSu+3caKHht8DMWkTddF V3JuCt++fvv5ru0soHIbnIN/bMHGU1raFiEweqT6iuKVQ7RfAYalwdhifRg2zIFFKN1yN9071EDv GS9/90L0AiLfO0yjyzwKKb/plFcoeOeluTC5Rm6nGgnzbUoXeZKJ6LWfxP/AfWRXHVgUnZMbNIec Qoc1BMNhVqkYNSLoFUMwlu0F3SmA6DldBVrWRGbl0QjbATADvAGZMY3rsu+10Tx2lqO4q+7R2vn8 OBTf7EmD9JU8nsPUFQj+DDjk5zGg8r4eaZxgrocq494QF1zZFgFywC5cETtkcRG2Kh0297EUQDyH aTOXqoV0TmNq5e6pIxYJVaWc/Ai92Lzb67HNEofbvcrCD5c+liV348XneMr+9TVY38kjY9Sgknim yJ1tlZbvzhg3kFUomN9PXyj0X2BFlsAX3BI+GjykVNm+tfrJA4/rbL9juWf8NXzXB/qM2C9N9d/S /sSxQDHBZyBxgu2c2SADdSMr0l3UG6lgIatBvDkQE9bO9moreczpb57ZYBCqtN6BmoQz2LZFoX1k h3QJKJpjCs1AoYatrGmKFknlEjBazMbgtzlMjAHzCV2viCnz2UPs1EpFxWuwP0vBlq98vPMqWc6V mxL+8XCYS18NPspq12d1p7v2b8axHgU7NQYOT5hAc9uqcmEYmJRHWjYpB7FUN8+XAyNC/oL7+ajk d7EJ1hZrl4+H4AUNr/UJ13sRGZN2qwjV3Pvdn/vuWSZIbE5P9EWfVfNv28kacoX1rBZyRrv0ge3Z bE+FyFXzZV7o+Ezh4mI9rzkNySsYMgUw2eTaT5XxurTsK7SB1AF1CaMO27NR9iCEn29Xcg5nwZKW C6f7NsST5Tw60Np/AOS/L8iHD2z9kPjgD7GFDwE79BaKxUhFZ2XrWFChzsnH5faod0kUfer3YYHs WlYNswQVa/wBPNy22HyimVY+qYGT2araZUN8de5ocappHcAE9O2BQ2onTjHW3rG2z+0lh+CHdn78 Egln3mhbJQmvxNnpcJmvYnuI17wr30E95OfOpo2hKBmsOIlv5gxW7ConIuHp1LYQSeEDlBhUZocU RCCtEfLnOtDVmBC/410IjAFz7qSW5F9AxlhMdcBkjFiSwKtyOS9qNvK9K+lllwOtMHR08xo75KJy j03gvQlo4ka2xGU9jMb6CNwpSjJpHehphF3IuC/BECOQPmtJQVQxSEP3xMp7E+rL1Ij56pdAf3IK QL4OdRwzmLu9YakwWIwAeXw9yOBBCyeOSWxIniVo/xhDLmrzIdQIXjV1KVy+1YFynyGhePICs1Mz 6cVuJZedSDgagB/nbJ8GBR6VAnzVRlLpIdoVA4pt3Iz1K1pv1RAC2UrSa/xAfVxZsC82TgisT/wr GjswVsDMBiff1oshFzfZoUhXwHXX8QPawnMqCFPNr3h236SeKsymdUwB1o6e/EZaLf8L28Fa1vqy ZAkKmkD3EZFHApwdydc+u0x//hP2dosDpbylUbFcAAUEMx4OLt6rJ9pWLBxz0E2kIyKta5CXsF8g Pc6ihYPP748BYZ/wOE6MNJREp03wUIbd+hlfZCn0zXGaxdh6rsnzZBMvGHvTU412wqZ5ffG9HrLD ya7Em0s/PEN7R/V6ULecZcBKcMmmEuPZ4w2BmiRLhDA44Wn/yWVCWtnKFZDDPRHhhmVXIpmWDPGc ECBdSayacX4eVDcxvd2AdoHTh00tGJM+GTlV/FCQHUUQxjWxjIBpqhyZ0RDP+ybiIxduo6A4FjSJ vfhMkuL11sdBSwKc3AWN53SM9n9mpyoaA68ddkyS3po8J376UgeGQ9oGXIdcOktdqr/r0kDdpMTe RJYMSaAk2arTDfDNPtPbyCmh8q1DK5no0XyCttptnLmX5LSJjnQmvYm9zOn8XAAF6VdOFxSVGP6k TkRymJpbGRVWXiJSyIjZlYkHGCEAOmZCqxGTPlTWdqYA6yhOI9GUH0hjLN2f6vAuajkOSVddu5PD gKrpLyrdLYz1G/IM1M0I1QTC1PRLxBDGSTzVlTPS14r9wofPV4sNxjhUd19B9yk2S0KgQ+0vTfFB New0EUZb0jpu/xt/BgeztocDjRQgc6+nTTyj+LeFoTVkrxiheBqGkf16zi6AiyoyZzYl9iowj075 xFBmR4KNljvQxRTyqvC7GMjzPxjo4O++XSPdwbzwz5vRSUS+SIlSMEwS+iTH23a/4/douPj/7fsw 3qpayCU2pbk6pleGtwTw8QXFxw5tDZqNh5K2vPDRnoongKFPWjbNJgyQ0C9Ee79fmVEmGEISAPEE bvcnAFXu0XWW/kebHa2dCSTL3t+ga6q2jWRDGOF1NAaX+ZCtSANKt8k9bcEGVzqGKuLP56anSLec 8VUjVtao0GjGi8/3f4zFTzTIDb+U+65WnM2zb3OZKe8vAe0IJpmh2iczkIctra+qCDhEizk0R9Ja uSoaVRVTvMRHZKvGpU5XFfzMBs98x+2LkeLQhiq1iukoEov8GQhaf3hMPS8N/rOqWgqn2QKk+e2J 0+x88r7gMtaol72/j8b7LiXNCpl+yMRxKPjMgixG/7Wr0L0aJAewPCEj3hV6hWQ+uBsGhhG/ZVIG /8b37nDwUV/SxAS2PLVbQ62q8lDvgmF7dHxBMmknG3K/jGda+GXk6m79QPckenPR+O7bHhGmZwnz xk22vRqKxjkHhxIKkzl+lYaMPlFgcLBcujZEjFxAHIA/cG+zY+EYf+AjpDMXgrSiLzDT9vPrxbCJ ZnjGfoqwo73n8DTpUw5i6Lkrr9ln/mMvijuq09hpV1qyck3UFeDZmRXt0YoO6z/GmJI/JJ0t4En5 0fr34Z1n8E8lfVuQbuTPoFx5dEACQd18tI1jybqru/nUSPPpzi/W5+/orQbFig+tJpiF8xpdhdJA 2czVQrHGdEJQs6b0GNfQHZgpO5Bvt6NxgkNXlUhQa0WQvFi4s9rS13oRNIC8PfICdNU7VmUirwS6 wp2CGAkEaialOz/tAyseE5Sv/A/7WDolgI0E2Pfnxr1T9wlajPELtrKePnt/r//rF4ydmPRFWdY4 0Yec3EzZ4joKmtftcMJ0F98suEW8ak6pfk5VMeXzOp5xE1OzwX3J+qnRcDkFcHywewHd+TgMt/02 nofh+VhhT+veQXRsjL6LE/1RnjrxKA2z4OR/htKHwC8i5mitFr928r8DVMRdvFzp0gC/IJs9E6+c fr2maH9aAqaVf44M97ZWNfttq1ZzNoFapEXsylN9JLqnwGuAGSC8GzEyixep0noWV6/oQ0HtNGGm KHvi6IrA8VZmesm6L2ubRcyjqjE+LxnbckdkBcIeV4GM1ID4U8iKXaXqOT1tbR7qTmjRtBekGkah pnW4p/tSoGv92QVcBTBg5I0p0fN+GD6xAUZ0Zn7E1aTJzV2BDpvhnuoy52Mmyzpryys30sS1LLZq T3FVtuwbX4lXVC3rztH/eVfz5omhvLPuMXssMfxbDcZ8KFUrz9fFgH5+PsOgwan7DzkOulEZG0FM I5H9ByTEM5AR3N8vO39h7KoG+3wlAPTrXxBcnHHkEzBSBhFSRcPEbPrkGFn2PV5C+lPSzdUwhFJg HriUYmMe5TmKhwTO2rn0zvKNmG2Sc4e9SWFh8kuupnMyn1ijHuGFKWS1GTIWWkvWxXdpngAjdMVF Cyz6J6S1Ief6TYhABVfXVbkjN1viXfq6obnV4ZzliMAigIXURUalkLmEgLE4q7F0Gf1WcT/aa7Lm C3POlRvYR1vMtty4cqxC3V7+76Ks/OGFRGfMTs93wLQNQMT5COgIiqgeOcmwEHexN2MeSxM3o8sM VYHcF6d2SdOzaD7KogbI0HJWzDoQicOUpENfs6D/7Ghhg7Gch6Vtov6Y+qO7bzxIIAAC7HT5rM8G Vr3ltsgKO8AvS3xA/F+AQXxKe9V+iidFQtmp7UMWUgzdsrXEdVyyjjcUBtCYwH+4MW70FPK9ID28 HE8tXvTbWKt1VfymqefBPd9Ec4GgLktMLSbDNqsyvj0cmeJaDhqBz9ciaMyYzi9I62XhvfHgsZsO bnxC+NWdfTpYsWZOkhO2kYrrJwuW693tRUUpvBnVC6vGH3ZbgiSgt0D3m0LxjB791ENQeIIH6vg9 5TXo5+3Wl9gsrn8S7DC+1T/zZruMjFmQG/Uc7d8hcuY5LibwBO8DvQUCd1nax1yG9ZYLZAF7cI1v NYi7o+Oyy31I8QfeP0nGqSTx98JwaQQVT9fy2UWQi8xNEsmD2MhFdPVBdZICE85++3zbe+B/Cnf4 aM8MQpBIYyMswG6gUsBlAAip/UPJcRmJPZomeT41398n/WYGq1B53ET5uwy6V2SzwPJGtOw7uEt/ OQn8mnT9dtnNcK8/Rn5tUQpHbkcirUCPfR5zoT4W1ZiR2XqnZAlAkL/dEl400pRGpFypPqoFI2YX Lf0r72rqCZyDgTMhwgPhXdpJRXoWMn8EzzB3iO8wpakvejXAJthssl15K9qzzSmstUOMyxq0Il7Y fdN0H7mz2j88ZOb59tsUMQkRb91IF42KSZQrO4rMVn6zF42ORExhSU68+spmLoa4DLKhSF06JBhZ Y8zJ5RrBSVESQNLKlPXi3Uwy3Ecfqv4tQOagB/S3OYz4MzFxg61OYbejucsjNFj77hRQ4vY5BDDS JMwUqp8q92QWnHNs44EsCAnvwOfkmokOOiMA2ZdhX6W2PBYlv2GxRZTmawujfRb08WbpAYn1tvsK zufttKVXXl+p4Xb95W89i07459MuBu9T/aEkpk0Zl3k5QaMxB+pigDmQ/8Is2qsTxVN8SoZUI0Ou ZzIF6jnHUrTc0uifTEIQmRgFvvmuWbeXuITY2zIsd0UrHnC+soQbZ6+QAGonFq43SwB9+quVfOVb OOd91/VLDHsKckd+lOheuuEjKk2N+nh8rVBsvtl+f2jlR8VUG35DVbKnowQAWGTbRPJB8qmct+O5 /9/zeXJX5XtIoq1ArVZ0niCd9m3JUECtfXIohHN2NOdGvzNSPFeA6levh2dCZ2iPDDH7+kaW5AF1 V+rWFx4xn7jw69LeRym8ZK6+K3JsrFurVFq81ZjboLQt3S1Y8W81HFCNlScI5By+IyxkJ+fMyoJz ExJFoSCQ+5Z+jKEpWIZxOvDFZ10zlJ/WypX0aqtu1yFrHsyFR2m6MCLbLHNUvo42NxgipLE49BfJ XY/D4d8S4wOmhJdgoFSEYCkxUhGcZ//AvNE7XrwRvfsd2IracbF/ijaVIYZ4y99RjeexFjISeEj9 zr6yM3yEhdpqexTbuschXR7gosD+6RfvBZVwCg1P2P+lH5G7b9OxAnf9XivTZZ0irbGtq5R2D5NV kMPL7cYBjTV8J5oyOZmnUFi16KupZQ7rY9RNvl14sbw4n/6oBxYZu73+bxW5fG71+GVbz9GHerJ8 raPjXuQ5Z1Die4vZdkgv52BqhDMihseeNv0ZZeLIAl6zqud1xQgvulxeAyO0QMK0slUU6AnvvQKg RWrM22h9+Iw72q7fUCDODngZHywfRR9u8iqiu4XsuOkYjihg2sByCH/RtbYTe5tV38ECvjAz+mhA GDyMAbKZIFf5BoLPS7mjs1HOWLUbvbNDgr+rT22W5ksLehlEbjDfiKxXVgTizObz888MvlqJwMCP +1Kz6QGPESKsS9jTImQl8ao+qXXiDi/NkX0pqRxyS7Vo3of5bF/Tcx9uFVkLabYj+UnCviEjXKrb s+FkYCpsH87RYXFJacqg0+rtV6qCB5Vc+GpUivfToDGPZLNC4fFz6ftkwPOpgF05l74sFC6XZime HGOAcfntJa3BFqRP5xvSHPbKS90t/tdKWeau2nnoe5v3YtLuh4x4rFIml/z0vcmlcyDNHfHrVxRV kZuTqXR24LxzcrpFT2H/I9REZytU2JSGE2mfs772AYLT+/QihgVC8DreWippbWaG1WMs2x2kLaQz zxrUr1At1LZ8wtsFZPv94S2i7JbwuCpe81X+GpZ7nRJ6WXKrbRkbaGUD8wkdErv4+wnX2ulO64Vq VSewyToYv/Hp2H9VWoMWBNW6k/n+AUByU87q4CRNHwyfkWTfxM9U0xly5SSvUhn6MZqncd0ykJ+c Z9MAADLirLchooUzAxX1N/dP2JTU4VHfibU8ymAt5C1TZsmAtX9BcJolge7ABR/RAFayE+S1sZsL nfUaKcz8RDYkPti7Nng4FeIXWcoFnMAySnMsdH5ygQsGg9qf/Pgf8BqX6YvAXbzoPo5GplrEs5mU erm+UOhgEWSqidfZLwXPJ8HBlOpaYsQ+E8xw1v36QoiPtERLL1bhV5suC0ApxnLjcnRpqApwpDF3 GlMaMND24YhX3Qt3Z7L1twJJlPJwMZTcwns2Pc0ONKWhzzflgFJC7awq525PQm1PfEH4RoKboHo/ Nkk5rxCNPRfzt3XFFKilEhe+9zT8FNi/KAEiq+vOR2r4WZXSbvnHW/MwP+2OTmJ90tB3kY8REs55 QVE6pP6PLlp9ZUxkSkjhsB4fzedVsI1qCl2YDNhxsYyOzzwF5uYsf7zeVuvyqnVWY2fiwzton+O6 i9TI6So1UrgkZO6WCgZa5b0oZDMNN9IBJB4ExFsWKoJCI9xr6nL20/PvA88RpD+RiSOVNNe2MIzH nvq2bKPJPdwtyTnFj2IWnu2pE4FHPCHKM4Kk16JIMZ1ytPk81ojFH2AzDW2iC2vnBoyhvdOkhMjd i8UdoOvCuESgeB6R9KuLMahZU7tbA0O0IYKdiAlnFuRHoLh+Gdbai6PNd8ZhOBomc19kJCh+DcP6 8syq/c0QwPpDqdh92/e5No9yixVPjOOm9ix47iLS/mdZ3z73WJ9914o/gXnDBQX36qRvkWidJ6Kl 35KBZ8gBAT91vh4asBiqXmfTK3DLTY055S+3jtufuuZ6ASBFt+10p7iGDqMriK0nsdvUJbfEn8RY Ob7g/2/Xk2YR3QA2v7t7rAGCJuw6tmHEymsfLAXqphcJf26a3Z3S1MJvFX8vw1I2fwT+V2ORnoSh 4RXLjILRBmsU1bIvrbI+TMtSmWF4uSOXOTW5Ff7j5jDpgES1IgyK9kg1NLJw038wM5nAlF04VggR V1Hiy3xqKmutPHLkBQ785h6ksQzg+M4VLQpPJ4c3TReyBkGJPzP83wgwolmhlfpkIvjJ7C9tvtTc tWVgwZZEpBRO4ihIt5NmlSqbw5l8jXBafAFQseNWcl3JvnztTMO7bLhTsBA6oTPcUoduxA32Ezkb Ss6k4Q4pLzUTQqQDB692GqdfQYEuWsa3quvx2cmBGhsgz4vxSMiVaBsa+2Cr8hMNQi9b1PhSbMTL 0QyDqjznl5DIievO4L/WmYbDqbHnUTnyHP9j9cRdNeL1PinX6xAmxPgmFN2RU2t/MUSSr6VGke3P Z65+zu/E2Y5x9ZixFYkGtfI/6WXVGXO+G6PHvlaKwPhUQ5OVr4utTCHiaQmopB0u9Zfybq8BHaYO tnxlsfzOrph5pX9yWDsjO4d/KFMRd13/arf2c2QCsDOBqu5vEK9uTn0mogqFgnYfmrUsom+4/zfN RWTPmr3Lfx3DYs/JdHa4hGGVjCZLSlWi0mYc7apm1D5KPcNlOI7TUpr0amuwHKdUUi7ZMQKklSUC 80HVwVbVas9d/T/BB3OGpX1/YvzxbGiotZ8vAxtdaMZIlXqDtP2+6JfqMsbGvXhiq2MvM7Y7pLnQ w7z8v47P8OEfz+MnjX7RHAq6atIggsgo3AUGXNxkkieemQYoBEh656F8URzvE3GG5pkG9tyaZlQE UghgaNWeLIss4Cf8kyn8mrrR4OZNXzRhwb4w7eUj9Bs1cLTQwrs0bH5FFmahvIeOjRBaCx9aUYNS 0eO+ZcmXKQN3mGtnRTVZRLhkuPG4kE+2bOfaOW8NM4YJwM+xlASSFkP4gpkE+D40h2IjcwtEmmrl ITEA9t9g1+ckP3zx0hZMb4eprpvSM9j9rIYmHXt81xk76JadYTBbKJ0OQ287QzlWZefK1cYW3rM9 +HZbWeGsmiy9MMBQ+qXKSZl+ciL6EEheXTgTuJxnikDKL+nLKjRBfmySlxrLz126EF9AM9Gtoomk NozCnVtOrGxPr53EPEBbBilfPxqpE6ZzGUSJwSl3VxVtCGH/l04OM73KN7kWgzaGMDMWK/l0VB4v 2foZ2EghZaEm7TTJO0oJBjFapL51zF/mvlyhi/fiIGkEPRW/PcEsXZnxA4tjeCLkFCzz3BdJIKDO xLmMqYeg/vtbKm+avR4WtNwFgZ66+l3hshSUIafQpJuFWOwHey/O563YHmlTJCyrkYaPSoOJbGQB FXe9Wpi/PgxSy0qcm8aDSLb2/cryOqZCeQYzqKunWKxv83qs7Ck5/zmBDETHNOaE6IL9Ke3bKtQD PH6R+/6Fz7+g23XRIEMVlB/TCFtZqaygHEp7R46Q1RAP/+cGvwX0JdM1i1ZLeKc/s7pN3Mtw0sIk gacUcOQpUw18i2Zt8MAvHISu4C3K7IsPDTjhenQrkhlR2YPOjW9lGZZ6CXsVQZLYYz9iB7kNwCNS CY9tLOmodmlBJpUJMoGNKjUxBmeiImWJ8EimrKd6sMrvKWJAMaElpdfXOtTZTLndHLGvoCJQEFfQ /9RLcbD0Vh+NfLtHsKXARCPoPJvAVMjFi+HuEAUCi8P9cj8YwF0TYZ1wrO4Kwp/XtzmDptjokEFy BnXl7GMi42HZ2A7e0UZFobhqDkAL8MvkxikNaItfePyEYj7q0TzCI2jqc7Bamry6RzxQbLFwl1we 0Kc4nHKrKYRyyQcMeOmnRl99AnWnrbJSIC5MOJhRfLGj7+07Zxu+YPEyNhuplduJYoeQEiwN4/Qa Yw//xDVbuYLoX4LTgPx1e88NijCUS7lk446+PBgyXRMLfipxiW1ux9OUt53H6n23DWzONNlHzLXT GGKibTQEDcbCi3iYGxxgjO0t6/2nawgoT8ZnowghXJKGR2UDx11tfOJeXDOYox2BjF2bmfeNYTY4 JzVM9xRUt1w+PCLqGLzZZSxiXPSkhyggWAdUgosUCrU5/yQSR6u1/u8ibR7+CkRfWl5vBjpJMX8I a04GJXA2RyTA3Gm50izNv/XUC0SJhAc+ZAh7fES5eq3ZJdptRh4nOv1gTkOBNG90ugeLR4poU2b1 1hH6gLtkwkZuAe4uiRhFvooFI71c3+tAQiKfeL9EFOa4qo8XWZkqD9WECH4dKC9PttbvntJ+VulB 1fSpswP7hIQnWIdOiDcY3B68CPzYxTTBaq6+afgNTbWEXXVTzy8vOsaiunFZCDSs4QRtcWPbF9L5 JlU+xUFfcLPPgqSyXZpWur6RD3HV2U35Ia6GE+VnlhaJY6wxsbt4J6cU2gn358xWGnHpa97RLFVD YOZaue+mqJXjRu3wC7hgoZl+UnGNEQmHMefvdiOmkdgS15uIhUCtDyVPgMoSC/3kNqFYMN7XF845 SoMzBEZpX3Y/GS50bzMOmHXNQk/My6oYvGpWp5HnaW6pKqn5eObrnvggaTylDU6K8dYhr51/LI2R /LAPBsRzoNAlgs1aO4A0oP8pgtNJhE+1CAaSPZXeKrpbK+qV8fda0R1/NZnFKiQv3fJzv4575wvV bnytfRfhgM1iqjhWNQzWLSuzKWMXdL/wBMCgdwyotdPRQjwDzGFKZaBNLq/xmGI1w8Vls2ax/O1V RErsr/iD4Hgs/eN/r0RNBu4Fm5T4jmvLSr93dL28id5NwZH6WYK3O1znBGVx5X8q1aLevQBdduz0 wJ+UcCsyRE81blSsIRugoTqPL5ZpRelmhExom7k1uV6vWSoJPHtfZyoYCoy7TSmxg4gvqNTJT85d ob/iV3AiRLxnVIpKn16nFchBqvoCMjpDE3/JqDztAWL78U5ku9+WEkUDCQYQRzhM4+krNHzBVWqF AT83qQX6fndqv9PV9L/VWoiv0pWGEhbeT/ZnWFnSjXnAI4j81M1nhAIvpBavywKXDFLS4ZIbMWPa 0/bXLE+QJK8c5QwpSgc3t+zf92ZJ0+tVDXFikC2Q/x59F7w1jS6BI+cAo0SVk/Fgap37x6J9e4MD DoY8S1WEJR1MJ3VuMOUG3ASkpqyKVxlMwoELzSPwrzzqBJVedXOY2XmgOZn55YjRrZy5FL4QX0II rAhE0kT2388nrglofyQNPpIo6iYN2AAw8rzVINAukaI6hFCJYaN5cn6KPUM0VlhpkdZp0ILmcFcK VlFjCAgaCKCHIM9fC8NT28d8rYGml+F33/Gy3H91Oj0SSEvlDI+8p9mmKtaUS5By2EhyZ6m6Qy2H sGNR/fAIwskLUWh/Q4D/sJozS5N6Cq+ftCXDuPAIKxtJsDGjctNnU30aTYIdKSzFzrdea3BEnE+h HMfk6EHSCZgj6AJ0Vd1HoIPZunvXynpmRjGcmheKMOMc/vm8kq+DgK1eLMDih6DEuGV/oR9c8Gn0 xk4KKg7sQ9uKQYK9EPBWpQT3nIT+xfknpGx6XqXD7FkaTv2ScBenHIfGIK9avgU45k+0/1V5MRFm WyVTYzq8W7zgfDaZNDiJFreDy2QJu8W/YwGQ3mV//cOBuQtlsNblvaThoeFtoZVS2C0hX6UF73l0 q6WwLvBEvpjdkMC+NIzZtzDdhHkAyjG4JFRN4VxOOosV3Dldw3oR4yj6faLMRFczisks5pwZkEJv yly49bDQN5Iw146Rr+mWYrm9hoaLbEWbW40rvUe1r9ghRQgQr+xjB2ySOE8dL3j9i3RDmu+eG4Nr SoRulmeh2hCy0HX5iAcDdX1PaZAcXfg7dZKs+TRFWPglQEz7dhQZFsGPUstaAPbWOiJE1K3PtidW TSwadblYggb3p2K+aTXwbDzoavv5P8rt5aXfGGoQH84LCZyMQ4zustsC/vhZRYNr9f5gsMtKvTn4 Oolkid3K2pG438lEWBLlHkTSmDz5nAnryTQqnnxksEJWM91wAqVMUsz0xKO4gndf79ts5poDYeBh xAOHMGZoInfHtmMPyTcu0Hbm5HNgBaU7P3usQEW5OOPU0QRGn7dYX0h2JDRnBRFwNpt6VawdmirW 1b0xGuBmBcRwF3dAMcGh6tEwBWsdG/ecb1ZUSsX0neZIv8zyCJf+7feoq+4TurgYf1OifyrEsCND iy88tjQ0SQqXT+KJXXAlQFDtSQWArE5MFVHhIkw5pZi9qenFsVywm59biOd7ZWI/vo2GojWA8BpQ KUUtIrOGQiM25ve//TaZTvgYqWC8lTIQ5LW8QOgXO/yIjn4I/nNzQzB79R/QRjXHYwHi6x5/mAyh 86DFyl+R+NVnD/9lmHKq1mfgWVOV2wd4UpfwzlL3Cyvv0j4OB+shZvmuKtrWGx8sgqWKGDtFwJHV ZLcKBCXawstyiTjoGuUDuhVx8EINUe/qIK97EUmZ7OvBowEiNBNJDCWpFhlh7wZ4pYNTMuVT+6BN u3dVoRL6hRdfIZ6dP+Yx5HugBkpyhDm0AgZ0Nn7gdopRpLLVsQPdb9wLYmEHo2r5F28qCgaGnrLV XlTwTV+TlnWJwQGkJmZf/HMVFGEHCkDk4xTrujTWZT+WjiLHy9jk4Qr+Ajs+M6MuxpIbLngsVUor 1++7SXJoR5hlkCjU6Myxlfx0vkgnkBQ5NrwoKInYXZqTaqrUK87Yd/8wmtcgnoe6pyS/O0oXmpeV fsYnA2D/EY7LC/bDLL4VQWFsxSXgvyRdjy23nhC6BN2Gje3DCLHWCr4PJef284v9rjOiwwX77WGX s3WX5h7gTql/8M0DYGnPKfpjpin4i0qfD49OdzVMMk2sEhNeK4Gi11+oHhWixBw5iH3woV1hfXqY 8u1jJMiLMB3SPZxieShSloIz3oJ2om6s76Mwk/E0unwd8iFIb3lZaLvqXXgiYCWp+H9I7WEOYoZ8 eeptg4XafvsOnA32wm8AJuLqZuW+HXmZuy5fGMY3bhKjxu40o+pN8kzGezX0jKpchCms9VFB4QPk 8/8n9YDSkuwdFpcd2yFMa6DbNdY8LXZNM3odMEJQnk8/KIiX6Ahkmo9eMR3RHv4MgCG7kpHh9sLI UrtG6ApBcR9mDzFA7Jb7OK3FABnPJ0/csCAPVbUz20AhubgmiMJKJXvpLlMNYEOElCpNspEiw8xw 4KvCe92NPxQggO1sTd17D7+8u0ZtcPfuQE/p+BlVdW8cZCkl3NbQTEGIzYVSNNvl6QY9s0PJMNv7 iv4xm0JYRjhf9mcAtyesyvLklsnhAMS+5m5dZynrsJoUF/gmEt138p6UqVW4rfLpzNnerM+If32T Ev8aBdonXaKUUi8qPfAZ8ozhefx/qgqzXYVwjI2efrli1zisHFiqBnxPFl/vBwZxJxqpUakxKun4 lnZM8FJmCZVFkGo41N5s+tv1yxMn1ai2jK5quc0TOQ61ZfSvhUdcW/hWTyM+K+sMlLZ2lHxHKb8Z illWQeXPJOOEMf3ueCzE7dVdj7V7qf7tSGRBghNYnNCh0i5De4kUiXL1mUXwOfEtD/roXKwvcPse x9FRQPk2NIiRuYvSImdJJvdA1lupt30ZC2xu8WPZdqPgUXMsZMvhgv2iQRzUqfjv2gpzD4RihEPw On11LNlmZeRSFJF28B/FS78H8zXHaO+DHbx/CeiCLnGqCidDHny6y7YeidKUxXg/4RZFzfhFbc5n a1s9hi2jXcN3Q0HQRTIj4T/443FBujpwGlsfV9k2D0TBzUPeYRFHtyw9nOWahtzO3zCE1nKfwxIv GGWYytbV3iSs3zsfn4iZh+lOSYT/+ZXDKirzfciG2VjsWJw9OeZ7ccwxd6ewm37ET1WOdE6xIcR9 QHCSyKAv0JJABV15gDfaqTmqdEuMpWu4XfYzPXzc7uS01PmAft2tMJP6aZifo6JoQ7KFIiKCalOr E3L3oanRlmI5m4sm/20RLeQTIm2MpiMybwb+aWhbScnD80IZNNjkXT9aWQOeoNpHJrGk6AbDt0Kt mD3KSCa1eOz+cY3k3tOfifw2SXjd0+8lepAvPhqcMaQ5WBZSxUtUgPIiTaPWXN8Ax/AD4y3jMuf/ cQ8wtdfBF1+cMI0Mu0ZXH/ELjfi0JkL4MSveT+UtYk6LuyuQWsHCw1usXLM7GZ+Ivh6sH/f+E67j nHrfTUTX1Y0pE01gnLE/f6C02QtMkSqdK6fYAQVY5VLnGH/+30Q7m/jy2OXLbqBKXW7gHLZ3wMSk 1B9eSymZ9NDQU/QXyhZLQJdDzRXhYBhhdaVzv5hZsTTqFFg0Vr/oOIzw35BVLIvmxjLXb5OfbEg3 QK+nGpSPW2jMVMT4oUB5RsrEtWZhxgin1JIWrigt/Pex1FhGPXd3NGlV2jdcz/o3eeCP25dS8Z++ gyPJtdT/u1kdlGC2W7tgY+wt5Z9fqkP4Xv4wOAZa36eCj2wx4awlksKlEvv9DtKYByrDU6W4qbos /YLArYjfB1KdmV+iCsuZttPBJ0nTCsBaE9Y+in5+FgwGwKP3FYtkh7le71TJc+ld9oABoUwfamJ+ XEntz/pLfvz/xy/UVSZxDSrJ9ulZVIvPFrm7Ygo47CY9n5oyog9LxKxMp6FGnT7pYVCMDsH5krKo tZh7ti62/6bKcb36kdt0SjIkdx9qw5Ed+tqVDkyiywILSR/GjVSqBoyz4wBAb96fy2+FiM0VcgCG PV8itLnw0i/G1tLbcz7dmAdGEemae6hGuk0fSjXSOzn0ocmAXJ88cFLzDx+UBI4FSUXRNTRxZWTu sncLCP/pxCg1GF/esVh/UcrTtXfvt1XjW+Rwq6s2qUjjyMJf0vY6bdf44CxWv8GWL5CvFTO3wyAV mq6QUWUQdYfJdlSdt+zkfYCK/rmgw12+zxHCCV/sK2L0R5Np7EbLOR/o5/h6VNgxIEK/slgTxG4x actEkLjNrc48/NsS/a3OYinJNCu7d0lrpbWDP7a0zIrsQDZj9vFB6iV9H1ZY9LGifAH7B+ftOuz2 X9p73vpkoFnlTfHsenX/9QApdVVM4lIVL1rpQAujIRhawCRJY0SoZ4uCDDGrtxJ933bvCLQDA2Sn MisvC7MYEmgP5cKqo6G2kOJcyHeNG/7V42MnmHhYMQyuh961lgvs2UxTxjoWhICCwE2p+b4ngGpm 4JHJi8fDQAelxW5p0O3hq0UjK6AyNb3ynXU4wbRBLdHM255BQswphY9c9aEsZvOe8q+ripuikJVx H83xqULcJQ4x5HNJLxUIAmp7cQPhitzAkvW0hCb7yu7rJEnHz6cuwzduQXdVb2Ybs8QWGBFndrPG F/Tpu10aJhIUFkoB31RqspSpQMpkl4ej2b45xIOfcDXFTNqNAjILkN4DBaDqmBjtAFAFm42Nu84p bUFseHty5EkJH/qmnCuZehlLnElhx42NO1w08hDEnCm79hZptpDTbYtL/Mb++vcFfbotFR95wIA2 mFY20MhbKcUDVtJ26mXAQkzB3qVgls7RQCiee4KonatYQAxxYTRq/HHlCHGH0EGirbN25HFw0sak Ylh+VxwlQcC4fgxeFTWKxkmZ1z4ETvCfckoD6Aos5jZ7VCbGINTiS8mMGQX3A1l3xitk5nAH8+YV tIOklcL5Z7ZpwLUIkBMwHXfB/83eye7zShKlJuXXGzB2TAo13iUrmKwGjf5QKNWVC91X3XGxYw+S bo6mkmZMEA8mXmPiG0fzIX6xoeWtAlBN4bQFhbVdK2Z/Vhgqg0L3F3TZ4Ga9dPAqpcyqEimm1hra T7DxlYprFXBin8p2mWhEowbHtTVbc7oEdpBDVwjd3PLZuPxfpFWGqTKXEH9TL2V9kquYhpDD2F+5 ypCC0PbMKocYqeuJJFfcbP0YxOuI28i54oyIpr39qw9veUrZEgUURbuirx90J/blafya2pDWPCh7 TVh0Tl1f5Tay9xPZeyhUoci1gXW0NcdC1ntGvA8fg4jMYPiNyHSjctQt+tD8ArgBL/Y3bipxV4zc 2AGdFBmvXMG/a1N9HNLKUXVmJxoUgPGqoV8Xt2CK2zYWc2Tb5aXIwWKoeVls8rGOG6PepcpmBBjM lr+4n2E5biUdpn9KwiXy5xSbDSQRJpWdRAHoEoEQsxVqvERFCjNB5T5RN5FOxPLxUF3ZZ1XZ1BHs SrEIRgWiLptY4laSFBAg5C47Nu3M0tnJDZzfZXXEOYMh75pzzEroQ3YvNjYxM44mKXuQ1eScdEZ8 tVTpjbMb5WY8LIvo+t6p8ISObqoix8NBWYOPjuxDY8yRibHYj/jUPckaYiURB0Rdagfb0iyDaEgh 5wpa+UJB5wWqkmam9fU0wF8sWBGbcD+UDbmODrMGpZVFOldOgq0qY5VzObIH3n/rssxvrn9oeTyu 3WFNYcpStypSdaShjWhu7A0PD0PFtGCRLWrRZ5Jr+I5mgzVfTznR6LMu+5n7GZbQXPWNFBxnufEl A5YeWHpxQv0dGvY4GLa5jP4SAOxpJ4OCWkmsERJX+dndWOXRg363OXXT9+8DKvb4MUkQxroan6dP tb8jl0EqsFoey26vfVdo/q4eEG6EkudflJj8Xe5q6p05ovBPqh3k6k5hWu7NE1jYVWHtDjL+ZZkT UPNvc/mHF1n2QN90iXqczDXzDQR6o5MO62DOLtoB1wU0bP7t2HdCTSlAqr5vSLSq7GEbuAFpSlh0 wXf6c6aVRgDYC6UZPhukZBgYxTctbyZL7yGHfAS8XcbdkUn3Rl+BgKcHeKgL4zpq+L++AaafJso0 ta1jS62loDCQBHGOgE3Kes1Fis+gMY4GYW+DsLD/9c9Zxcic+8FiqSYdFWXyikz+7QJNp138e779 XtrEQt/1h3yrkrs8qGhsxcaL5EDs/X00Xkjn2ZMJmUr0J6E01+9qEolShSK5thPwESGJEVv6wYyF B5D0sOwy1lVMgBRxkRbbX2uZgrqGYhiqnz0bxRPSCrTKDmfPSMC+W57OgPae4dFYmbZAqVPDqTRi kXUAmnf4wmANWXxJHCugwaKiN+jpXAEbmZEqBycGcKNxeZblBj4Rv1RqG1SZY+7AndV+5gpKXOpT Wl9gctvoc+usQ6xs13WnF27DX3SDRsneP6G1ryamixAT4Z4KjZfaktI8WbqP1vPwruQF0MduJ3Tx TI87qNBpJimhgjdHFH10+X2dht1vZi/aE/hrlqhpnO1y1r9Iu0BIfVvqAUosIfzhcdF0Vxt+Zmz4 0mPXdzorBFjsPMP0HwOFHDlO6bmQ8d/jw5aJk0fCAPQgIjudQl0lAPVrlcUrq4mEs6ZUPS1MqO97 NfqFcQ2Ae8HQFOjskjIn2040pXe3UHXE7CAerv8XHLKztd1VdzG+1U0FLDoXUi6TqCCmn9bSwvv2 w5s2MXvyg4evk83z0xDbDLnJjRrVyj0j4Qcqs/IruiqJ/b0HkUmVaxkc0yGxuohU92JizsDg66UF dtulwMo1ma1xJbu5mJl45eO7m7ub22CzMwmbeYScWBlCv8xwMpEm0qVqitlxb16m4Dhc7VwCRZmA p+ciB9AtZw3XmMDHvDB5nWYzBqhl5aPmorupCoJhlUxLtm4wRgsOQsqxDoxxTKmCgjq/oA3rTuEJ y1wZlaFownKkIOGZK0kUVSk9m1MsX8qsgjXQRcurNDEqthDmApu+eFRvrRPEgao9IrnY7TaZUE7o XWJ9uMFVxXI077yJtq+Z8itpbL/QnZN1ieDOce15ueycor8ZX+DNWkSjma9i1dWsyFOwO+w5hftb KhZDY1EETLSwDs2AyjD4dLgFcHnPUQWYR7s85NmGHrLt51aaUGtZCby/90hoFBzIwZ1ujAAkY/a9 WezIs5pkFn2+G8UX43rB4vX5L0NMZSMFFFaELhFPyt7+UtNAzwgmLkJV4MadnfxN9Sg92dG87VXY 0meZQruowjw0UOS5vcnoG4NES6rE53qt+UsKk/nyFqrP3SeBN4nKiuzcHxsp8zyde3FHzSF7srJ4 aew5AAOsl0T14fuXs1Gny50Pkq7VkIc0REH4YtbHl29iVaWXHi2RSdS5oPWeR4X14CFXYt2DTvnP f2wAcxbyUl+3emTi80jFF0lCWeIo0UAmamdE/7J3EFVo4ASa/elijtHXkMEI6ml3kiW35hWURKoJ 7h0td0yWOohxPA1vcTHdjTVurTN5d6K9/PZyhbQYjMgkKmBSAZA6tezOEW1kuiJ5seICQDAkhdIn fukQ7gpfeKz9vOtx6EfanCbMz0rIeg2vUItJueu71V1R4ivShdPpTInI/daxDLQrDx7uD3PX9JQd IkKfHQyv8hluUjgh5SE0P+4IWxJwT9qwyjStI9PSdNAXyrFC70nl0GqBvP1vW94c7WwciTNw9Ghw oAb/P/0Ke08PQBAS+yIOnjQ4CLQzFeUfaSjDrgxKgK80dDQ28TovmYXb28qG8oiyNLp9VisffOzC cF5bEatVve/Q7AdkCR7KNIQh9OfxayVuRXlzFoSQJQaUZncRt/V5IsKgyt6sI3fgWNNY7a6Kp+J1 e8ZMYjroWinQl87T20eyOYIwwXd1cUigH7+FieQYXkFyw8UULkJCXxvXmxu3enETDmMQDu6R1Cfz JO2iCktUf9FJkvXr7YCh74JT3Gk275QDD3Wc7c/rCzmzbQa5CTBkILiX0B32bvd7p72B6zS7tZ+O v78ZMrnBalHAG8INNiOl+gUqu2eHckWrdYUDGZ5jnmiPXP7qaff4lEVyjEFJbFL6D3Wo3iyBoPoi fnFyzIVYH7JfO6n4Sw0d12PQa7+A+2VNguK01jJfd0RPZasnd6BhTNYr7yjF1Tk+NfhxVjbT1pgj NjrFe/2vwMhuaixty7o1isSwRrmm9XRooYQGtX/3NppF03b6tKzEAFi6n0xU5cAsKWsWNGql9aRT 9ZU4iEb/97p3gxrISMqr/T5Xj6YD1zcw8oguKxY3a3p1wL+0Zcv7DzbggO71pYjQGsgyEfpMhrS3 9WapKL9SSwNp+NPVUMf8A3gko9VoZ+ivZe8TtAfFKxbrx3IzT+zfMdG9m1bLJKsz8u58CVN4WF18 UKsImjjniDct+R2KJLkprreRHrTeLDhYT3R0P93J+oBS6AyE7A6W1qcieaUq+R4CvoTJRc4pxzXj TpSG6g4XtPyRSEZhEZL/evZfcRFuD6iL54kKDbwMlcQpRoaw5tXbf6ItcXLDBObWhHm41rdXhmE+ IDWizF4aR8hQGVwQv2x1dNsGohQwBfhHADWoGqNchrJemwTIwLhSixCMvYgjqqgT5M2wVw+uJdmo JnDOmzCRnaJ1ELMs8AXbWpJWM8tVs4eLWv5peq7suVYB6ao0EPHStanJ6Od+bgcDQcDv6mFU7GGB LvbMMG83BruTr3n6F6eZSAa7j6bNHA14EeUggnpg3ySpeQOM+eGEya3i6H7mibPjnrAGwSp5H1ng wtmpq/Gdsy+Z8VbthCbdhwG47YblP+7u+NudW6c+dYdr+IvctrYsU12c7NrQ3CQH47rLuuW73vlL qEIJcsvXcAHBmVR1WyxhsXwyoJUQZvXbh3gvgZoVV07NMylXIKVh0XvEKKBYCGB2yqPoGXKpKcTG tNPZj6Fsis2qKw/aDUI981GuAcM6XjU1CwpoQ11X9QOhd+9YEvYUWL/il0PrGsMmFuTVXbimGNJB fMmKjGPSfWnlJHGGbIn6ilNBY6i4gQO3K77+3ShNNgrwjCRhJPa2q8W332TZEc542CABrgAEEPKt aKcWPF0WIdYNO9+VSXWBLY7pI/ydJDB8eG6pIghdHBK+JpnREj0IO8ygZIoaKEs7krK19FBQvX7+ DfiK9rf/8yCZZgzVzBIUdgKY1U3QAhjEyr+qRwBvEMukrOzYGQEaOlbk5AGF7k2EY6jhgdjZK9t+ E1Rm16B++v9c1aaud2SdzEYw3u1DFbbGYP9zNEDw1D5Av2QOfEab8XClw+FCg59g+VYQevUDqP9a x4AxVuF2XL04OEpdtyhhAmcWOIT0mKna3tDg3qH4rQ6UK4H88VNf9L/9iihG+wOe2WPau4AbisXE iuk5S7fa0Kj64LUh/Dp8pk+nL7zFX4g18TvQfsOmR9voyF2cgwGxTdEE8JKigEKDz7Oue36CRNN9 swyuUi9WEOLAtU7UfJ4z4OxfV1Y0dNhSf1TR6W2YmlyY7/+Y5S1pp4zJWPrcan9U1oIAVjPWUM5q HLwKCRmoN1Wj/k1XbeSK71E02JeA+pvgkhwuBTy9vw8n/jzcO98bzmJYaiIK8ihWtb/bsXukuIiI Qv6s4fKkQvK0YC7/74X/lwyGana/OCEOsGdWFAJFAK5OFNkb1yaA1y9nktDygHY+tvwo7viUgREn 8PJMgC/t0lardwFlMhJxanTSV3gsoe2E1RyB/5IqGFjpqUt5powl2Ly+PLdG3JS2L+DWEQrBZALP xcAC5SKXDNSl/IPqDYUMnajmuRp8FRIGKQKj4v9r/yd2Ualf9dYXmzC49O7C3ZkB8g4/1X+8Ic9B vShc5cLzDh4bItyQzhf/26WppgjhYEG4Yaa7TWxTu4P+Lbp0gMkzS6FZzmaKXgfebgP/BlpOncZ+ HuyoX7MTHGOQy+CGsQi4fawfkk/zuDhckRvLEyWt+6Zqc86SVio1F+19UVZlx0dL6roFGl5El0Sl U6gBTif2gEk8luG3OQ/jGOZ9vTQWkprJFQQBibaYerI1Bu2xU5xTgX90Yi2OezA5PQo88glx7/hF /tpgFY1FcWzMMWTc/aKi3x5gRSxi+4y9zAFnLwqP5L3MGnwbFDUyAL+Cf7I3WzPM9PVFjDzrux/S bRXiJonq2CwbGaTd/PC5AfJvLxGyKtKPKjfRxVq+flGR0iI94eWzgFZMC0qTdHxcHMfZCiBRf5++ ogDn/rJWyTr/j0DJb+zZvnpsYL5rbMeuG7BK/nkXDMqS+yzonovlSCkw0dVciLs1G6ZH6FkMsOrM NWoQRteWkt2sifeldjAbWlIRUHe/PoiralmmfOKbjcNX4CpX3NFtUfCwogIfO7nq2nluEy3VC0rg LG/83CeMKAm2xQ4fvdmOWe5Vuknt9PX9bO9Oe63JGPk9ENXYpirkTcFM47kwVmQlbkDjGw3dfOg3 liiuGenkbvZdzefWRicnNn1rRd9X1aXtFVlflvubVkt86FupklgFrAOy+r+Ndf0pCIbfw6FLYYAq Yit9CpjNoZZPzDjlSzsn3Cp4QrTxTmLCom1xyChTm7WEJuqk26dk48Ng1vzs+DWr+jn4+X2eBjIC maiLjrxbaPxUmDvBAzxI+8jYNlrRwSiYx2RGxiUBSbYA3I9SXNx0AVTxjIal9ds4VAGJhPK1/D0Y amcOIyUo5tRPpcmunxVHQcacy6Knd+7KIsPLu/SO4MhizewzpKvAt6WGHFjdrowVqoxVGgG2VT41 z5fT/fV0mW5ZEAreUApAyH/19fE9eJw6XMJdLWdZuaj0SbhajFdvgWG9rqw9HJ5pWFp88URdQ1bO 2EsJ3Asy12rD/jWzA6Nqh1TpFX0mp5+YCwFD9zmG8Vtk+g9x+HxHOMzcHVbf7SeDZfYLOxILd4fr whZ3as71t8vb3t/7lfrNugzE2Nv32oota/X0/C/xZ3WYdFFbKKxOUGqPuishgXdgxdd+2lTTPD+Z lWjNGvBC+BTKQ0ebFYyUh+UDeiN8NLoMlN+enEVFsqU/2pAjR/vRxBjtQnfhBBmkFcvnSNIQrx1r 1WN9/12F14mNP+HNeSaw3cUs24ex9atME2tQctOdK6dxR2MOXX/ozrYlSvGJmq6IbTemM7opUGuP Biwe2r4U7rTYHVyy/TKOxwyN4WiCFgVtmtgRrccWvNGIlme5uXrrPFkWhJJqKQx1YKY8z5RzLi1J 70/tBLQ/k/vbgyaOdAqIBw6lNlnhV6+KdlVIy4Mph8kD2CLEE/IdXQWD9GIBcr2A2aP8JCwaGV6i R8wXvF2wQ/ZFtkz346NB76YXNpcbDqF7Ot9DVPIy+nE+Ih+sXVZZrIEF/oGgSqphZSzVl74OfGvz Siyuvb6KO6Bg3tTc+9lDnWYQO0qbSekeCc2Oz+W1/pARH9qI8aVXQe8G3YHYw2nMLq4Y//s+X09j VKsL3awaw8KK4zE01IQGI1f7ju0IrpVWAOVjv0doT6a26ecwAIT+YN+6ecuqdqfQlrj0CGKk66tS hsz48Krc3S9p3e6sP8lDjfbqdS72h/DbWMWvGbLp9Y4zUpU4pWP7jEZy9491GajbFNeuCj9WzUJY htLFIHPRYYxRrX/iPvg/D1kftOL5hHzGRs3Xislr3h6JBriPtulubeVdUvLQNDsH4PAj+6QdSdeH RDHf07G3Ml8zxVQ7YkDQrSPUhD1Vh6kHM1hiXPwW8YXaB5xU0YuL7W9FudFukFswfpx5zQSLA2bt LBmrpwHSMZRGFh6kaT1qrI2mEjIEP/uqOHTSHyQ8hYoFCdXtPpc++/y1uYhKAXL/vnWNv+5bmtkv Rw3kCvc7guRSYIlMqJMirKEWLxX1AGclpsdD7PPeq+xETIEsljX+WaLbFM53E1BozDfB31uShxBJ wEMeWsnQU+9wZTb39R8HlGa5v/PW/KttShGPCoV1Nmm6zWM9EJ+buwbORftknLZAL6qc/hEseW1X 31RbVUK+pr1ag2Ii+ni9tYdj2KRyS4lJApGmED5zwiNHB5v3R7hCEWqnarCIe1UWZsJSLpdBH6WT AyKS6lBhFs1V/jTQ5aaRGiotQorBL5u6Wo598NziZOgHVtGd41tVR5dwJWhFzBzrXVsa1gf0sRde RLRwD3ZDar2gG3sQcfKzMfbQHE5lEkolcjWz4u6KXUjBzof6pxpq00wqimeIhbyzqKp2O1VxJJlA SP7X17fm4ZME8YCThLeZ3UAnvx3XE0tRyGhuOS8QhJ/zfex/OwFn5G1k01cH7kEGOM2WZSskHC0w 9k90K2/vBov1wNRFLmHGZt0HcbXvOUG86A7XCZNNxQnP+21cIp29MdO0CJ7Qz5ACiC05pKBS+wGC nCgCZF7u8Gwjv9vs9+NDJv+7zWyD2cvKLD3KJ/b+Gka0rM/o4J0imoJkyO9nXVlYvI1QGlkO16Dk Vma23bHpcbs8LbPuEy152vl6sS350pvC+PNh2XmxZ+lepcgpas8Ofhpf71YpSZdIPSa63tUDhVn8 KFOJBSjM9uxvEaQTc+Pu+PgYL+ERnRImJ37zDKc6MgRV9VLZnvjAuyQtNlCa4eXuuThwvvsfsETj TIxET84JNrlx4nCaA2eGKQ9RI2lbiXst0KKdDommIN8JfxwntmB7lfNGi3bRRj/W4CRL1FN6bDQV Q3PX5It+IgPvf6Sm5OSFHIbnjelAN0PqJsR5KBJrPnEH2zEoyZJM0/L29RRHC1M9BjOhazH3h+EJ mu3s5CbCY/FGd3SIKYaMKHVS7E4rvoYN2Y8aMcvO0U61Eu+dqOssfkJdTp5FoeH33QiNWsdWyGe+ INqe2HERJYMIEhc6n7nVAXhLPSf6AiBZiMQBTLuGwOzeG9oiyUkU7KcZd+so92DHGz8phtmFEoKo HlcWrLvkE1uyJt6bXcNcov6KUd0nwRkb4mXJuTOL7LqNKxCiNGlppzuODxB9ndKqVJIyljTIlf3i wIaDUZluNz1Oja+GbabGZURKCG0ZXwOTyk//j5yA9RN6gEXrt/jWdT7qeJCqiynWmvl/zb9Jp53m YKdweUxAAUpbm21UccBWS932wKWyEpakyrvULMmxM0CFbBNYhWx8Vj4p266W74fO+4Q96+kL9g4F zCQb55b+FlV+0Z5eLoiEneYEUP9pg65V4VjIXCML9alzbVIqXe7YmmW3Ngaamwx3E1wuDNDK33br KMM+rKM0frogcBSi36RH0beasbSXoMbB/51T/ZgXjd2WI3leb2OouPO8euzdB9y+F+wCuyDQCn3r FwCI5W7LU5Ri5Px0n/EyaFzsAzNx//+iD4IZQ6pBBXZLfDx2b/2stHy0HmkSzToiBtRQfnBBnxnb k8c6IMj4Q9OFL9kT/ixJFF5hg39TpKRhIdIhMqa+MnTBUvos8gAAjxwuQf0sJv+0OQJjQbEdAN/b uDNmO5XqWpoiMcAKxQG9OFHhQ6O4Zc05lMzWeVdBE/L+tTkZ/xDGT74Bpkw15TkqRrxLyFA6bmXN 8ufBwiURLU9xvHOMLAc0jzr0KL7PgP8Bgnh8ALwW6I9/xmRyaVjGvxPoh+n49rbZUrAobM1/tJQw rY+Nnc6SkG83gwkAefVh99Tc+fOPLxBDi6IknE+H/zyJSN/4yZHGT65G1nMFf9LDh5ETnycwc8cz 3iaqB8voffKYZF1hPR6f3mk9vjNnMYlRWZOt6l4vik4uAATV/Iafukm9Jr31ki2+UtieNOk5tAvf oSLUxs86l2EfuVxv/i5107coMPTCaVjwMxJZpL/OnRvzRg7Gi241KuxicSaLCTb2xejB48T3GdIX Kq1okcieN62j8fDLVEOAAHHqcoeIxLoZOsaKnptxNg0lVNJi1FTrLcGcINu6l0T0tA67C9V0Qncb eDbjWyA0JWwFnIBAAqCvY35NGIvyKnocBkzFoM/KEWPrrP7t2cKm5ISGYwTQpL7qw48fxJX1G6HB JQjH0BHbEUziC+hTryvmr+6j1w9ThNhXYVW+MrwsdRFIAFtHqMOKc/J+8lhjUeq/EAbLxQb2CcEa 9wx+UBi5OrlXkap6b7rDs9IbWyowPcfLXml3jUdP1G0Vy61sAd4v7YMkiwnuFXYOZJ1kueDnx2g2 w3RQMMGlrtx70I7JgMOra0jH75QvCT2vlwlutWEcHYlAzD2GB++TPMlHKKlU3z+btxklmPfXmRZ1 C5YRQJFAqH3Kt6BdwDihF4/IR3/iypjpu5wJnyVZHEBlargz4pQ3dKaCEojptzXfSB88H1UTvGRB dzmuQeYTBVaz3CpVpAwOIZ9ioo+MFdqlc5zA1W3Jf8bBdDeLm3xWdUQIu9mmlBegZ2FXdhbwO1qB cFlel7BcINxFqqszCjL2sd/nEmK0keEm0DniVR/T26r7UTU3nmSWx9frhuYwiKcZW0CmU49OkAM/ SI5zkuH69rZ8agp9idWFJ/n0XikaTglIts+iRpO384rP9BsLEYAwDSamctzLsxXjzgzzAsvYSIXc hoCQinntIVXcK6RjnjoK694sesT5tFwqiFWmo3Nw7zVcMGei1i/9pxdmwrfExo9s8uZWwY05v7fa s2XvGF4iUc+cCsC1Nt7LK+vwSygBJRIsRjuiN+JW+0pg4STZN8wVOheH6O62c1pXhPxlDOG3LUp/ agb/d77uywnBEOYStunDa4qxnfCddAKHK8m15QzDXxx1S15lV6a9L/nz55PPhrF08eJRJhkPo4lD fYxLtd8ZqSaK+eeUoXhB9xt+cfR0dmNI6Zg5g4QVLnwABkz/bhMX9F8CMX2BpKuTGIsHwmmH2Euq zlMTwpnUfBX/zp7UKCN9zwq/eJ7dEZrNGsEDm+uiqWe9d007Hdm4uEWHjQATO364OhNvdzua40Nl 48QlAAgthk/KL2GfZGOTBThqwrWiGiwev7gQa68ie0J0s/c3ReFVtk7LRORbFSrLVwdH9kQo7tU4 qUNlrZp5p+gbkxDZTzdPhfbjnKX/dUEvgzMnzUB1i9L73lzdAauaMSD0jFSsSDEn6xVomZCdVcN9 OmlC0MJ3Qx1yW9gpCM+qBZSZ6h6Flsd88kP/w8AVkddU55Kc2WNkGHbnDFree5KxSnG9MkogdSZp 2G5z8UphUVmIYRL3jvfxqoKkDJgKqP4ZpLYKKglXT30dX2PwU+FBOqzDncSR9HoabBQiUHOePFp3 AkvSIoyn+ag+pjb2FJQRL3123Qo51oiAy4XvuPgGcefaIjLzvmhMliHWVFW6NfFzk9AbO64y52/N KZaHKG/RqJ/dVJlzykP7iEQKzneesesp1yvUunv33ROkBPEyLdMsgg7Lz8ftDy5oPQvqTmJGCvXV gZOHecMQGo/4fD6tTs+zw1LBQlMq85QKlMF/PK11D1QolUk01z85aJT5l5h03ipqZVIebh54T28f 5kn0ka7nDbvEs3pxyX1rjwg23vifA790W99Bq5IvG2Rz3kF7izmugeEI6sE76QdV3t+FUTGMSuDJ sGD1v4aJBshJ8RWDvcbrYto7zHfETyWFaVolia/LL8AMeDhMWmdmEJtB/qMZGQfcnIinLgFDmDq6 tu2ZRiM+vbBobtbVilZk/1YMfoREb3Pnd9fwfvKMZQ02ZCZmfNAeixz2KJw3atT+zo4krxY8ySVx dlYNNzDSPO4GBOmzQ+OKZq6O5BJ0Sp2bQ1a9hkfS+51KF25k+1Nzh+F/Hl3MSOttpzzUUFjDaFSp 5D9PHJUKVvE2oLX9UP1sizlVuEf3S9ln9KV0PB1AE2dvfAhx4p+ZSm1Ea10Rbnn/P5gQr8Cx+UqN u3bMgLTIwu1eY7RONzgvXFjjf/RXxIZpo/kLyct/dN0+Q3BM2+G5sdtQ0uIwoAuxl9/FmA4G5Yay dg+HP1Cgg9tnpAOc9WZFUkxO7HUgtQrxmqvtGJCOEU2Fw9AllWsgnZ26itFNlXtPKRwUPevS80pU g9Z/YBS3WF3bGO7SbKi422SoZxX6p96+qm31kRQaWSQk/jPJy70Ht3YExGphp+xUKgwSNSHY6viy 3w/DCK2rYQjzon5lfrDn09DtgLK7CasXc6n3hMgU5RpEV/hqXRibJNQ6lY+JkUseSGA9wJ5/2KGg GoL+aizmmHyQaM5499owvTX23QLULDoRhAbTfAxa4JGaBO86eZq8zDDXicOZuYNGLBBqnK1yCLMe llTNsm2otxJwZqa3UX0xcM3WJV2KObwQqqv4VqqMI/FRxPw3eaOXaUbewy3cF5QiC5yiZ+/K++iB TzjzudFkpIMH+SPpRi0HlN75U0J+tFLcONJwYheEPf4wryaqdBa5D5oayQX3MDVFpvjYIYe+B6b6 t62nRQNFy1kXI8w9HyYmj8E6hnKECaF7tF41fSJWq0zt/2aYltOAoIF2ONZz/FylicoDx2NMoi/n SyyZ8JvuCEudkjJxF1M04qRfe1imx3MbPbnCCNyig3R6ABw6LYbuJlWd6a3DjTIP2BKTQOB3FbpQ eDRekJeimig6TA6W2jd8oQHrH3/84xiaS69SuzZX2XCEc9GGIpftQRBChO/T2HVC71OzofrLUCYi g6rdoh/EqRvy0MJjSmsphip6++2sfU58BlGAwaAtX8ex1ffrnVRXg8MAM5RpFJ/iBrUCGhx+zPEH lbpdB2BHmeEsM+ideTj12ZGFrUz77tfBUEzHGofaYAm7Za30dADpSK541dIMPZ1hsJ4eAAG71AWN Vp53IhSn2XblSFwvO0tW4d3W4Ss3gH+N+v4Sl10GZyOyiZat8XWxKVuGR6Q3/4IFPNgKX18IVBDC yDKruzRlj/k3Gcasb8G3b2smJyC7kKJ8AQECzsUCy9flHQTbZAe0PqCRa8EEvlg5rvziRkUDzrLE NTmtXWseVTa5cqasAQOAIm1fBQhTPxJudyLDID4TRWXdUOtBjn4i3RIh7Y6PakdlBXERuEcOqknL Kb5EKI4YTg79qiQB7BdC/mzJEUjOco+foITzojCxaON3qsjfWKujXz6RXgJCNHftpx28V2daAgqD bq1HA5snGXT07n5qTOW2CyXiWH4ig9IXLE2IKLtT3nO9XmPo649sh+ZcD1BoxcHhpFJ0S8b4Rzia DJ97simpmPFP1sbKn1hdoR+81ZAks/fcpZM1DqiWYQ0q+znxNTiKdsSM5JFO9NGEm3b4ZTgbCYr3 iiRfSLGAB9aLYuePZWUbN74ofS756dmpmGzhibm2e8ZRf61n4Vu/wdS9dgd9teEqIN9cQYJ4kBZx H98HxwzpDbzr0dEamzq3+WQGtdYN3XS+c1SYsyisq6+mpS0JhVb3M01Rx/KgssQYr1YnF8fAT7C+ Yn8VRkexlGnc4PXNsR0q4Syt9cyl2llrYM5l4dOSQWYQR5tuedagsSFd+5qr0bmvr8LrhkTeqeJn gZMhpk057diApBp9OTOr1a5JYqkdqduPYrabSdOdyPRMk3uNQ0qjakI8P2lGxbwWkw4FMXGavefR XXSVP+Qo4a97l+r4cMTSmGJig2H9rC1cM2+D97t17uzBRBocF86kGX1PlqtsowxkdN11ujOGHj6m KbSNfdiRQ1890mUndehpML0KiSUH8vKglpyRyWMNToqn+ECGJdmqgU7rMM5aHeHBG2riz8sDtaAy eXIp75xVz85Q2+6tUhoilPPYl1O8sZ/13k350p2+PwHiGQKka/mMQVrW/rzud9WXu3uE+fah/Z72 8i/2EFnngqQjKpdR2Cyyp830eCsFXOtm4+Q2ixEJ9kuCuBGdqsj5EkMEiM3pPf/34CatSpYfvvtO 5yTXGPzkPRSBEU+RPFr0v7kgK51fwOjh `protect end_protected
-- ============================================== -- Copyright © 2014 Ali M. Al-Bayaty -- -- Video-Game-Engine is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- any later version. -- -- Video-Game-Engine is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ============================================== -- -- Video Game Engine Project -- ( EDK: VGA 40x30 Resolution, Timer Helper VHDL ) -- -- MSEE student: Ali M. Al-Bayaty -- EE659: System-On-Chip -- Personal website: <http://albayaty.github.io/> -- Source code link: <https://github.com/albayaty/Video-Game-Engine.git> -- -- ============================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity vgatimehelper is port( clk, reset: in std_logic; --send in 50mhz clock hsync, vsync: out std_logic; video_on, p_tick: out std_logic; --p_tick is the 25mhz clock you will --not need to use, video_on is when you --can display a pixel pixel_x, pixel_y: out std_logic_vector (9 downto 0) ); end vgatimehelper; architecture arch of vgatimehelper is -- VGA 640-by-480 sync parameters constant HD: integer:=640; --horizontal display area constant HF: integer:=16 ; --h. front porch constant HB: integer:=48 ; --h. back porch constant HR: integer:=96 ; --h. retrace constant VD: integer:=480; --vertical display area constant VF: integer:=10; --v. front porch constant VB: integer:=33; --v. back porch constant VR: integer:=2; --v. retrace -- mod-2 counter signal mod2_reg, mod2_next: std_logic; -- sync counters signal v_count_reg, v_count_next: unsigned(9 downto 0); signal h_count_reg, h_count_next: unsigned(9 downto 0); -- output buffer signal v_sync_reg, h_sync_reg: std_logic; signal v_sync_next, h_sync_next: std_logic; -- status signal signal h_end, v_end, pixel_tick: std_logic; begin -- registers process (clk,reset) begin if reset='1' then mod2_reg <= '0'; v_count_reg <= (others=>'0'); h_count_reg <= (others=>'0'); v_sync_reg <= '0'; h_sync_reg <= '0'; elsif (clk'event and clk='1') then mod2_reg <= mod2_next; v_count_reg <= v_count_next; h_count_reg <= h_count_next; v_sync_reg <= v_sync_next; h_sync_reg <= h_sync_next; end if; end process; -- mod-2 circuit to generate 25 MHz enable tick mod2_next <= not mod2_reg; -- 25 MHz pixel tick pixel_tick <= '1' when mod2_reg='1' else '0'; -- status h_end <= -- end of horizontal counter '1' when h_count_reg=(HD+HF+HB+HR-1) else --799 '0'; v_end <= -- end of vertical counter '1' when v_count_reg=(VD+VF+VB+VR-1) else --524 '0'; -- mod-800 horizontal sync counter process (h_count_reg,h_end,pixel_tick) begin if pixel_tick='1' then -- 25 MHz tick if h_end='1' then h_count_next <= (others=>'0'); else h_count_next <= h_count_reg + 1; end if; else h_count_next <= h_count_reg; end if; end process; -- mod-525 vertical sync counter process (v_count_reg,h_end,v_end,pixel_tick) begin if pixel_tick='1' and h_end='1' then if (v_end='1') then v_count_next <= (others=>'0'); else v_count_next <= v_count_reg + 1; end if; else v_count_next <= v_count_reg; end if; end process; -- horizontal and vertical sync, buffered to avoid glitch h_sync_next <= '1' when (h_count_reg>=(HD+HF)) --656 and (h_count_reg<=(HD+HF+HR-1)) else --751 '0'; v_sync_next <= '1' when (v_count_reg>=(VD+VF)) --490 and (v_count_reg<=(VD+VF+VR-1)) else --491 '0'; -- video on/off video_on <= '1' when (h_count_reg<HD) and (v_count_reg<VD) else '0'; -- output signal hsync <= h_sync_reg; vsync <= v_sync_reg; pixel_x <= std_logic_vector(h_count_reg); pixel_y <= std_logic_vector(v_count_reg); p_tick <= pixel_tick; end arch;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity myguarded is Port ( i1 : in std_logic; i2 : in std_logic; con : in std_logic; o1 : out std_logic; o2 : out std_logic); end myguarded; architecture Behavioral of myguarded is begin b: block( con = '1' ) begin o1 <= guarded i1; o2 <= i2; end block b; end Behavioral;
library verilog; use verilog.vl_types.all; entity nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0 is port( clk : in vl_logic; ce : in vl_logic; a : in vl_logic_vector(15 downto 0); b : in vl_logic_vector(7 downto 0); p : out vl_logic_vector(23 downto 0) ); end nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0;
-- ------------------------------------------------------------------------------------------- -- Copyright © 2010-2011, Xilinx, Inc. -- This file contains confidential and proprietary information of Xilinx, Inc. and is -- protected under U.S. and international copyright and other intellectual property laws. ------------------------------------------------------------------------------------------- -- -- Disclaimer: -- This disclaimer is not a license and does not grant any rights to the materials -- distributed herewith. Except as otherwise provided in a valid license issued to -- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE -- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY -- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, -- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, -- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable -- (whether in contract or tort, including negligence, or under any other theory -- of liability) for any loss or damage of any kind or nature related to, arising -- under or in connection with these materials, including for any direct, or any -- indirect, special, incidental, or consequential loss or damage (including loss -- of data, profits, goodwill, or any type of loss or damage suffered as a result -- of any action brought by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail-safe, or for use in any -- application requiring fail-safe performance, such as life-support or safety -- devices or systems, Class III medical devices, nuclear facilities, applications -- related to the deployment of airbags, or any other applications that could lead -- to death, personal injury, or severe property or environmental damage -- (individually and collectively, "Critical Applications"). Customer assumes the -- sole risk and liability of any use of Xilinx products in Critical Applications, -- subject only to applicable laws and regulations governing limitations on product -- liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------------------- -- -- -- Definition of a program memory for KCPSM6 including generic parameters for the -- convenient selection of device family, program memory size and the ability to include -- the JTAG Loader hardware for rapid software development. -- -- This file is primarily for use during code development and it is recommended that the -- appropriate simplified program memory definition be used in a final production design. -- -- Generic Values Comments -- Parameter Supported -- -- C_FAMILY "S6" Spartan-6 device -- "V6" Virtex-6 device -- "7S" 7-Series device -- (Artix-7, Kintex-7 or Virtex-7) -- -- C_RAM_SIZE_KWORDS 1, 2 or 4 Size of program memory in K-instructions -- '4' is not supported for 'S6'. -- -- C_JTAG_LOADER_ENABLE 0 or 1 Set to '1' to include JTAG Loader -- -- Notes -- -- If your design contains MULTIPLE KCPSM6 instances then only one should have the -- JTAG Loader enabled at a time (i.e. make sure that C_JTAG_LOADER_ENABLE is only set to -- '1' on one instance of the program memory). Advanced users may be interested to know -- that it is possible to connect JTAG Loader to multiple memories and then to use the -- JTAG Loader utility to specify which memory contents are to be modified. However, -- this scheme does require some effort to set up and the additional connectivity of the -- multiple BRAMs can impact the placement, routing and performance of the complete -- design. Please contact the author at Xilinx for more detailed information. -- -- Regardless of the size of program memory specified by C_RAM_SIZE_KWORDS, the complete -- 12-bit address bus is connected to KCPSM6. This enables the generic to be modified -- without requiring changes to the fundamental hardware definition. However, when the -- program memory is 1K then only the lower 10-bits of the address are actually used and -- the valid address range is 000 to 3FF hex. Likewise, for a 2K program only the lower -- 11-bits of the address are actually used and the valid address range is 000 to 7FF hex. -- -- Programs are stored in Block Memory (BRAM) and the number of BRAM used depends on the -- size of the program and the device family. -- -- In a Spartan-6 device a BRAM is capable of holding 1K instructions. Hence a 2K program -- will require 2 BRAMs to be used. Whilst it is possible to implement a 4K program in a -- Spartan-6 device this is a less natural fit within the architecture and either requires -- 4 BRAMs and a small amount of logic resulting in a lower performance or 5 BRAMs when -- performance is a critical factor. Due to these additional considerations this file -- does not support the selection of 4K when using Spartan-6. If one of these special -- cases is required then please contact the author at Xilinx to discuss and request a -- specific 'ROM_form' template that will meet your requirements. Note that whilst it -- it is possible to divide a Spartan-6 BRAM into 2 smaller memories which would each hold -- a program up to only 512 instructions there is a silicon errata which makes unsuitable. -- -- In a Virtex-6 or any 7-Series device a BRAM is capable of holding 2K instructions so -- obviously a 2K program requires only a single BRAM. Each BRAM can also be divided into -- 2 smaller memories supporting programs of 1K in half of a 36k-bit BRAM (generally reported -- as being an 18k-bit BRAM). For a program of 4K instructions 2 BRAMs are required. -- -- -- Program defined by 'U:\althouse\xcs_dev\groups\paeg_comm\xt_connectivity_trd\design_vc709_phase2\source\clock_control\utils\clock_control_program.psm'. -- -- Generated by KCPSM6 Assembler: 18 Sep 2012 - 15:22:35. -- -- Assembler used ROM_form template: 16th August 2011 -- -- Standard IEEE libraries -- -- package jtag_loader_pkg is function addr_width_calc (size_in_k: integer) return integer; end jtag_loader_pkg; -- package body jtag_loader_pkg is function addr_width_calc (size_in_k: integer) return integer is begin if (size_in_k = 1) then return 10; elsif (size_in_k = 2) then return 11; elsif (size_in_k = 4) then return 12; else report "Invalid BlockRAM size. Please set to 1, 2 or 4 K words." severity FAILURE; end if; return 0; end function addr_width_calc; end package body; -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.jtag_loader_pkg.ALL; -- -- The Unisim Library is used to define Xilinx primitives. It is also used during -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- library unisim; use unisim.vcomponents.all; -- -- entity clock_control_program is generic( C_FAMILY : string := "S6"; C_RAM_SIZE_KWORDS : integer := 1; C_JTAG_LOADER_ENABLE : integer := 0); Port ( address : in std_logic_vector(11 downto 0); instruction : out std_logic_vector(17 downto 0); enable : in std_logic; rdl : out std_logic; clk : in std_logic); end clock_control_program; -- architecture low_level_definition of clock_control_program is -- signal address_a : std_logic_vector(15 downto 0); signal data_in_a : std_logic_vector(35 downto 0); signal data_out_a : std_logic_vector(35 downto 0); signal data_out_a_l : std_logic_vector(35 downto 0); signal data_out_a_h : std_logic_vector(35 downto 0); signal address_b : std_logic_vector(15 downto 0); signal data_in_b : std_logic_vector(35 downto 0); signal data_in_b_l : std_logic_vector(35 downto 0); signal data_out_b : std_logic_vector(35 downto 0); signal data_out_b_l : std_logic_vector(35 downto 0); signal data_in_b_h : std_logic_vector(35 downto 0); signal data_out_b_h : std_logic_vector(35 downto 0); signal enable_b : std_logic; signal clk_b : std_logic; signal we_b : std_logic_vector(7 downto 0); -- signal jtag_addr : std_logic_vector(11 downto 0); signal jtag_we : std_logic; signal jtag_clk : std_logic; signal jtag_din : std_logic_vector(17 downto 0); signal jtag_dout : std_logic_vector(17 downto 0); signal jtag_dout_1 : std_logic_vector(17 downto 0); signal jtag_en : std_logic_vector(0 downto 0); -- signal picoblaze_reset : std_logic_vector(0 downto 0); signal rdl_bus : std_logic_vector(0 downto 0); -- constant BRAM_ADDRESS_WIDTH : integer := addr_width_calc(C_RAM_SIZE_KWORDS); -- -- component jtag_loader_6 generic( C_JTAG_LOADER_ENABLE : integer := 1; C_FAMILY : string := "V6"; C_NUM_PICOBLAZE : integer := 1; C_BRAM_MAX_ADDR_WIDTH : integer := 10; C_PICOBLAZE_INSTRUCTION_DATA_WIDTH : integer := 18; C_JTAG_CHAIN : integer := 2; C_ADDR_WIDTH_0 : integer := 10; C_ADDR_WIDTH_1 : integer := 10; C_ADDR_WIDTH_2 : integer := 10; C_ADDR_WIDTH_3 : integer := 10; C_ADDR_WIDTH_4 : integer := 10; C_ADDR_WIDTH_5 : integer := 10; C_ADDR_WIDTH_6 : integer := 10; C_ADDR_WIDTH_7 : integer := 10); port( picoblaze_reset : out std_logic_vector(C_NUM_PICOBLAZE-1 downto 0); jtag_en : out std_logic_vector(C_NUM_PICOBLAZE-1 downto 0); jtag_din : out STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_addr : out STD_LOGIC_VECTOR(C_BRAM_MAX_ADDR_WIDTH-1 downto 0); jtag_clk : out std_logic; jtag_we : out std_logic; jtag_dout_0 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_1 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_2 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_3 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_4 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_5 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_6 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_7 : in STD_LOGIC_VECTOR(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0)); end component; -- begin -- -- ram_1k_generate : if (C_RAM_SIZE_KWORDS = 1) generate s6: if (C_FAMILY = "S6") generate -- address_a(13 downto 0) <= address(9 downto 0) & "0000"; instruction <= data_out_a(33 downto 32) & data_out_a(15 downto 0); data_in_a <= "0000000000000000000000000000000000" & address(11 downto 10); jtag_dout <= data_out_b(33 downto 32) & data_out_b(15 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b <= "00" & data_out_b(33 downto 32) & "0000000000000000" & data_out_b(15 downto 0); address_b(13 downto 0) <= "00000000000000"; we_b(3 downto 0) <= "0000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b <= "00" & jtag_din(17 downto 16) & "0000000000000000" & jtag_din(15 downto 0); address_b(13 downto 0) <= jtag_addr(9 downto 0) & "0000"; we_b(3 downto 0) <= jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom: RAMB16BWER generic map ( DATA_WIDTH_A => 18, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 18, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"1B03008D1D121B02008D1DE41B01008D1D541B00004D1D8000B40049004616FF", INIT_01 => X"1D001B1F008D1DA01B19008D1D401B0B008D1D081B0A008D1D921B04008D1D15", INIT_02 => X"008D1DEF1B2A008D1D491B29008D1DC21B28008D1D031B21008D1D001B20008D", INIT_03 => X"1B30008D1D771B2F008D1D001B2E008D1D0B1B2D008D1D771B2C008D1D001B2B", INIT_04 => X"157400B800B4204C5000D60416FF5000D6041600204C008D1D401B88008D1D0B", INIT_05 => X"00DC00C54507157400B800B4500000BF900000DC00C505D0900000DC00C54506", INIT_06 => X"00DC00C505B0900000DC00C54506155D00B800B4500000BF00DA0D5000CF9000", INIT_07 => X"00C505B0900000DC00C54506155D00B800B4500000BF900000DC00C505D09000", INIT_08 => X"156800B800B4500000BF00DA0D5000CF900000DC00C54507155D00B8900000DC", INIT_09 => X"00B800B4500000BF900000DC00C505D0900000DC00C505B0900000DC00C54506", INIT_0A => X"00CF900000DC00C54507156800B8900000DC00C505B0900000DC00C545061568", INIT_0B => X"00EA500000DF00FC00EA00FB00E400EE5000DF085F021F01500000BF00DA0D50", INIT_0C => X"110820C69000410E00D500EE20CB00EA60CAC5101180500000EE00FC00E400FB", INIT_0D => X"10FE5000D50100F120D500EE500000DF00FC00E400FB00EA500060D0910100F1", INIT_0E => X"DF085F025000DF082F0010FD500020E6D0019006DF085F01500000FBDF082F00", INIT_0F => X"01010101010101010101500000DF00FE4500D002900600FE00E400FB00EE5000", INIT_10 => X"00000000000000000000000000000000000000000000500061029001100B5000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"8E92ABA3A3A4AA8BA4AAE8E92AA28A08208208208208208208208208208208A8", INITP_01 => X"AAAA42AA8A0B08A822AAAAB62DAAC2AAAAAAA0A8BA4BA3A4AAE8E8E92AA2E92E", INITP_02 => X"00000000000000000000000000000000000000000000000000000000000002D2", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRA => address_a(13 downto 0), ENA => enable, CLKA => clk, DOA => data_out_a(31 downto 0), DOPA => data_out_a(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b(13 downto 0), ENB => enable_b, CLKB => clk_b, DOB => data_out_b(31 downto 0), DOPB => data_out_b(35 downto 32), DIB => data_in_b(31 downto 0), DIPB => data_in_b(35 downto 32), WEB => we_b(3 downto 0), REGCEB => '0', RSTB => '0'); -- end generate s6; -- -- v6 : if (C_FAMILY = "V6") generate -- address_a(13 downto 0) <= address(9 downto 0) & "0000"; instruction <= data_out_a(17 downto 0); data_in_a(17 downto 0) <= "0000000000000000" & address(11 downto 10); jtag_dout <= data_out_b(17 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b(17 downto 0) <= data_out_b(17 downto 0); address_b(13 downto 0) <= "00000000000000"; we_b(3 downto 0) <= "0000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b(17 downto 0) <= jtag_din(17 downto 0); address_b(13 downto 0) <= jtag_addr(9 downto 0) & "0000"; we_b(3 downto 0) <= jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom: RAMB18E1 generic map ( READ_WIDTH_A => 18, WRITE_WIDTH_A => 18, DOA_REG => 0, INIT_A => "000000000000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 18, WRITE_WIDTH_B => 18, DOB_REG => 0, INIT_B => X"000000000000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", SIM_DEVICE => "VIRTEX6", INIT_00 => X"1B03008D1D121B02008D1DE41B01008D1D541B00004D1D8000B40049004616FF", INIT_01 => X"1D001B1F008D1DA01B19008D1D401B0B008D1D081B0A008D1D921B04008D1D15", INIT_02 => X"008D1DEF1B2A008D1D491B29008D1DC21B28008D1D031B21008D1D001B20008D", INIT_03 => X"1B30008D1D771B2F008D1D001B2E008D1D0B1B2D008D1D771B2C008D1D001B2B", INIT_04 => X"157400B800B4204C5000D60416FF5000D6041600204C008D1D401B88008D1D0B", INIT_05 => X"00DC00C54507157400B800B4500000BF900000DC00C505D0900000DC00C54506", INIT_06 => X"00DC00C505B0900000DC00C54506155D00B800B4500000BF00DA0D5000CF9000", INIT_07 => X"00C505B0900000DC00C54506155D00B800B4500000BF900000DC00C505D09000", INIT_08 => X"156800B800B4500000BF00DA0D5000CF900000DC00C54507155D00B8900000DC", INIT_09 => X"00B800B4500000BF900000DC00C505D0900000DC00C505B0900000DC00C54506", INIT_0A => X"00CF900000DC00C54507156800B8900000DC00C505B0900000DC00C545061568", INIT_0B => X"00EA500000DF00FC00EA00FB00E400EE5000DF085F021F01500000BF00DA0D50", INIT_0C => X"110820C69000410E00D500EE20CB00EA60CAC5101180500000EE00FC00E400FB", INIT_0D => X"10FE5000D50100F120D500EE500000DF00FC00E400FB00EA500060D0910100F1", INIT_0E => X"DF085F025000DF082F0010FD500020E6D0019006DF085F01500000FBDF082F00", INIT_0F => X"01010101010101010101500000DF00FE4500D002900600FE00E400FB00EE5000", INIT_10 => X"00000000000000000000000000000000000000000000500061029001100B5000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"8E92ABA3A3A4AA8BA4AAE8E92AA28A08208208208208208208208208208208A8", INITP_01 => X"AAAA42AA8A0B08A822AAAAB62DAAC2AAAAAAA0A8BA4BA3A4AAE8E8E92AA2E92E", INITP_02 => X"00000000000000000000000000000000000000000000000000000000000002D2", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a(13 downto 0), ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a(15 downto 0), DOPADOP => data_out_a(17 downto 16), DIADI => data_in_a(15 downto 0), DIPADIP => data_in_a(17 downto 16), WEA => "00", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b(13 downto 0), ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b(15 downto 0), DOPBDOP => data_out_b(17 downto 16), DIBDI => data_in_b(15 downto 0), DIPBDIP => data_in_b(17 downto 16), WEBWE => we_b(3 downto 0), REGCEB => '0', RSTRAMB => '0', RSTREGB => '0'); -- end generate v6; -- -- akv7 : if (C_FAMILY = "7S") generate -- address_a(13 downto 0) <= address(9 downto 0) & "0000"; instruction <= data_out_a(17 downto 0); data_in_a(17 downto 0) <= "0000000000000000" & address(11 downto 10); jtag_dout <= data_out_b(17 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b(17 downto 0) <= data_out_b(17 downto 0); address_b(13 downto 0) <= "00000000000000"; we_b(3 downto 0) <= "0000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b(17 downto 0) <= jtag_din(17 downto 0); address_b(13 downto 0) <= jtag_addr(9 downto 0) & "0000"; we_b(3 downto 0) <= jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom: RAMB18E1 generic map ( READ_WIDTH_A => 18, WRITE_WIDTH_A => 18, DOA_REG => 0, INIT_A => "000000000000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 18, WRITE_WIDTH_B => 18, DOB_REG => 0, INIT_B => X"000000000000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", SIM_DEVICE => "7SERIES", INIT_00 => X"1B03008D1D121B02008D1DE41B01008D1D541B00004D1D8000B40049004616FF", INIT_01 => X"1D001B1F008D1DA01B19008D1D401B0B008D1D081B0A008D1D921B04008D1D15", INIT_02 => X"008D1DEF1B2A008D1D491B29008D1DC21B28008D1D031B21008D1D001B20008D", INIT_03 => X"1B30008D1D771B2F008D1D001B2E008D1D0B1B2D008D1D771B2C008D1D001B2B", INIT_04 => X"157400B800B4204C5000D60416FF5000D6041600204C008D1D401B88008D1D0B", INIT_05 => X"00DC00C54507157400B800B4500000BF900000DC00C505D0900000DC00C54506", INIT_06 => X"00DC00C505B0900000DC00C54506155D00B800B4500000BF00DA0D5000CF9000", INIT_07 => X"00C505B0900000DC00C54506155D00B800B4500000BF900000DC00C505D09000", INIT_08 => X"156800B800B4500000BF00DA0D5000CF900000DC00C54507155D00B8900000DC", INIT_09 => X"00B800B4500000BF900000DC00C505D0900000DC00C505B0900000DC00C54506", INIT_0A => X"00CF900000DC00C54507156800B8900000DC00C505B0900000DC00C545061568", INIT_0B => X"00EA500000DF00FC00EA00FB00E400EE5000DF085F021F01500000BF00DA0D50", INIT_0C => X"110820C69000410E00D500EE20CB00EA60CAC5101180500000EE00FC00E400FB", INIT_0D => X"10FE5000D50100F120D500EE500000DF00FC00E400FB00EA500060D0910100F1", INIT_0E => X"DF085F025000DF082F0010FD500020E6D0019006DF085F01500000FBDF082F00", INIT_0F => X"01010101010101010101500000DF00FE4500D002900600FE00E400FB00EE5000", INIT_10 => X"00000000000000000000000000000000000000000000500061029001100B5000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"8E92ABA3A3A4AA8BA4AAE8E92AA28A08208208208208208208208208208208A8", INITP_01 => X"AAAA42AA8A0B08A822AAAAB62DAAC2AAAAAAA0A8BA4BA3A4AAE8E8E92AA2E92E", INITP_02 => X"00000000000000000000000000000000000000000000000000000000000002D2", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a(13 downto 0), ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a(15 downto 0), DOPADOP => data_out_a(17 downto 16), DIADI => data_in_a(15 downto 0), DIPADIP => data_in_a(17 downto 16), WEA => "00", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b(13 downto 0), ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b(15 downto 0), DOPBDOP => data_out_b(17 downto 16), DIBDI => data_in_b(15 downto 0), DIPBDIP => data_in_b(17 downto 16), WEBWE => we_b(3 downto 0), REGCEB => '0', RSTRAMB => '0', RSTREGB => '0'); -- end generate akv7; -- end generate ram_1k_generate; -- -- -- ram_2k_generate : if (C_RAM_SIZE_KWORDS = 2) generate -- -- s6: if (C_FAMILY = "S6") generate -- address_a(13 downto 0) <= address(10 downto 0) & "000"; instruction <= data_out_a_h(32) & data_out_a_h(7 downto 0) & data_out_a_l(32) & data_out_a_l(7 downto 0); data_in_a <= "00000000000000000000000000000000000" & address(11); jtag_dout <= data_out_b_h(32) & data_out_b_h(7 downto 0) & data_out_b_l(32) & data_out_b_l(7 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b_l <= "000" & data_out_b_l(32) & "000000000000000000000000" & data_out_b_l(7 downto 0); data_in_b_h <= "000" & data_out_b_h(32) & "000000000000000000000000" & data_out_b_h(7 downto 0); address_b(13 downto 0) <= "00000000000000"; we_b(3 downto 0) <= "0000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b_h <= "000" & jtag_din(17) & "000000000000000000000000" & jtag_din(16 downto 9); data_in_b_l <= "000" & jtag_din(8) & "000000000000000000000000" & jtag_din(7 downto 0); address_b(13 downto 0) <= jtag_addr(10 downto 0) & "000"; we_b(3 downto 0) <= jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom_l: RAMB16BWER generic map ( DATA_WIDTH_A => 9, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 9, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"001F8DA0198D400B8D080A8D92048D15038D12028DE4018D54004D80B44946FF", INIT_01 => X"308D772F8D002E8D0B2D8D772C8D002B8DEF2A8D49298DC2288D03218D00208D", INIT_02 => X"DCC50774B8B400BF00DCC5D000DCC50674B8B44C0004FF0004004C8D40888D0B", INIT_03 => X"C5B000DCC5065DB8B400BF00DCC5D000DCC5B000DCC5065DB8B400BFDA50CF00", INIT_04 => X"B8B400BF00DCC5D000DCC5B000DCC50668B8B400BFDA50CF00DCC5075DB800DC", INIT_05 => X"EA00DFFCEAFBE4EE0008020100BFDA50CF00DCC50768B800DCC5B000DCC50668", INIT_06 => X"FE0001F1D5EE00DFFCE4FBEA00D001F108C6000ED5EECBEACA108000EEFCE4FB", INIT_07 => X"010101010100DFFE000206FEE4FBEE000802000800FD00E60106080100FB0800", INIT_08 => X"0000000000000000000000000000000000000000000000000000000002010B00", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"F880D8332002906000710C2301118218460223043011800DB6DB6DB6DB6DB6D0", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000008", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRA => address_a(13 downto 0), ENA => enable, CLKA => clk, DOA => data_out_a_l(31 downto 0), DOPA => data_out_a_l(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b(13 downto 0), ENB => enable_b, CLKB => clk_b, DOB => data_out_b_l(31 downto 0), DOPB => data_out_b_l(35 downto 32), DIB => data_in_b_l(31 downto 0), DIPB => data_in_b_l(35 downto 32), WEB => we_b(3 downto 0), REGCEB => '0', RSTB => '0'); -- kcpsm6_rom_h: RAMB16BWER generic map ( DATA_WIDTH_A => 9, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 9, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"0E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0000000B", INIT_01 => X"0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D00", INIT_02 => X"0000A20A00002800C8000002C80000A20A000010286B0B286B0B10000E0D000E", INIT_03 => X"0002C80000A20A00002800C8000002C8000002C80000A20A00002800000600C8", INIT_04 => X"00002800C8000002C8000002C80000A20A00002800000600C80000A20A00C800", INIT_05 => X"0028000000000000286F2F0F2800000600C80000A20A00C8000002C80000A20A", INIT_06 => X"08286A00100028000000000028B0C8000810C8A000001000B062082800000000", INIT_07 => X"0000000000280000A2684800000000286F2F286F1708289068486F2F28006F17", INIT_08 => X"00000000000000000000000000000000000000000000000000000028B0C80828", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"FF1FB32E5FFD6F9FFFCEF3DCFEEE7DE7B9FDDCFBCFEE7DB2492492492492492E", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000019", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRA => address_a(13 downto 0), ENA => enable, CLKA => clk, DOA => data_out_a_h(31 downto 0), DOPA => data_out_a_h(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b(13 downto 0), ENB => enable_b, CLKB => clk_b, DOB => data_out_b_h(31 downto 0), DOPB => data_out_b_h(35 downto 32), DIB => data_in_b_h(31 downto 0), DIPB => data_in_b_h(35 downto 32), WEB => we_b(3 downto 0), REGCEB => '0', RSTB => '0'); -- end generate s6; -- -- v6 : if (C_FAMILY = "V6") generate -- address_a <= '0' & address(10 downto 0) & "0000"; instruction <= data_out_a(33 downto 32) & data_out_a(15 downto 0); data_in_a <= "00000000000000000000000000000000000" & address(11); jtag_dout <= data_out_b(33 downto 32) & data_out_b(15 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b <= "00" & data_out_b(33 downto 32) & "0000000000000000" & data_out_b(15 downto 0); address_b <= "0000000000000000"; we_b <= "00000000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b <= "00" & jtag_din(17 downto 16) & "0000000000000000" & jtag_din(15 downto 0); address_b <= '0' & jtag_addr(10 downto 0) & "0000"; we_b <= jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom: RAMB36E1 generic map ( READ_WIDTH_A => 18, WRITE_WIDTH_A => 18, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 18, WRITE_WIDTH_B => 18, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "VIRTEX6", INIT_00 => X"1B03008D1D121B02008D1DE41B01008D1D541B00004D1D8000B40049004616FF", INIT_01 => X"1D001B1F008D1DA01B19008D1D401B0B008D1D081B0A008D1D921B04008D1D15", INIT_02 => X"008D1DEF1B2A008D1D491B29008D1DC21B28008D1D031B21008D1D001B20008D", INIT_03 => X"1B30008D1D771B2F008D1D001B2E008D1D0B1B2D008D1D771B2C008D1D001B2B", INIT_04 => X"157400B800B4204C5000D60416FF5000D6041600204C008D1D401B88008D1D0B", INIT_05 => X"00DC00C54507157400B800B4500000BF900000DC00C505D0900000DC00C54506", INIT_06 => X"00DC00C505B0900000DC00C54506155D00B800B4500000BF00DA0D5000CF9000", INIT_07 => X"00C505B0900000DC00C54506155D00B800B4500000BF900000DC00C505D09000", INIT_08 => X"156800B800B4500000BF00DA0D5000CF900000DC00C54507155D00B8900000DC", INIT_09 => X"00B800B4500000BF900000DC00C505D0900000DC00C505B0900000DC00C54506", INIT_0A => X"00CF900000DC00C54507156800B8900000DC00C505B0900000DC00C545061568", INIT_0B => X"00EA500000DF00FC00EA00FB00E400EE5000DF085F021F01500000BF00DA0D50", INIT_0C => X"110820C69000410E00D500EE20CB00EA60CAC5101180500000EE00FC00E400FB", INIT_0D => X"10FE5000D50100F120D500EE500000DF00FC00E400FB00EA500060D0910100F1", INIT_0E => X"DF085F025000DF082F0010FD500020E6D0019006DF085F01500000FBDF082F00", INIT_0F => X"01010101010101010101500000DF00FE4500D002900600FE00E400FB00EE5000", INIT_10 => X"00000000000000000000000000000000000000000000500061029001100B5000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"8E92ABA3A3A4AA8BA4AAE8E92AA28A08208208208208208208208208208208A8", INITP_01 => X"AAAA42AA8A0B08A822AAAAB62DAAC2AAAAAAA0A8BA4BA3A4AAE8E8E92AA2E92E", INITP_02 => X"00000000000000000000000000000000000000000000000000000000000002D2", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a, ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a(31 downto 0), DOPADOP => data_out_a(35 downto 32), DIADI => data_in_a(31 downto 0), DIPADIP => data_in_a(35 downto 32), WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b(31 downto 0), DOPBDOP => data_out_b(35 downto 32), DIBDI => data_in_b(31 downto 0), DIPBDIP => data_in_b(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0'); -- end generate v6; -- -- akv7 : if (C_FAMILY = "7S") generate -- address_a <= '0' & address(10 downto 0) & "0000"; instruction <= data_out_a(33 downto 32) & data_out_a(15 downto 0); data_in_a <= "00000000000000000000000000000000000" & address(11); jtag_dout <= data_out_b(33 downto 32) & data_out_b(15 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b <= "00" & data_out_b(33 downto 32) & "0000000000000000" & data_out_b(15 downto 0); address_b <= "0000000000000000"; we_b <= "00000000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b <= "00" & jtag_din(17 downto 16) & "0000000000000000" & jtag_din(15 downto 0); address_b <= '0' & jtag_addr(10 downto 0) & "0000"; we_b <= jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom: RAMB36E1 generic map ( READ_WIDTH_A => 18, WRITE_WIDTH_A => 18, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 18, WRITE_WIDTH_B => 18, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "7SERIES", INIT_00 => X"1B03008D1D121B02008D1DE41B01008D1D541B00004D1D8000B40049004616FF", INIT_01 => X"1D001B1F008D1DA01B19008D1D401B0B008D1D081B0A008D1D921B04008D1D15", INIT_02 => X"008D1DEF1B2A008D1D491B29008D1DC21B28008D1D031B21008D1D001B20008D", INIT_03 => X"1B30008D1D771B2F008D1D001B2E008D1D0B1B2D008D1D771B2C008D1D001B2B", INIT_04 => X"157400B800B4204C5000D60416FF5000D6041600204C008D1D401B88008D1D0B", INIT_05 => X"00DC00C54507157400B800B4500000BF900000DC00C505D0900000DC00C54506", INIT_06 => X"00DC00C505B0900000DC00C54506155D00B800B4500000BF00DA0D5000CF9000", INIT_07 => X"00C505B0900000DC00C54506155D00B800B4500000BF900000DC00C505D09000", INIT_08 => X"156800B800B4500000BF00DA0D5000CF900000DC00C54507155D00B8900000DC", INIT_09 => X"00B800B4500000BF900000DC00C505D0900000DC00C505B0900000DC00C54506", INIT_0A => X"00CF900000DC00C54507156800B8900000DC00C505B0900000DC00C545061568", INIT_0B => X"00EA500000DF00FC00EA00FB00E400EE5000DF085F021F01500000BF00DA0D50", INIT_0C => X"110820C69000410E00D500EE20CB00EA60CAC5101180500000EE00FC00E400FB", INIT_0D => X"10FE5000D50100F120D500EE500000DF00FC00E400FB00EA500060D0910100F1", INIT_0E => X"DF085F025000DF082F0010FD500020E6D0019006DF085F01500000FBDF082F00", INIT_0F => X"01010101010101010101500000DF00FE4500D002900600FE00E400FB00EE5000", INIT_10 => X"00000000000000000000000000000000000000000000500061029001100B5000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"8E92ABA3A3A4AA8BA4AAE8E92AA28A08208208208208208208208208208208A8", INITP_01 => X"AAAA42AA8A0B08A822AAAAB62DAAC2AAAAAAA0A8BA4BA3A4AAE8E8E92AA2E92E", INITP_02 => X"00000000000000000000000000000000000000000000000000000000000002D2", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a, ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a(31 downto 0), DOPADOP => data_out_a(35 downto 32), DIADI => data_in_a(31 downto 0), DIPADIP => data_in_a(35 downto 32), WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b(31 downto 0), DOPBDOP => data_out_b(35 downto 32), DIBDI => data_in_b(31 downto 0), DIPBDIP => data_in_b(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0'); -- end generate akv7; -- end generate ram_2k_generate; -- -- ram_4k_generate : if (C_RAM_SIZE_KWORDS = 4) generate s6: if (C_FAMILY = "S6") generate assert(1=0) report "4K BRAM in Spartan-6 is a special case not supported by this template." severity FAILURE; end generate s6; -- -- v6 : if (C_FAMILY = "V6") generate -- address_a <= '0' & address(11 downto 0) & "000"; instruction <= data_out_a_h(32) & data_out_a_h(7 downto 0) & data_out_a_l(32) & data_out_a_l(7 downto 0); data_in_a <= "000000000000000000000000000000000000"; jtag_dout <= data_out_b_h(32) & data_out_b_h(7 downto 0) & data_out_b_l(32) & data_out_b_l(7 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b_l <= "000" & data_out_b_l(32) & "000000000000000000000000" & data_out_b_l(7 downto 0); data_in_b_h <= "000" & data_out_b_h(32) & "000000000000000000000000" & data_out_b_h(7 downto 0); address_b <= "0000000000000000"; we_b <= "00000000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b_h <= "000" & jtag_din(17) & "000000000000000000000000" & jtag_din(16 downto 9); data_in_b_l <= "000" & jtag_din(8) & "000000000000000000000000" & jtag_din(7 downto 0); address_b <= '0' & jtag_addr(11 downto 0) & "000"; we_b <= jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom_l: RAMB36E1 generic map ( READ_WIDTH_A => 9, WRITE_WIDTH_A => 9, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 9, WRITE_WIDTH_B => 9, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "VIRTEX6", INIT_00 => X"001F8DA0198D400B8D080A8D92048D15038D12028DE4018D54004D80B44946FF", INIT_01 => X"308D772F8D002E8D0B2D8D772C8D002B8DEF2A8D49298DC2288D03218D00208D", INIT_02 => X"DCC50774B8B400BF00DCC5D000DCC50674B8B44C0004FF0004004C8D40888D0B", INIT_03 => X"C5B000DCC5065DB8B400BF00DCC5D000DCC5B000DCC5065DB8B400BFDA50CF00", INIT_04 => X"B8B400BF00DCC5D000DCC5B000DCC50668B8B400BFDA50CF00DCC5075DB800DC", INIT_05 => X"EA00DFFCEAFBE4EE0008020100BFDA50CF00DCC50768B800DCC5B000DCC50668", INIT_06 => X"FE0001F1D5EE00DFFCE4FBEA00D001F108C6000ED5EECBEACA108000EEFCE4FB", INIT_07 => X"010101010100DFFE000206FEE4FBEE000802000800FD00E60106080100FB0800", INIT_08 => X"0000000000000000000000000000000000000000000000000000000002010B00", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"F880D8332002906000710C2301118218460223043011800DB6DB6DB6DB6DB6D0", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000008", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a, ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a_l(31 downto 0), DOPADOP => data_out_a_l(35 downto 32), DIADI => data_in_a(31 downto 0), DIPADIP => data_in_a(35 downto 32), WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b_l(31 downto 0), DOPBDOP => data_out_b_l(35 downto 32), DIBDI => data_in_b_l(31 downto 0), DIPBDIP => data_in_b_l(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0'); -- kcpsm6_rom_h: RAMB36E1 generic map ( READ_WIDTH_A => 9, WRITE_WIDTH_A => 9, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 9, WRITE_WIDTH_B => 9, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "VIRTEX6", INIT_00 => X"0E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0000000B", INIT_01 => X"0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D00", INIT_02 => X"0000A20A00002800C8000002C80000A20A000010286B0B286B0B10000E0D000E", INIT_03 => X"0002C80000A20A00002800C8000002C8000002C80000A20A00002800000600C8", INIT_04 => X"00002800C8000002C8000002C80000A20A00002800000600C80000A20A00C800", INIT_05 => X"0028000000000000286F2F0F2800000600C80000A20A00C8000002C80000A20A", INIT_06 => X"08286A00100028000000000028B0C8000810C8A000001000B062082800000000", INIT_07 => X"0000000000280000A2684800000000286F2F286F1708289068486F2F28006F17", INIT_08 => X"00000000000000000000000000000000000000000000000000000028B0C80828", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"FF1FB32E5FFD6F9FFFCEF3DCFEEE7DE7B9FDDCFBCFEE7DB2492492492492492E", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000019", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a, ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a_h(31 downto 0), DOPADOP => data_out_a_h(35 downto 32), DIADI => data_in_a(31 downto 0), DIPADIP => data_in_a(35 downto 32), WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b_h(31 downto 0), DOPBDOP => data_out_b_h(35 downto 32), DIBDI => data_in_b_h(31 downto 0), DIPBDIP => data_in_b_h(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0'); -- end generate v6; -- -- akv7 : if (C_FAMILY = "7S") generate -- address_a <= '0' & address(11 downto 0) & "000"; instruction <= data_out_a_h(32) & data_out_a_h(7 downto 0) & data_out_a_l(32) & data_out_a_l(7 downto 0); data_in_a <= "000000000000000000000000000000000000"; jtag_dout <= data_out_b_h(32) & data_out_b_h(7 downto 0) & data_out_b_l(32) & data_out_b_l(7 downto 0); -- no_loader : if (C_JTAG_LOADER_ENABLE = 0) generate data_in_b_l <= "000" & data_out_b_l(32) & "000000000000000000000000" & data_out_b_l(7 downto 0); data_in_b_h <= "000" & data_out_b_h(32) & "000000000000000000000000" & data_out_b_h(7 downto 0); address_b <= "0000000000000000"; we_b <= "00000000"; enable_b <= '0'; rdl <= '0'; clk_b <= '0'; end generate no_loader; -- loader : if (C_JTAG_LOADER_ENABLE = 1) generate data_in_b_h <= "000" & jtag_din(17) & "000000000000000000000000" & jtag_din(16 downto 9); data_in_b_l <= "000" & jtag_din(8) & "000000000000000000000000" & jtag_din(7 downto 0); address_b <= '0' & jtag_addr(11 downto 0) & "000"; we_b <= jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we & jtag_we; enable_b <= jtag_en(0); rdl <= rdl_bus(0); clk_b <= jtag_clk; end generate loader; -- kcpsm6_rom_l: RAMB36E1 generic map ( READ_WIDTH_A => 9, WRITE_WIDTH_A => 9, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 9, WRITE_WIDTH_B => 9, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "7SERIES", INIT_00 => X"001F8DA0198D400B8D080A8D92048D15038D12028DE4018D54004D80B44946FF", INIT_01 => X"308D772F8D002E8D0B2D8D772C8D002B8DEF2A8D49298DC2288D03218D00208D", INIT_02 => X"DCC50774B8B400BF00DCC5D000DCC50674B8B44C0004FF0004004C8D40888D0B", INIT_03 => X"C5B000DCC5065DB8B400BF00DCC5D000DCC5B000DCC5065DB8B400BFDA50CF00", INIT_04 => X"B8B400BF00DCC5D000DCC5B000DCC50668B8B400BFDA50CF00DCC5075DB800DC", INIT_05 => X"EA00DFFCEAFBE4EE0008020100BFDA50CF00DCC50768B800DCC5B000DCC50668", INIT_06 => X"FE0001F1D5EE00DFFCE4FBEA00D001F108C6000ED5EECBEACA108000EEFCE4FB", INIT_07 => X"010101010100DFFE000206FEE4FBEE000802000800FD00E60106080100FB0800", INIT_08 => X"0000000000000000000000000000000000000000000000000000000002010B00", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"F880D8332002906000710C2301118218460223043011800DB6DB6DB6DB6DB6D0", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000008", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a, ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a_l(31 downto 0), DOPADOP => data_out_a_l(35 downto 32), DIADI => data_in_a(31 downto 0), DIPADIP => data_in_a(35 downto 32), WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b_l(31 downto 0), DOPBDOP => data_out_b_l(35 downto 32), DIBDI => data_in_b_l(31 downto 0), DIPBDIP => data_in_b_l(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0'); -- kcpsm6_rom_h: RAMB36E1 generic map ( READ_WIDTH_A => 9, WRITE_WIDTH_A => 9, DOA_REG => 0, INIT_A => X"000000000", RSTREG_PRIORITY_A => "REGCE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", READ_WIDTH_B => 9, WRITE_WIDTH_B => 9, DOB_REG => 0, INIT_B => X"000000000", RSTREG_PRIORITY_B => "REGCE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", EN_ECC_READ => FALSE, EN_ECC_WRITE => FALSE, RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", SIM_DEVICE => "7SERIES", INIT_00 => X"0E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0000000B", INIT_01 => X"0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D000E0D00", INIT_02 => X"0000A20A00002800C8000002C80000A20A000010286B0B286B0B10000E0D000E", INIT_03 => X"0002C80000A20A00002800C8000002C8000002C80000A20A00002800000600C8", INIT_04 => X"00002800C8000002C8000002C80000A20A00002800000600C80000A20A00C800", INIT_05 => X"0028000000000000286F2F0F2800000600C80000A20A00C8000002C80000A20A", INIT_06 => X"08286A00100028000000000028B0C8000810C8A000001000B062082800000000", INIT_07 => X"0000000000280000A2684800000000286F2F286F1708289068486F2F28006F17", INIT_08 => X"00000000000000000000000000000000000000000000000000000028B0C80828", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"FF1FB32E5FFD6F9FFFCEF3DCFEEE7DE7B9FDDCFBCFEE7DB2492492492492492E", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000019", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000") port map( ADDRARDADDR => address_a, ENARDEN => enable, CLKARDCLK => clk, DOADO => data_out_a_h(31 downto 0), DOPADOP => data_out_a_h(35 downto 32), DIADI => data_in_a(31 downto 0), DIPADIP => data_in_a(35 downto 32), WEA => "0000", REGCEAREGCE => '0', RSTRAMARSTRAM => '0', RSTREGARSTREG => '0', ADDRBWRADDR => address_b, ENBWREN => enable_b, CLKBWRCLK => clk_b, DOBDO => data_out_b_h(31 downto 0), DOPBDOP => data_out_b_h(35 downto 32), DIBDI => data_in_b_h(31 downto 0), DIPBDIP => data_in_b_h(35 downto 32), WEBWE => we_b, REGCEB => '0', RSTRAMB => '0', RSTREGB => '0', CASCADEINA => '0', CASCADEINB => '0', INJECTDBITERR => '0', INJECTSBITERR => '0'); -- end generate akv7; -- end generate ram_4k_generate; -- -- -- -- -- JTAG Loader -- instantiate_loader : if (C_JTAG_LOADER_ENABLE = 1) generate -- jtag_loader_6_inst : jtag_loader_6 generic map( C_FAMILY => C_FAMILY, C_NUM_PICOBLAZE => 1, C_JTAG_LOADER_ENABLE => C_JTAG_LOADER_ENABLE, C_BRAM_MAX_ADDR_WIDTH => BRAM_ADDRESS_WIDTH, C_ADDR_WIDTH_0 => BRAM_ADDRESS_WIDTH) port map( picoblaze_reset => rdl_bus, jtag_en => jtag_en, jtag_din => jtag_din, jtag_addr => jtag_addr(BRAM_ADDRESS_WIDTH-1 downto 0), jtag_clk => jtag_clk, jtag_we => jtag_we, jtag_dout_0 => jtag_dout, jtag_dout_1 => jtag_dout, -- ports 1-7 are not used jtag_dout_2 => jtag_dout, -- in a 1 device debug jtag_dout_3 => jtag_dout, -- session. However, Synplify jtag_dout_4 => jtag_dout, -- etc require all ports to jtag_dout_5 => jtag_dout, -- be connected jtag_dout_6 => jtag_dout, jtag_dout_7 => jtag_dout); -- end generate instantiate_loader; -- end low_level_definition; -- -- ------------------------------------------------------------------------------------------- -- -- JTAG Loader -- ------------------------------------------------------------------------------------------- -- -- -- JTAG Loader 6 - Version 6.00 -- Kris Chaplin 4 February 2010 -- Ken Chapman 15 August 2011 - Revised coding style -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- library unisim; use unisim.vcomponents.all; -- entity jtag_loader_6 is generic( C_JTAG_LOADER_ENABLE : integer := 1; C_FAMILY : string := "V6"; C_NUM_PICOBLAZE : integer := 1; C_BRAM_MAX_ADDR_WIDTH : integer := 10; C_PICOBLAZE_INSTRUCTION_DATA_WIDTH : integer := 18; C_JTAG_CHAIN : integer := 2; C_ADDR_WIDTH_0 : integer := 10; C_ADDR_WIDTH_1 : integer := 10; C_ADDR_WIDTH_2 : integer := 10; C_ADDR_WIDTH_3 : integer := 10; C_ADDR_WIDTH_4 : integer := 10; C_ADDR_WIDTH_5 : integer := 10; C_ADDR_WIDTH_6 : integer := 10; C_ADDR_WIDTH_7 : integer := 10); port( picoblaze_reset : out std_logic_vector(C_NUM_PICOBLAZE-1 downto 0); jtag_en : out std_logic_vector(C_NUM_PICOBLAZE-1 downto 0) := (others => '0'); jtag_din : out std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0) := (others => '0'); jtag_addr : out std_logic_vector(C_BRAM_MAX_ADDR_WIDTH-1 downto 0) := (others => '0'); jtag_clk : out std_logic := '0'; jtag_we : out std_logic := '0'; jtag_dout_0 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_1 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_2 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_3 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_4 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_5 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_6 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); jtag_dout_7 : in std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0)); end jtag_loader_6; -- architecture Behavioral of jtag_loader_6 is -- signal num_picoblaze : std_logic_vector(2 downto 0); signal picoblaze_instruction_data_width : std_logic_vector(4 downto 0); -- signal drck : std_logic; signal shift_clk : std_logic; signal shift_din : std_logic; signal shift_dout : std_logic; signal shift : std_logic; signal capture : std_logic; -- signal control_reg_ce : std_logic; signal bram_ce : std_logic_vector(C_NUM_PICOBLAZE-1 downto 0); signal bus_zero : std_logic_vector(C_NUM_PICOBLAZE-1 downto 0) := (others => '0'); signal jtag_en_int : std_logic_vector(C_NUM_PICOBLAZE-1 downto 0); signal jtag_en_expanded : std_logic_vector(7 downto 0) := (others => '0'); signal jtag_addr_int : std_logic_vector(C_BRAM_MAX_ADDR_WIDTH-1 downto 0); signal jtag_din_int : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal control_din : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0):= (others => '0'); signal control_dout : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0):= (others => '0'); signal control_dout_int : std_logic_vector(7 downto 0):= (others => '0'); signal bram_dout_int : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0) := (others => '0'); signal jtag_we_int : std_logic; signal jtag_clk_int : std_logic; signal bram_ce_valid : std_logic; signal din_load : std_logic; -- signal jtag_dout_0_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_1_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_2_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_3_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_4_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_5_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_6_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal jtag_dout_7_masked : std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto 0); signal picoblaze_reset_int : std_logic_vector(C_NUM_PICOBLAZE-1 downto 0) := (others => '0'); -- begin bus_zero <= (others => '0'); -- jtag_loader_gen: if (C_JTAG_LOADER_ENABLE = 1) generate -- -- Insert BSCAN primitive for target device architecture. -- BSCAN_SPARTAN6_gen: if (C_FAMILY="S6") generate begin BSCAN_BLOCK_inst : BSCAN_SPARTAN6 generic map ( JTAG_CHAIN => C_JTAG_CHAIN) port map( CAPTURE => capture, DRCK => drck, RESET => open, RUNTEST => open, SEL => bram_ce_valid, SHIFT => shift, TCK => open, TDI => shift_din, TMS => open, UPDATE => jtag_clk_int, TDO => shift_dout); end generate BSCAN_SPARTAN6_gen; -- BSCAN_VIRTEX6_gen: if (C_FAMILY="V6") generate begin BSCAN_BLOCK_inst: BSCAN_VIRTEX6 generic map( JTAG_CHAIN => C_JTAG_CHAIN, DISABLE_JTAG => FALSE) port map( CAPTURE => capture, DRCK => drck, RESET => open, RUNTEST => open, SEL => bram_ce_valid, SHIFT => shift, TCK => open, TDI => shift_din, TMS => open, UPDATE => jtag_clk_int, TDO => shift_dout); end generate BSCAN_VIRTEX6_gen; -- BSCAN_7SERIES_gen: if (C_FAMILY="7S") generate begin BSCAN_BLOCK_inst: BSCANE2 generic map( JTAG_CHAIN => C_JTAG_CHAIN, DISABLE_JTAG => "FALSE") port map( CAPTURE => capture, DRCK => drck, RESET => open, RUNTEST => open, SEL => bram_ce_valid, SHIFT => shift, TCK => open, TDI => shift_din, TMS => open, UPDATE => jtag_clk_int, TDO => shift_dout); end generate BSCAN_7SERIES_gen; -- -- -- Insert clock buffer to ensure reliable shift operations. -- upload_clock: BUFG port map( I => drck, O => shift_clk); -- -- -- Shift Register -- -- control_reg_ce_shift: process (shift_clk) begin if shift_clk'event and shift_clk = '1' then if (shift = '1') then control_reg_ce <= shift_din; end if; end if; end process control_reg_ce_shift; -- bram_ce_shift: process (shift_clk) begin if shift_clk'event and shift_clk='1' then if (shift = '1') then if(C_NUM_PICOBLAZE > 1) then for i in 0 to C_NUM_PICOBLAZE-2 loop bram_ce(i+1) <= bram_ce(i); end loop; end if; bram_ce(0) <= control_reg_ce; end if; end if; end process bram_ce_shift; -- bram_we_shift: process (shift_clk) begin if shift_clk'event and shift_clk='1' then if (shift = '1') then jtag_we_int <= bram_ce(C_NUM_PICOBLAZE-1); end if; end if; end process bram_we_shift; -- bram_a_shift: process (shift_clk) begin if shift_clk'event and shift_clk='1' then if (shift = '1') then for i in 0 to C_BRAM_MAX_ADDR_WIDTH-2 loop jtag_addr_int(i+1) <= jtag_addr_int(i); end loop; jtag_addr_int(0) <= jtag_we_int; end if; end if; end process bram_a_shift; -- bram_d_shift: process (shift_clk) begin if shift_clk'event and shift_clk='1' then if (din_load = '1') then jtag_din_int <= bram_dout_int; elsif (shift = '1') then for i in 0 to C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-2 loop jtag_din_int(i+1) <= jtag_din_int(i); end loop; jtag_din_int(0) <= jtag_addr_int(C_BRAM_MAX_ADDR_WIDTH-1); end if; end if; end process bram_d_shift; -- shift_dout <= jtag_din_int(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1); -- -- din_load_select:process (bram_ce, din_load, capture, bus_zero, control_reg_ce) begin if ( bram_ce = bus_zero ) then din_load <= capture and control_reg_ce; else din_load <= capture; end if; end process din_load_select; -- -- -- Control Registers -- num_picoblaze <= conv_std_logic_vector(C_NUM_PICOBLAZE-1,3); picoblaze_instruction_data_width <= conv_std_logic_vector(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1,5); -- control_registers: process(jtag_clk_int) begin if (jtag_clk_int'event and jtag_clk_int = '1') then if (bram_ce_valid = '1') and (jtag_we_int = '0') and (control_reg_ce = '1') then case (jtag_addr_int(3 downto 0)) is when "0000" => -- 0 = version - returns (7 downto 4) illustrating number of PB -- and (3 downto 0) picoblaze instruction data width control_dout_int <= num_picoblaze & picoblaze_instruction_data_width; when "0001" => -- 1 = PicoBlaze 0 reset / status if (C_NUM_PICOBLAZE >= 1) then control_dout_int <= picoblaze_reset_int(0) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_0-1,5) ); else control_dout_int <= (others => '0'); end if; when "0010" => -- 2 = PicoBlaze 1 reset / status if (C_NUM_PICOBLAZE >= 2) then control_dout_int <= picoblaze_reset_int(1) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_1-1,5) ); else control_dout_int <= (others => '0'); end if; when "0011" => -- 3 = PicoBlaze 2 reset / status if (C_NUM_PICOBLAZE >= 3) then control_dout_int <= picoblaze_reset_int(2) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_2-1,5) ); else control_dout_int <= (others => '0'); end if; when "0100" => -- 4 = PicoBlaze 3 reset / status if (C_NUM_PICOBLAZE >= 4) then control_dout_int <= picoblaze_reset_int(3) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_3-1,5) ); else control_dout_int <= (others => '0'); end if; when "0101" => -- 5 = PicoBlaze 4 reset / status if (C_NUM_PICOBLAZE >= 5) then control_dout_int <= picoblaze_reset_int(4) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_4-1,5) ); else control_dout_int <= (others => '0'); end if; when "0110" => -- 6 = PicoBlaze 5 reset / status if (C_NUM_PICOBLAZE >= 6) then control_dout_int <= picoblaze_reset_int(5) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_5-1,5) ); else control_dout_int <= (others => '0'); end if; when "0111" => -- 7 = PicoBlaze 6 reset / status if (C_NUM_PICOBLAZE >= 7) then control_dout_int <= picoblaze_reset_int(6) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_6-1,5) ); else control_dout_int <= (others => '0'); end if; when "1000" => -- 8 = PicoBlaze 7 reset / status if (C_NUM_PICOBLAZE >= 8) then control_dout_int <= picoblaze_reset_int(7) & "00" & (conv_std_logic_vector(C_ADDR_WIDTH_7-1,5) ); else control_dout_int <= (others => '0'); end if; when "1111" => control_dout_int <= conv_std_logic_vector(C_BRAM_MAX_ADDR_WIDTH -1,8); when others => control_dout_int <= (others => '1'); end case; else control_dout_int <= (others => '0'); end if; end if; end process control_registers; -- control_dout(C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-1 downto C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-8) <= control_dout_int; -- pb_reset: process(jtag_clk_int) begin if (jtag_clk_int'event and jtag_clk_int = '1') then if (bram_ce_valid = '1') and (jtag_we_int = '1') and (control_reg_ce = '1') then picoblaze_reset_int(C_NUM_PICOBLAZE-1 downto 0) <= control_din(C_NUM_PICOBLAZE-1 downto 0); end if; end if; end process pb_reset; -- -- -- Assignments -- control_dout (C_PICOBLAZE_INSTRUCTION_DATA_WIDTH-9 downto 0) <= (others => '0') when (C_PICOBLAZE_INSTRUCTION_DATA_WIDTH > 8); -- -- Qualify the blockram CS signal with bscan select output jtag_en_int <= bram_ce when bram_ce_valid = '1' else (others => '0'); -- jtag_en_expanded(C_NUM_PICOBLAZE-1 downto 0) <= jtag_en_int; jtag_en_expanded(7 downto C_NUM_PICOBLAZE) <= (others => '0') when (C_NUM_PICOBLAZE < 8); -- bram_dout_int <= control_dout or jtag_dout_0_masked or jtag_dout_1_masked or jtag_dout_2_masked or jtag_dout_3_masked or jtag_dout_4_masked or jtag_dout_5_masked or jtag_dout_6_masked or jtag_dout_7_masked; -- control_din <= jtag_din_int; -- jtag_dout_0_masked <= jtag_dout_0 when jtag_en_expanded(0) = '1' else (others => '0'); jtag_dout_1_masked <= jtag_dout_1 when jtag_en_expanded(1) = '1' else (others => '0'); jtag_dout_2_masked <= jtag_dout_2 when jtag_en_expanded(2) = '1' else (others => '0'); jtag_dout_3_masked <= jtag_dout_3 when jtag_en_expanded(3) = '1' else (others => '0'); jtag_dout_4_masked <= jtag_dout_4 when jtag_en_expanded(4) = '1' else (others => '0'); jtag_dout_5_masked <= jtag_dout_5 when jtag_en_expanded(5) = '1' else (others => '0'); jtag_dout_6_masked <= jtag_dout_6 when jtag_en_expanded(6) = '1' else (others => '0'); jtag_dout_7_masked <= jtag_dout_7 when jtag_en_expanded(7) = '1' else (others => '0'); -- jtag_en <= jtag_en_int; jtag_din <= jtag_din_int; jtag_addr <= jtag_addr_int; jtag_clk <= jtag_clk_int; jtag_we <= jtag_we_int; picoblaze_reset <= picoblaze_reset_int; -- end generate jtag_loader_gen; -- end Behavioral; -- -- ------------------------------------------------------------------------------------ -- -- END OF FILE clock_control_program.vhd -- ------------------------------------------------------------------------------------
architecture RTL of FIFO is begin process begin sig1 <= sig2; sig2 <= sig3; end process; -- Violations below process begin sig1 <=sig2; sig2 <= sig3; end process; end architecture RTL;
library verilog; use verilog.vl_types.all; entity usb_system_clocks_stdsync_sv6 is port( clk : in vl_logic; din : in vl_logic; dout : out vl_logic; reset_n : in vl_logic ); end usb_system_clocks_stdsync_sv6;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc582.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:36 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:50 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:14 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00582ent IS END c03s04b01x00p01n01i00582ent; ARCHITECTURE c03s04b01x00p01n01i00582arch OF c03s04b01x00p01n01i00582ent IS type string_file is file of string; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : string_file open read_mode is "iofile.21"; variable v : string(1 to 7); variable len : natural; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v,len); assert(len = 7) report "wrong length passed during read operation"; if (v /= "shishir" or len /= 7) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00582" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00582 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00582arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc582.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:36 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:50 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:14 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00582ent IS END c03s04b01x00p01n01i00582ent; ARCHITECTURE c03s04b01x00p01n01i00582arch OF c03s04b01x00p01n01i00582ent IS type string_file is file of string; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : string_file open read_mode is "iofile.21"; variable v : string(1 to 7); variable len : natural; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v,len); assert(len = 7) report "wrong length passed during read operation"; if (v /= "shishir" or len /= 7) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00582" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00582 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00582arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc582.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:36 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:50 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:14 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00582ent IS END c03s04b01x00p01n01i00582ent; ARCHITECTURE c03s04b01x00p01n01i00582arch OF c03s04b01x00p01n01i00582ent IS type string_file is file of string; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : string_file open read_mode is "iofile.21"; variable v : string(1 to 7); variable len : natural; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v,len); assert(len = 7) report "wrong length passed during read operation"; if (v /= "shishir" or len /= 7) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00582" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00582 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00582arch;
---------------------------------------------------------------------------------- -- Felix Winterstein, Imperial College London -- -- Module Name: divider_top - Behavioral -- -- Revision 1.01 -- Additional Comments: distributed under a BSD license, see LICENSE.txt -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use ieee.math_real.all; use work.lloyds_algorithm_pkg.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity divider_top is generic ( ROUND : boolean := false ); port ( clk : in std_logic; sclr : in std_logic; nd : in std_logic; dividend : in data_type_ext; divisor : in coord_type; rdy : out std_logic; quotient : out data_type; divide_by_zero : out std_logic ); end divider_top; architecture Behavioral of divider_top is constant QUOTIENT_BITWIDTH : integer := COORD_BITWIDTH_EXT; constant FRACTIONAL_BITWIDTH : integer := COORD_BITWIDTH_EXT-COORD_BITWIDTH; type divider_result_type is array(0 to D-1) of std_logic_vector(QUOTIENT_BITWIDTH+FRACTIONAL_BITWIDTH-1 downto 0); type quotient_type is array(0 to D-1) of std_logic_vector(QUOTIENT_BITWIDTH-1 downto 0); component divider port ( aclk : IN std_logic; s_axis_divisor_tvalid : IN std_logic; --s_axis_divisor_tready : OUT std_logic; s_axis_divisor_tdata : IN std_logic_vector(COORD_BITWIDTH-1 DOWNTO 0); s_axis_dividend_tvalid : IN std_logic; --s_axis_dividend_tready : OUT std_logic; s_axis_dividend_tdata : IN std_logic_vector(COORD_BITWIDTH_EXT-1 DOWNTO 0); m_axis_dout_tvalid : OUT std_logic; m_axis_dout_tdata : OUT std_logic_vector(QUOTIENT_BITWIDTH+FRACTIONAL_BITWIDTH-1 DOWNTO 0) --m_axis_dout_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); end component; component divider_v3_0 port ( clk : IN std_logic; sclr : IN std_logic; s_axis_divisor_tvalid : IN std_logic; divisor : IN std_logic_vector(COORD_BITWIDTH-1 DOWNTO 0); dividend : IN std_logic_vector(COORD_BITWIDTH_EXT-1 DOWNTO 0); quotient: OUT std_logic_vector(QUOTIENT_BITWIDTH-1 DOWNTO 0); fractional : OUT std_logic_vector(FRACTIONAL_BITWIDTH-1 downto 0) ); end component; component dsp_round generic ( BITWIDTH_IN : integer := 32; BITWIDTH_OUT : integer := 32 ); port ( sclr : in std_logic; nd : in std_logic; AB_IN : in std_logic_vector (BITWIDTH_IN-1 downto 0); CARRYIN_IN : in std_logic; CLK_IN : in std_logic; C_IN : in std_logic_vector (BITWIDTH_IN-1 downto 0); P_OUT : out std_logic_vector (BITWIDTH_OUT-1 downto 0); rdy : out std_logic ); end component; signal tmp_divisor : coord_type; signal divider_result : divider_result_type; signal tmp_quotient : quotient_type; signal divider_valid : std_logic_vector(0 to D-1); signal tmp_divide_by_zero : std_logic_vector(0 to D-1); signal round_valid : std_logic_vector(0 to D-1); signal c_in_const : std_logic_vector(COORD_BITWIDTH_EXT-1 downto 0); begin c_in_const(QUOTIENT_BITWIDTH-1 downto QUOTIENT_BITWIDTH-FRACTIONAL_BITWIDTH-1) <= (others => '0'); c_in_const(QUOTIENT_BITWIDTH-FRACTIONAL_BITWIDTH-2 downto 0) <= (others => '1'); tmp_divisor <= std_logic_vector(to_unsigned(1,COORD_BITWIDTH)) WHEN divisor = std_logic_vector(to_unsigned(0,COORD_BITWIDTH)) ELSE divisor; G_DIV : for I in 0 to D-1 generate divider_inst : divider port map ( aclk => clk, s_axis_divisor_tvalid => nd, --s_axis_divisor_tready => open, s_axis_divisor_tdata => tmp_divisor, s_axis_dividend_tvalid => nd, --s_axis_dividend_tready => open, s_axis_dividend_tdata => dividend(I), m_axis_dout_tvalid => divider_valid(I), m_axis_dout_tdata => divider_result(I) --m_axis_dout_tuser(0) => tmp_divide_by_zero(I) ); tmp_quotient(I) <= divider_result(I)(QUOTIENT_BITWIDTH+FRACTIONAL_BITWIDTH-1 downto FRACTIONAL_BITWIDTH); G_ROUND : if ROUND = true generate dsp_round_inst : dsp_round generic map ( BITWIDTH_IN => COORD_BITWIDTH_EXT, BITWIDTH_OUT => COORD_BITWIDTH ) port map ( sclr => sclr, nd => divider_valid(I), AB_IN => divider_result(I)(COORD_BITWIDTH_EXT-1 downto 0), CARRYIN_IN => divider_result(I)(COORD_BITWIDTH_EXT-1), -- round towards zero CLK_IN => clk, C_IN => c_in_const, P_OUT => quotient(I), rdy => round_valid(I) ); end generate G_ROUND; G_NO_ROUND : if ROUND = false generate quotient(I) <= divider_result(I)(QUOTIENT_BITWIDTH-1 downto FRACTIONAL_BITWIDTH); end generate G_NO_ROUND; end generate G_DIV; G_NO_ROUND_1 : if ROUND = false generate rdy <= divider_valid(0); end generate G_NO_ROUND_1; G_ROUND_1 : if ROUND = true generate rdy <= round_valid(0); end generate G_ROUND_1; divide_by_zero <= '0';--tmp_divide_by_zero(0); end Behavioral;
------------------------------------------------------------------------------- --! @file nShiftRegRtl.vhd -- --! @brief Shift register with n-bit-width -- --! @details This shift register implementation provides a configurable width. ------------------------------------------------------------------------------- -- -- (c) B&R, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity nShiftReg is generic ( --! Data width gWidth : natural := 8; --! Number of tabs gTabs : natural := 4; --! Shift direction ("left" or "right") gShiftDir : string := "left" ); port ( --! Asynchronous reset iArst : in std_logic; --! Clock iClk : in std_logic; --! Parallel Load iLoad : in std_logic; --! Shift Enable iShift : in std_logic; --! Load Data (gTabs x gWidth) iLoadData : in std_logic_vector(gWidth*gTabs-1 downto 0); --! Parallel Output Data oParData : out std_logic_vector(gWidth*gTabs-1 downto 0); --! Input Shift Data iData : in std_logic_vector(gWidth-1 downto 0); --! Ouptut Shift Data oData : out std_logic_vector(gWidth-1 downto 0) ); end nShiftReg; architecture rtl of nShiftReg is --! Shift register type type tShiftReg is array (gTabs-1 downto 0) of std_logic_vector(gWidth-1 downto 0); --! Function to convert std_logic_vector into tShiftReg function convStdLogicToShiftReg (din : std_logic_vector) return tShiftReg is variable vTmp : tShiftReg; begin --default vTmp := (others => (others => cInactivated)); --loop tab-wise for i in gTabs-1 downto 0 loop vTmp(i) := din((i+1)*gWidth-1 downto i*gWidth); end loop; return vTmp; end function; --! Function to convert tShiftReg into std_logic_vector function convShiftRegToStdLogic (din : tShiftReg) return std_logic_vector is variable vTmp : std_logic_vector(gWidth*gTabs-1 downto 0); begin --default vTmp := (others => cInactivated); --loop tab-wise for i in gTabs-1 downto 0 loop vTmp((i+1)*gWidth-1 downto i*gWidth) := din(i); end loop; return vTmp; end function; --! Shift register signal reg, reg_next : tShiftReg; begin assert (gShiftDir = "left" or gShiftDir = "right") report "Set either left or right for shift direction!" severity failure; --serial output oData <= reg(reg'right) when gShiftDir = "right" else reg(reg'left); --parallel output oParData <= convShiftRegToStdLogic(reg); --! Process doing loading and shifting comb : process ( reg, iLoad, iShift, iLoadData, iData ) begin --default reg_next <= reg; if iLoad = cActivated then reg_next <= convStdLogicToShiftReg(iLoadData); elsif iShift = cActivated then if gShiftDir = "right" then reg_next <= iData & reg(reg'left downto 1); else reg_next <= reg(reg'left-1 downto 0) & iData; end if; end if; end process; --! Register process regClk : process(iArst, iClk) begin if iArst = cActivated then reg <= (others => (others => cInactivated)); elsif rising_edge(iClk) then reg <= reg_next; end if; end process; end rtl;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Package: Common functions and types -- -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Description: -- ------------------------------------ -- For detailed documentation see below. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.my_config.all; package utils is -- PoC settings -- ========================================================================== constant POC_VERBOSE : BOOLEAN := MY_VERBOSE; -- Environment -- ========================================================================== -- Distinguishes simulation from synthesis constant SIMULATION : BOOLEAN; -- deferred constant declaration -- Type declarations -- ========================================================================== --+ Vectors of primitive standard types +++++++++++++++++++++++++++++++++++++ type T_BOOLVEC is array(NATURAL range <>) of BOOLEAN; type T_INTVEC is array(NATURAL range <>) of INTEGER; type T_NATVEC is array(NATURAL range <>) of NATURAL; type T_POSVEC is array(NATURAL range <>) of POSITIVE; type T_REALVEC is array(NATURAL range <>) of REAL; --+ Integer subranges sometimes useful for speeding up simulation ++++++++++ subtype T_INT_8 is INTEGER range -128 to 127; subtype T_INT_16 is INTEGER range -32768 to 32767; subtype T_UINT_8 is INTEGER range 0 to 255; subtype T_UINT_16 is INTEGER range 0 to 65535; --+ Enums ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Intellectual Property (IP) type type T_IPSTYLE is (IPSTYLE_HARD, IPSTYLE_SOFT); -- Bit Order type T_BIT_ORDER is (LSB_FIRST, MSB_FIRST); -- Byte Order (Endian) type T_BYTE_ORDER is (LITTLE_ENDIAN, BIG_ENDIAN); -- rounding style type T_ROUNDING_STYLE is (ROUND_TO_NEAREST, ROUND_TO_ZERO, ROUND_TO_INF, ROUND_UP, ROUND_DOWN); type T_BCD is array(3 downto 0) of std_logic; type T_BCD_VECTOR is array(NATURAL range <>) of T_BCD; constant C_BCD_MINUS : T_BCD := "1010"; constant C_BCD_OFF : T_BCD := "1011"; -- Function declarations -- ========================================================================== --+ Division ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Calculates: ceil(a / b) function div_ceil(a : NATURAL; b : POSITIVE) return NATURAL; --+ Power +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- is input a power of 2? function is_pow2(int : NATURAL) return BOOLEAN; -- round to next power of 2 function ceil_pow2(int : NATURAL) return POSITIVE; -- round to previous power of 2 function floor_pow2(int : NATURAL) return NATURAL; --+ Logarithm ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Calculates: ceil(ld(arg)) function log2ceil(arg : positive) return natural; -- Calculates: max(1, ceil(ld(arg))) function log2ceilnz(arg : positive) return positive; -- Calculates: ceil(lg(arg)) function log10ceil(arg : POSITIVE) return NATURAL; -- Calculates: max(1, ceil(lg(arg))) function log10ceilnz(arg : POSITIVE) return POSITIVE; --+ if-then-else (ite) +++++++++++++++++++++++++++++++++++++++++++++++++++++ function ite(cond : BOOLEAN; value1 : BOOLEAN; value2 : BOOLEAN) return BOOLEAN; function ite(cond : BOOLEAN; value1 : INTEGER; value2 : INTEGER) return INTEGER; function ite(cond : BOOLEAN; value1 : REAL; value2 : REAL) return REAL; function ite(cond : BOOLEAN; value1 : STD_LOGIC; value2 : STD_LOGIC) return STD_LOGIC; function ite(cond : BOOLEAN; value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function ite(cond : BOOLEAN; value1 : BIT_VECTOR; value2 : BIT_VECTOR) return BIT_VECTOR; function ite(cond : BOOLEAN; value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED; function ite(cond : BOOLEAN; value1 : CHARACTER; value2 : CHARACTER) return CHARACTER; function ite(cond : BOOLEAN; value1 : STRING; value2 : STRING) return STRING; --+ Max / Min / Sum ++++++++++++++++++++++++++++++++++++++++++++++++++++++++ function imin(arg1 : integer; arg2 : integer) return integer; -- Calculates: min(arg1, arg2) for integers function rmin(arg1 : real; arg2 : real) return real; -- Calculates: min(arg1, arg2) for reals function imin(vec : T_INTVEC) return INTEGER; -- Calculates: min(vec) for a integer vector function imin(vec : T_NATVEC) return NATURAL; -- Calculates: min(vec) for a natural vector function imin(vec : T_POSVEC) return POSITIVE; -- Calculates: min(vec) for a positive vector function rmin(vec : T_REALVEC) return real; -- Calculates: min(vec) of real vector function imax(arg1 : integer; arg2 : integer) return integer; -- Calculates: max(arg1, arg2) for integers function rmax(arg1 : real; arg2 : real) return real; -- Calculates: max(arg1, arg2) for reals function imax(vec : T_INTVEC) return INTEGER; -- Calculates: max(vec) for a integer vector function imax(vec : T_NATVEC) return NATURAL; -- Calculates: max(vec) for a natural vector function imax(vec : T_POSVEC) return POSITIVE; -- Calculates: max(vec) for a positive vector function rmax(vec : T_REALVEC) return real; -- Calculates: max(vec) of real vector function isum(vec : T_NATVEC) return NATURAL; -- Calculates: sum(vec) for a natural vector function isum(vec : T_POSVEC) return natural; -- Calculates: sum(vec) for a positive vector function isum(vec : T_INTVEC) return integer; -- Calculates: sum(vec) of integer vector function rsum(vec : T_REALVEC) return real; -- Calculates: sum(vec) of real vector --+ Conversions ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- to integer: to_int function to_int(bool : BOOLEAN; zero : INTEGER := 0; one : INTEGER := 1) return INTEGER; function to_int(sl : STD_LOGIC; zero : INTEGER := 0; one : INTEGER := 1) return INTEGER; -- to std_logic: to_sl function to_sl(Value : BOOLEAN) return STD_LOGIC; function to_sl(Value : CHARACTER) return STD_LOGIC; -- to std_logic_vector: to_slv function to_slv(Value : NATURAL; Size : POSITIVE) return STD_LOGIC_VECTOR; -- short for std_logic_vector(to_unsigned(Value, Size)) -- TODO: comment function to_index(slv : UNSIGNED; max : NATURAL := 0) return INTEGER; function to_index(slv : STD_LOGIC_VECTOR; max : NATURAL := 0) return INTEGER; -- is_* function is_sl(c : CHARACTER) return BOOLEAN; --+ Basic Vector Utilities +++++++++++++++++++++++++++++++++++++++++++++++++ -- Aggregate functions function slv_or (vec : STD_LOGIC_VECTOR) return STD_LOGIC; function slv_nor (vec : STD_LOGIC_VECTOR) return STD_LOGIC; function slv_and (vec : STD_LOGIC_VECTOR) return STD_LOGIC; function slv_nand(vec : STD_LOGIC_VECTOR) return STD_LOGIC; function slv_xor (vec : std_logic_vector) return std_logic; -- NO slv_xnor! This operation would not be well-defined as -- not xor(vec) /= vec_{n-1} xnor ... xnor vec_1 xnor vec_0 iff n is odd. -- Reverses the elements of the passed Vector. -- -- @synthesis supported -- function reverse(vec : std_logic_vector) return std_logic_vector; function reverse(vec : bit_vector) return bit_vector; function reverse(vec : unsigned) return unsigned; -- Resizes the vector to the specified length. The adjustment is make on -- on the 'high end of the vector. The 'low index remains as in the argument. -- If the result vector is larger, the extension uses the provided fill value -- (default: '0'). -- Use the resize functions of the numeric_std package for value-preserving -- resizes of the signed and unsigned data types. -- -- @synthesis supported -- function resize(vec : bit_vector; length : natural; fill : bit := '0') return bit_vector; function resize(vec : std_logic_vector; length : natural; fill : std_logic := '0') return std_logic_vector; -- Shift the index range of a vector by the specified offset. function move(vec : std_logic_vector; ofs : integer) return std_logic_vector; -- Shift the index range of a vector making vec'low = 0. function movez(vec : std_logic_vector) return std_logic_vector; function ascend(vec : std_logic_vector) return std_logic_vector; function descend(vec : std_logic_vector) return std_logic_vector; -- Least-Significant Set Bit (lssb): -- Computes a vector of the same length as the argument with -- at most one bit set at the rightmost '1' found in arg. -- -- @synthesis supported -- function lssb(arg : std_logic_vector) return std_logic_vector; function lssb(arg : bit_vector) return bit_vector; -- Returns the index of the least-significant set bit. -- -- @synthesis supported -- function lssb_idx(arg : std_logic_vector) return integer; function lssb_idx(arg : bit_vector) return integer; -- Most-Significant Set Bit (mssb): computes a vector of the same length -- with at most one bit set at the leftmost '1' found in arg. function mssb(arg : std_logic_vector) return std_logic_vector; function mssb(arg : bit_vector) return bit_vector; function mssb_idx(arg : std_logic_vector) return integer; function mssb_idx(arg : bit_vector) return integer; -- Swap sub vectors in vector (endian reversal) function swap(slv : STD_LOGIC_VECTOR; Size : POSITIVE) return STD_LOGIC_VECTOR; -- generate bit masks function genmask_high(Bits : NATURAL; MaskLength : POSITIVE) return STD_LOGIC_VECTOR; function genmask_low(Bits : NATURAL; MaskLength : POSITIVE) return STD_LOGIC_VECTOR; --+ Encodings ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- One-Hot-Code to Binary-Code. function onehot2bin(onehot : std_logic_vector) return unsigned; -- Converts Gray-Code into Binary-Code. -- -- @synthesis supported -- function gray2bin (gray_val : std_logic_vector) return std_logic_vector; -- Binary-Code to One-Hot-Code function bin2onehot(value : std_logic_vector) return std_logic_vector; -- Binary-Code to Gray-Code function bin2gray(value : std_logic_vector) return std_logic_vector; end package; package body utils is -- Environment -- ========================================================================== function is_simulation return boolean is variable ret : boolean; begin ret := false; --synthesis translate_off if Is_X('X') then ret := true; end if; --synthesis translate_on return ret; end function; -- deferred constant assignment constant SIMULATION : BOOLEAN := is_simulation; -- Divisions: div_* function div_ceil(a : NATURAL; b : POSITIVE) return NATURAL is -- calculates: ceil(a / b) begin return (a + (b - 1)) / b; end function; -- Power functions: *_pow2 -- ========================================================================== -- is input a power of 2? function is_pow2(int : NATURAL) return BOOLEAN is begin return ceil_pow2(int) = int; end function; -- round to next power of 2 function ceil_pow2(int : NATURAL) return POSITIVE is begin return 2 ** log2ceil(int); end function; -- round to previous power of 2 function floor_pow2(int : NATURAL) return NATURAL is variable temp : UNSIGNED(30 downto 0); begin temp := to_unsigned(int, 31); for i in temp'range loop if (temp(i) = '1') then return 2 ** i; end if; end loop; return 0; end function; -- Logarithms: log*ceil* -- ========================================================================== function log2ceil(arg : positive) return natural is variable tmp : positive; variable log : natural; begin if arg = 1 then return 0; end if; tmp := 1; log := 0; while arg > tmp loop tmp := tmp * 2; log := log + 1; end loop; return log; end function; function log2ceilnz(arg : positive) return positive is begin return imax(1, log2ceil(arg)); end function; function log10ceil(arg : positive) return natural is variable tmp : positive; variable log : natural; begin if arg = 1 then return 0; end if; tmp := 1; log := 0; while arg > tmp loop tmp := tmp * 10; log := log + 1; end loop; return log; end function; function log10ceilnz(arg : positive) return positive is begin return imax(1, log10ceil(arg)); end function; -- if-then-else (ite) -- ========================================================================== function ite(cond : BOOLEAN; value1 : BOOLEAN; value2 : BOOLEAN) return BOOLEAN is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : INTEGER; value2 : INTEGER) return INTEGER is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : REAL; value2 : REAL) return REAL is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : STD_LOGIC; value2 : STD_LOGIC) return STD_LOGIC is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : BIT_VECTOR; value2 : BIT_VECTOR) return BIT_VECTOR is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : CHARACTER; value2 : CHARACTER) return CHARACTER is begin if cond then return value1; else return value2; end if; end function; function ite(cond : BOOLEAN; value1 : STRING; value2 : STRING) return STRING is begin if cond then return value1; else return value2; end if; end function; -- *min / *max / *sum -- ========================================================================== function imin(arg1 : integer; arg2 : integer) return integer is begin if arg1 < arg2 then return arg1; end if; return arg2; end function; function rmin(arg1 : real; arg2 : real) return real is begin if arg1 < arg2 then return arg1; end if; return arg2; end function; function imin(vec : T_INTVEC) return INTEGER is variable Result : INTEGER; begin Result := INTEGER'high; for i in vec'range loop if (vec(I) < Result) then Result := vec(I); end if; end loop; return Result; end function; function imin(vec : T_NATVEC) return NATURAL is variable Result : NATURAL; begin Result := NATURAL'high; for i in vec'range loop if (vec(I) < Result) then Result := vec(I); end if; end loop; return Result; end function; function imin(vec : T_POSVEC) return POSITIVE is variable Result : POSITIVE; begin Result := POSITIVE'high; for i in vec'range loop if (vec(I) < Result) then Result := vec(I); end if; end loop; return Result; end function; function rmin(vec : T_REALVEC) return REAL is variable Result : REAL; begin Result := REAL'high; for i in vec'range loop if vec(i) < Result then Result := vec(i); end if; end loop; return Result; end function; function imax(arg1 : integer; arg2 : integer) return integer is begin if arg1 > arg2 then return arg1; end if; return arg2; end function; function rmax(arg1 : real; arg2 : real) return real is begin if arg1 > arg2 then return arg1; end if; return arg2; end function; function imax(vec : T_INTVEC) return INTEGER is variable Result : INTEGER; begin Result := INTEGER'low; for i in vec'range loop if (vec(I) > Result) then Result := vec(I); end if; end loop; return Result; end function; function imax(vec : T_NATVEC) return NATURAL is variable Result : NATURAL; begin Result := NATURAL'low; for i in vec'range loop if (vec(I) > Result) then Result := vec(I); end if; end loop; return Result; end function; function imax(vec : T_POSVEC) return POSITIVE is variable Result : POSITIVE; begin Result := POSITIVE'low; for i in vec'range loop if (vec(I) > Result) then Result := vec(I); end if; end loop; return Result; end function; function rmax(vec : T_REALVEC) return REAL is variable Result : REAL; begin Result := REAL'low; for i in vec'range loop if vec(i) > Result then Result := vec(i); end if; end loop; return Result; end function; function isum(vec : T_INTVEC) return INTEGER is variable Result : INTEGER; begin Result := 0; for i in vec'range loop Result := Result + vec(i); end loop; return Result; end function; function isum(vec : T_NATVEC) return NATURAL is variable Result : NATURAL; begin Result := 0; for i in vec'range loop Result := Result + vec(I); end loop; return Result; end function; function isum(vec : T_POSVEC) return natural is variable Result : natural; begin Result := 0; for i in vec'range loop Result := Result + vec(I); end loop; return Result; end function; function rsum(vec : T_REALVEC) return REAL is variable Result : REAL; begin Result := 0.0; for i in vec'range loop Result := Result + vec(i); end loop; return Result; end function; -- Vector aggregate functions: slv_* -- ========================================================================== function slv_or(vec : STD_LOGIC_VECTOR) return STD_LOGIC is variable Result : STD_LOGIC; begin Result := '0'; for i in vec'range loop Result := Result or vec(i); end loop; return Result; end function; function slv_nor(vec : STD_LOGIC_VECTOR) return STD_LOGIC is begin return not slv_or(vec); end function; function slv_and(vec : STD_LOGIC_VECTOR) return STD_LOGIC is variable Result : STD_LOGIC; begin Result := '1'; for i in vec'range loop Result := Result and vec(i); end loop; return Result; end function; function slv_nand(vec : STD_LOGIC_VECTOR) return STD_LOGIC is begin return not slv_and(vec); end function; function slv_xor(vec : std_logic_vector) return std_logic is variable res : std_logic; begin res := '0'; for i in vec'range loop res := res xor vec(i); end loop; return res; end slv_xor; -- Convert to integer: to_int function to_int(bool : BOOLEAN; zero : INTEGER := 0; one : INTEGER := 1) return INTEGER is begin return ite(bool, one, zero); end function; function to_int(sl : STD_LOGIC; zero : INTEGER := 0; one : INTEGER := 1) return INTEGER is begin if (sl = '1') then return one; end if; return zero; end function; -- Convert to bit: to_sl -- ========================================================================== function to_sl(Value : BOOLEAN) return STD_LOGIC is begin return ite(Value, '1', '0'); end function; function to_sl(Value : CHARACTER) return STD_LOGIC is begin case Value is when 'U' => return 'U'; when '0' => return '0'; when '1' => return '1'; when 'Z' => return 'Z'; when 'W' => return 'W'; when 'L' => return 'L'; when 'H' => return 'H'; when '-' => return '-'; when OTHERS => return 'X'; end case; end function; -- Convert to vector: to_slv -- ========================================================================== -- short for std_logic_vector(to_unsigned(Value, Size)) -- the return value is guaranteed to have the range (Size-1 downto 0) function to_slv(Value : NATURAL; Size : POSITIVE) return STD_LOGIC_VECTOR is constant res : std_logic_vector(Size-1 downto 0) := std_logic_vector(to_unsigned(Value, Size)); begin return res; end function; function to_index(slv : UNSIGNED; max : NATURAL := 0) return INTEGER is variable res : integer; begin if (slv'length = 0) then return 0; end if; res := to_integer(slv); if SIMULATION and max > 0 then res := imin(res, max); end if; return res; end function; function to_index(slv : STD_LOGIC_VECTOR; max : NATURAL := 0) return INTEGER is begin return to_index(unsigned(slv), max); end function; -- is_* -- ========================================================================== function is_sl(c : CHARACTER) return BOOLEAN is begin case c is when 'U'|'X'|'0'|'1'|'Z'|'W'|'L'|'H'|'-' => return true; when OTHERS => return false; end case; end function; -- Reverse vector elements function reverse(vec : std_logic_vector) return std_logic_vector is variable res : std_logic_vector(vec'range); begin for i in vec'low to vec'high loop res(vec'low + (vec'high-i)) := vec(i); end loop; return res; end function; function reverse(vec : bit_vector) return bit_vector is variable res : bit_vector(vec'range); begin res := to_bitvector(reverse(to_stdlogicvector(vec))); return res; end reverse; function reverse(vec : unsigned) return unsigned is begin return unsigned(reverse(std_logic_vector(vec))); end function; -- Swap sub vectors in vector -- ========================================================================== function swap(slv : STD_LOGIC_VECTOR; Size : POSITIVE) return STD_LOGIC_VECTOR IS CONSTANT SegmentCount : NATURAL := slv'length / Size; variable FromH : NATURAL; variable FromL : NATURAL; variable ToH : NATURAL; variable ToL : NATURAL; variable Result : STD_LOGIC_VECTOR(slv'length - 1 DOWNTO 0); begin for i in 0 TO SegmentCount - 1 loop FromH := ((I + 1) * Size) - 1; FromL := I * Size; ToH := ((SegmentCount - I) * Size) - 1; ToL := (SegmentCount - I - 1) * Size; Result(ToH DOWNTO ToL) := slv(FromH DOWNTO FromL); end loop; return Result; end function; -- generate bit masks -- ========================================================================== function genmask_high(Bits : NATURAL; MaskLength : POSITIVE) return STD_LOGIC_VECTOR IS begin if (Bits = 0) then return (MaskLength - 1 DOWNTO 0 => '0'); else return (MaskLength - 1 DOWNTO MaskLength - Bits + 1 => '1') & (MaskLength - Bits DOWNTO 0 => '0'); end if; end function; function genmask_low(Bits : NATURAL; MaskLength : POSITIVE) return STD_LOGIC_VECTOR is begin if (Bits = 0) then return (MaskLength - 1 DOWNTO 0 => '0'); else return (MaskLength - 1 DOWNTO Bits => '0') & (Bits - 1 DOWNTO 0 => '1'); end if; end function; -- binary encoding conversion functions -- ========================================================================== -- One-Hot-Code to Binary-Code function onehot2bin(onehot : std_logic_vector) return unsigned is variable res : unsigned(log2ceilnz(onehot'high+1)-1 downto 0); variable chk : natural; begin res := (others => '0'); chk := 0; for i in onehot'range loop if onehot(i) = '1' then res := res or to_unsigned(i, res'length); chk := chk + 1; end if; end loop; if SIMULATION and chk /= 1 then report "Broken 1-Hot-Code with "&integer'image(chk)&" bits set." severity error; end if; return res; end onehot2bin; -- Gray-Code to Binary-Code function gray2bin(gray_val : std_logic_vector) return std_logic_vector is variable res : std_logic_vector(gray_val'range); begin -- gray2bin res(res'left) := gray_val(gray_val'left); for i in res'left-1 downto res'right loop res(i) := res(i+1) xor gray_val(i); end loop; return res; end gray2bin; -- Binary-Code to One-Hot-Code function bin2onehot(value : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(2**value'length - 1 downto 0); begin result := (others => '0'); result(to_index(value, 0)) := '1'; return result; end function; -- Binary-Code to Gray-Code function bin2gray(value : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(value'range); begin result(result'left) := value(value'left); for i in (result'left - 1) downto result'right loop result(i) := value(i) xor value(i + 1); end loop; return result; end function; -- bit searching / bit indices -- ========================================================================== -- Least-Significant Set Bit (lssb): computes a vector of the same length with at most one bit set at the rightmost '1' found in arg. function lssb(arg : std_logic_vector) return std_logic_vector is variable res : std_logic_vector(arg'range); begin res := arg and std_logic_vector(unsigned(not arg)+1); return res; end function; function lssb(arg : bit_vector) return bit_vector is variable res : bit_vector(arg'range); begin res := to_bitvector(lssb(to_stdlogicvector(arg))); return res; end lssb; -- Most-Significant Set Bit (mssb): computes a vector of the same length with at most one bit set at the leftmost '1' found in arg. function mssb(arg : std_logic_vector) return std_logic_vector is begin return reverse(lssb(reverse(arg))); end function; function mssb(arg : bit_vector) return bit_vector is begin return reverse(lssb(reverse(arg))); end mssb; -- Index of lssb function lssb_idx(arg : std_logic_vector) return integer is begin return to_integer(onehot2bin(lssb(arg))); end function; function lssb_idx(arg : bit_vector) return integer is variable slv : std_logic_vector(arg'range); begin slv := to_stdlogicvector(arg); return lssb_idx(slv); end lssb_idx; -- Index of mssb function mssb_idx(arg : std_logic_vector) return integer is begin return to_integer(onehot2bin(mssb(arg))); end function; function mssb_idx(arg : bit_vector) return integer is variable slv : std_logic_vector(arg'range); begin slv := to_stdlogicvector(arg); return mssb_idx(slv); end mssb_idx; function resize(vec : bit_vector; length : natural; fill : bit := '0') return bit_vector is constant high2b : natural := vec'low+length-1; constant highcp : natural := imin(vec'high, high2b); variable res_up : bit_vector(vec'low to high2b); variable res_dn : bit_vector(high2b downto vec'low); begin if vec'ascending then res_up := (others => fill); res_up(vec'low to highcp) := vec(vec'low to highcp); return res_up; else res_dn := (others => fill); res_dn(highcp downto vec'low) := vec(highcp downto vec'low); return res_dn; end if; end resize; function resize(vec : std_logic_vector; length : natural; fill : std_logic := '0') return std_logic_vector is constant high2b : natural := vec'low+length-1; constant highcp : natural := imin(vec'high, high2b); variable res_up : std_logic_vector(vec'low to high2b); variable res_dn : std_logic_vector(high2b downto vec'low); begin if vec'ascending then res_up := (others => fill); res_up(vec'low to highcp) := vec(vec'low to highcp); return res_up; else res_dn := (others => fill); res_dn(highcp downto vec'low) := vec(highcp downto vec'low); return res_dn; end if; end resize; -- Move vector boundaries -- ========================================================================== function move(vec : std_logic_vector; ofs : integer) return std_logic_vector is variable res_up : std_logic_vector(vec'low +ofs to vec'high+ofs); variable res_dn : std_logic_vector(vec'high+ofs downto vec'low +ofs); begin if vec'ascending then res_up := vec; return res_up; else res_dn := vec; return res_dn; end if; end move; function movez(vec : std_logic_vector) return std_logic_vector is begin return move(vec, -vec'low); end movez; function ascend(vec : std_logic_vector) return std_logic_vector is variable res : std_logic_vector(vec'low to vec'high); begin res := vec; return res; end ascend; function descend(vec : std_logic_vector) return std_logic_vector is variable res : std_logic_vector(vec'high downto vec'low); begin res := vec; return res; end descend; end package body;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: weight_hid_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY weight_hid_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE weight_hid_synth_ARCH OF weight_hid_synth IS COMPONENT weight_hid_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(319 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(319 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(319 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(319 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(319 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 320, READ_WIDTH => 320 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: weight_hid_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_mcb_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 03/19/09: Initial version for IODRP_MCB read operations. -- 1.1: 04/03/09: SLH - Added left shift for certain IOI's -- 1.2: 02/14/11: Change FSM encoding from one-hot to gray to match Verilog version. -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_mcb_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg --added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; drp_ioi_addr : in std_logic_vector(4 downto 0); sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic; MCB_UIREAD : out std_logic ); end entity iodrp_mcb_controller; architecture trans of iodrp_mcb_controller is type StType is ( READY, DECIDE , ADDR_PHASE , ADDR_TO_DATA_GAP , ADDR_TO_DATA_GAP2, ADDR_TO_DATA_GAP3, DATA_PHASE , ALMOST_READY , ALMOST_READY2 , ALMOST_READY3 ); constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(8 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal DRP_CS_pre : std_logic; signal extra_cs : std_logic; signal state,nextstate : StType; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "gray"; attribute fsm_encoding of nextstate : signal is "gray"; signal data_out : std_logic_vector(8 downto 0); signal data_out_mux : std_logic_vector(8 downto 0); signal DRP_SDI_pre : std_logic; --synthesis translate_off signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); -- case(state) --synthesis translate_on -- The changes below are to compensate for an issue with 1.0 silicon. -- It may still be necessary to add a clock cycle to the ADD and CS signals --`define DRP_v1_0_FIX // Uncomment out this line for synthesis procedure shift_n_expand( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(8 downto 0)) is variable data_out_xilinx2 : std_logic_vector(8 downto 0); begin if ((data_in(0)) = '1') then data_out_xilinx2(1 downto 0) := "11"; else data_out_xilinx2(1 downto 0) := "00"; end if; if (data_in(1 downto 0) = "10") then data_out_xilinx2(2 downto 1) := "11"; else data_out_xilinx2(2 downto 1) := (data_in(1) & data_out_xilinx2(1)); end if; if (data_in(2 downto 1) = "10") then data_out_xilinx2(3 downto 2) := "11"; else data_out_xilinx2(3 downto 2) := (data_in(2) & data_out_xilinx2(2)); end if; if (data_in(3 downto 2) = "10") then data_out_xilinx2(4 downto 3) := "11"; else data_out_xilinx2(4 downto 3) := (data_in(3) & data_out_xilinx2(3)); end if; if (data_in(4 downto 3) = "10") then data_out_xilinx2(5 downto 4) := "11"; else data_out_xilinx2(5 downto 4) := (data_in(4) & data_out_xilinx2(4)); end if; if (data_in(5 downto 4) = "10") then data_out_xilinx2(6 downto 5) := "11"; else data_out_xilinx2(6 downto 5) := (data_in(5) & data_out_xilinx2(5)); end if; if (data_in(6 downto 5) = "10") then data_out_xilinx2(7 downto 6) := "11"; else data_out_xilinx2(7 downto 6) := (data_in(6) & data_out_xilinx2(6)); end if; if (data_in(7 downto 6) = "10") then data_out_xilinx2(8 downto 7) := "11"; else data_out_xilinx2(8 downto 7) := (data_in(7) & data_out_xilinx2(7)); end if; end shift_n_expand; -- Declare intermediate signals for referenced outputs signal DRP_CS_xilinx1 : std_logic; signal DRP_ADD_xilinx0 : std_logic; signal ALMOST_READY2_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; begin -- Drive referenced outputs DRP_CS <= DRP_CS_xilinx1; DRP_ADD <= DRP_ADD_xilinx0; -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- state_ascii <= "ALMOST_READY"; -- when ALMOST_READY2 => -- state_ascii <= "ALMOST_READY2"; -- when ALMOST_READY3 => -- state_ascii <= "ALMOST_READY3"; -- when others => -- null; -- end case; -- end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when state = READY else '0'; process (drp_ioi_addr, data_out) begin case drp_ioi_addr is when IOI_DQ0 => data_out_mux <= data_out; when IOI_DQ1 => data_out_mux <= data_out; when IOI_DQ2 => data_out_mux <= data_out; when IOI_DQ3 => data_out_mux <= data_out; when IOI_DQ4 => data_out_mux <= data_out; when IOI_DQ5 => data_out_mux <= data_out; when IOI_DQ6 => data_out_mux <= data_out; when IOI_DQ7 => data_out_mux <= data_out; when IOI_DQ8 => data_out_mux <= data_out; when IOI_DQ9 => data_out_mux <= data_out; when IOI_DQ10 => data_out_mux <= data_out; when IOI_DQ11 => data_out_mux <= data_out; when IOI_DQ12 => data_out_mux <= data_out; when IOI_DQ13 => data_out_mux <= data_out; when IOI_DQ14 => data_out_mux <= data_out; when IOI_DQ15 => data_out_mux <= data_out; when IOI_UDQS_CLK => data_out_mux <= data_out; when IOI_UDQS_PIN => data_out_mux <= data_out; when IOI_LDQS_CLK => data_out_mux <= data_out; when IOI_LDQS_PIN => data_out_mux <= data_out; when others => data_out_mux <= data_out; end case; end process; data_out <= ('0' & memcell_addr_reg) when (addr_data_sel_n = '1') else ('0' & data_reg); process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "000000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= ('0' & DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (sync_rst = '0')) then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then read_data <= "00000000"; else if (state = ALMOST_READY3) then read_data <= shift_through_reg(7 downto 0); end if; end if; end if; end process; ALMOST_READY2_ST <= '1' when state = ALMOST_READY2 else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY2_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD_xilinx0 <= ADDR_PHASE_ST1; -- DRP_CS <= (drp_ioi_addr != IOI_DQ0) ? (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE) : (bit_cnt != 3'b111) && (nextstate == ADDR_PHASE) | (nextstate == DATA_PHASE); DRP_CS_xilinx1 <= ADDR_PHASE_ST1 or DATA_PHASE_ST; MCB_UIREAD <= DATA_PHASE_ST and rd_not_write_reg; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; DRP_SDI_pre <= shift_through_reg(0) when (DRP_CS_xilinx1 = '1') else --if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance '0'; DRP_SDI <= DRP_SDO when ((rd_not_write_reg and DRP_CS_xilinx1 and not(DRP_ADD_xilinx0)) = '1') else --If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI_pre; process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => load_shift_n <= '0'; if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the alternative access method. when ADDR_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then if (('1' and rd_not_write_reg) = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => load_shift_n <= '0'; if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => load_shift_n <= '0'; nextstate <= ALMOST_READY2; when ALMOST_READY2 => load_shift_n <= '0'; nextstate <= ALMOST_READY3; when ALMOST_READY3 => load_shift_n <= '0'; nextstate <= READY; when others => load_shift_n <= '0'; nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
-- ------------------------------------------------------------------------------------------- -- Copyright © 2010-2011, Xilinx, Inc. -- This file contains confidential and proprietary information of Xilinx, Inc. and is -- protected under U.S. and international copyright and other intellectual property laws. ------------------------------------------------------------------------------------------- -- -- Disclaimer: -- This disclaimer is not a license and does not grant any rights to the materials -- distributed herewith. Except as otherwise provided in a valid license issued to -- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE -- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY -- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, -- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, -- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable -- (whether in contract or tort, including negligence, or under any other theory -- of liability) for any loss or damage of any kind or nature related to, arising -- under or in connection with these materials, including for any direct, or any -- indirect, special, incidental, or consequential loss or damage (including loss -- of data, profits, goodwill, or any type of loss or damage suffered as a result -- of any action brought by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail-safe, or for use in any -- application requiring fail-safe performance, such as life-support or safety -- devices or systems, Class III medical devices, nuclear facilities, applications -- related to the deployment of airbags, or any other applications that could lead -- to death, personal injury, or severe property or environmental damage -- (individually and collectively, "Critical Applications"). Customer assumes the -- sole risk and liability of any use of Xilinx products in Critical Applications, -- subject only to applicable laws and regulations governing limitations on product -- liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------------------- -- -- KCPSM6 - PicoBlaze for Spartan-6 and Virtex-6 devices. -- -- Start of design entry - 14th May 2010. -- Version 1.0 - 30th September 2010. -- Version 1.1 - 9th February 2011. -- Correction to parity computation logic. -- -- Ken Chapman -- Xilinx Ltd -- Benchmark House -- 203 Brooklands Road -- Weybridge -- Surrey KT13 ORH -- United Kingdom -- -- [email protected] -- ------------------------------------------------------------------------------------------- -- -- Format of this file. -- -- The module defines the implementation of the logic using Xilinx primitives. -- These ensure predictable synthesis results and maximise the density of the implementation. -- The Unisim Library is used to define Xilinx primitives. It is also used during -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- ------------------------------------------------------------------------------------------- -- -- Library declarations -- -- Standard IEEE libraries -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; -- ------------------------------------------------------------------------------------------- -- -- Main Entity for kcpsm6 -- entity kcpsm6 is generic( hwbuild : std_logic_vector(7 downto 0) := X"00"; interrupt_vector : std_logic_vector(11 downto 0) := X"3FF"; scratch_pad_memory_size : integer := 64); port ( address : out std_logic_vector(11 downto 0); instruction : in std_logic_vector(17 downto 0); bram_enable : out std_logic; in_port : in std_logic_vector(7 downto 0); out_port : out std_logic_vector(7 downto 0); port_id : out std_logic_vector(7 downto 0); write_strobe : out std_logic; k_write_strobe : out std_logic; read_strobe : out std_logic; interrupt : in std_logic; interrupt_ack : out std_logic; sleep : in std_logic; reset : in std_logic; clk : in std_logic); end kcpsm6; -- ------------------------------------------------------------------------------------------- -- -- Start of Main Architecture for kcpsm6 -- architecture low_level_definition of kcpsm6 is -- ------------------------------------------------------------------------------------------- -- -- Signals used in kcpsm6 -- ------------------------------------------------------------------------------------------- -- -- State Machine and Interrupt -- signal t_state_value : std_logic_vector(2 downto 1); signal t_state : std_logic_vector(2 downto 1); signal run_value : std_logic; signal run : std_logic; signal internal_reset_value : std_logic; signal internal_reset : std_logic; signal sync_sleep : std_logic; signal int_enable_type : std_logic; signal interrupt_enable_value : std_logic; signal interrupt_enable : std_logic; signal sync_interrupt : std_logic; signal active_interrupt_value : std_logic; signal active_interrupt : std_logic; -- -- Arithmetic and Logical Functions -- signal arith_logical_sel : std_logic_vector(2 downto 0); signal arith_carry_in : std_logic; signal arith_carry_value : std_logic; signal arith_carry : std_logic; signal half_arith_logical : std_logic_vector(7 downto 0); signal logical_carry_mask : std_logic_vector(7 downto 0); signal carry_arith_logical : std_logic_vector(7 downto 0); signal arith_logical_value : std_logic_vector(7 downto 0); signal arith_logical_result : std_logic_vector(7 downto 0); -- -- Shift and Rotate Functions -- signal shift_rotate_value : std_logic_vector(7 downto 0); signal shift_rotate_result : std_logic_vector(7 downto 0); signal shift_in_bit : std_logic; -- -- ALU structure -- signal alu_result : std_logic_vector(7 downto 0); signal alu_mux_sel_value : std_logic_vector(1 downto 0); signal alu_mux_sel : std_logic_vector(1 downto 0); -- -- Strobes -- signal strobe_type : std_logic; signal write_strobe_value : std_logic; signal k_write_strobe_value : std_logic; signal read_strobe_value : std_logic; -- -- Flags -- signal flag_enable_type : std_logic; signal flag_enable_value : std_logic; signal flag_enable : std_logic; signal lower_parity : std_logic; signal lower_parity_sel : std_logic; signal carry_lower_parity : std_logic; signal upper_parity : std_logic; signal parity : std_logic; signal shift_carry_value : std_logic; signal shift_carry : std_logic; signal carry_flag_value : std_logic; signal carry_flag : std_logic; signal use_zero_flag_value : std_logic; signal use_zero_flag : std_logic; signal drive_carry_in_zero : std_logic; signal carry_in_zero : std_logic; signal lower_zero : std_logic; signal lower_zero_sel : std_logic; signal carry_lower_zero : std_logic; signal middle_zero : std_logic; signal middle_zero_sel : std_logic; signal carry_middle_zero : std_logic; signal upper_zero_sel : std_logic; signal zero_flag_value : std_logic; signal zero_flag : std_logic; -- -- Scratch Pad Memory -- signal spm_enable_value : std_logic; signal spm_enable : std_logic; signal spm_ram_data : std_logic_vector(7 downto 0); signal spm_data : std_logic_vector(7 downto 0); -- -- Registers -- signal regbank_type : std_logic; signal bank_value : std_logic; signal bank : std_logic; signal loadstar_type : std_logic; signal sx_addr4_value : std_logic; signal register_enable_type : std_logic; signal register_enable_value : std_logic; signal register_enable : std_logic; signal sx_addr : std_logic_vector(4 downto 0); signal sy_addr : std_logic_vector(4 downto 0); signal sx : std_logic_vector(7 downto 0); signal sy : std_logic_vector(7 downto 0); -- -- Second Operand -- signal sy_or_kk : std_logic_vector(7 downto 0); -- -- Program Counter -- signal pc_move_is_valid : std_logic; signal move_type : std_logic; signal returni_type : std_logic; signal pc_mode : std_logic_vector(2 downto 0); signal register_vector : std_logic_vector(11 downto 0); signal half_pc : std_logic_vector(11 downto 0); signal carry_pc : std_logic_vector(10 downto 0); signal pc_value : std_logic_vector(11 downto 0); signal pc : std_logic_vector(11 downto 0); signal pc_vector : std_logic_vector(11 downto 0); -- -- Program Counter Stack -- signal push_stack : std_logic; signal pop_stack : std_logic; signal stack_memory : std_logic_vector(11 downto 0); signal return_vector : std_logic_vector(11 downto 0); signal stack_carry_flag : std_logic; signal shadow_carry_flag : std_logic; signal stack_zero_flag : std_logic; signal shadow_zero_value : std_logic; signal shadow_zero_flag : std_logic; signal stack_bank : std_logic; signal shadow_bank : std_logic; signal stack_bit : std_logic; signal special_bit : std_logic; signal half_pointer_value : std_logic_vector(4 downto 0); signal feed_pointer_value : std_logic_vector(4 downto 0); signal stack_pointer_carry : std_logic_vector(4 downto 0); signal stack_pointer_value : std_logic_vector(4 downto 0); signal stack_pointer : std_logic_vector(4 downto 0); -- -- -- --********************************************************************************** -- -- Signals between these *** lines are only made visible during simulation -- --synthesis translate off -- signal kcpsm6_opcode : string(1 to 19):= "LOAD s0, s0 "; signal kcpsm6_status : string(1 to 16):= "A,NZ,NC,ID,Reset"; signal sim_s0 : std_logic_vector(7 downto 0); signal sim_s1 : std_logic_vector(7 downto 0); signal sim_s2 : std_logic_vector(7 downto 0); signal sim_s3 : std_logic_vector(7 downto 0); signal sim_s4 : std_logic_vector(7 downto 0); signal sim_s5 : std_logic_vector(7 downto 0); signal sim_s6 : std_logic_vector(7 downto 0); signal sim_s7 : std_logic_vector(7 downto 0); signal sim_s8 : std_logic_vector(7 downto 0); signal sim_s9 : std_logic_vector(7 downto 0); signal sim_sA : std_logic_vector(7 downto 0); signal sim_sB : std_logic_vector(7 downto 0); signal sim_sC : std_logic_vector(7 downto 0); signal sim_sD : std_logic_vector(7 downto 0); signal sim_sE : std_logic_vector(7 downto 0); signal sim_sF : std_logic_vector(7 downto 0); signal sim_spm00 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm01 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm02 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm03 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm04 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm05 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm06 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm07 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm08 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm09 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm0A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm0B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm0C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm0D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm0E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm0F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm10 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm11 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm12 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm13 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm14 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm15 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm16 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm17 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm18 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm19 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm1A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm1B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm1C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm1D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm1E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm1F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm20 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm21 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm22 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm23 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm24 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm25 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm26 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm27 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm28 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm29 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm2A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm2B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm2C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm2D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm2E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm2F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm30 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm31 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm32 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm33 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm34 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm35 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm36 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm37 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm38 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm39 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm3A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm3B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm3C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm3D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm3E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm3F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm40 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm41 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm42 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm43 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm44 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm45 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm46 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm47 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm48 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm49 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm4A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm4B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm4C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm4D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm4E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm4F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm50 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm51 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm52 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm53 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm54 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm55 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm56 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm57 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm58 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm59 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm5A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm5B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm5C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm5D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm5E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm5F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm60 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm61 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm62 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm63 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm64 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm65 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm66 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm67 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm68 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm69 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm6A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm6B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm6C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm6D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm6E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm6F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm70 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm71 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm72 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm73 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm74 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm75 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm76 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm77 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm78 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm79 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm7A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm7B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm7C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm7D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm7E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm7F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm80 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm81 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm82 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm83 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm84 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm85 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm86 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm87 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm88 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm89 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm8A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm8B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm8C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm8D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm8E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm8F : std_logic_vector(7 downto 0) := X"00"; signal sim_spm90 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm91 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm92 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm93 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm94 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm95 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm96 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm97 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm98 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm99 : std_logic_vector(7 downto 0) := X"00"; signal sim_spm9A : std_logic_vector(7 downto 0) := X"00"; signal sim_spm9B : std_logic_vector(7 downto 0) := X"00"; signal sim_spm9C : std_logic_vector(7 downto 0) := X"00"; signal sim_spm9D : std_logic_vector(7 downto 0) := X"00"; signal sim_spm9E : std_logic_vector(7 downto 0) := X"00"; signal sim_spm9F : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA0 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA1 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA2 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA3 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA4 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA5 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA6 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA7 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA8 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmA9 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmAA : std_logic_vector(7 downto 0) := X"00"; signal sim_spmAB : std_logic_vector(7 downto 0) := X"00"; signal sim_spmAC : std_logic_vector(7 downto 0) := X"00"; signal sim_spmAD : std_logic_vector(7 downto 0) := X"00"; signal sim_spmAE : std_logic_vector(7 downto 0) := X"00"; signal sim_spmAF : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB0 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB1 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB2 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB3 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB4 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB5 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB6 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB7 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB8 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmB9 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmBA : std_logic_vector(7 downto 0) := X"00"; signal sim_spmBB : std_logic_vector(7 downto 0) := X"00"; signal sim_spmBC : std_logic_vector(7 downto 0) := X"00"; signal sim_spmBD : std_logic_vector(7 downto 0) := X"00"; signal sim_spmBE : std_logic_vector(7 downto 0) := X"00"; signal sim_spmBF : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC0 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC1 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC2 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC3 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC4 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC5 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC6 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC7 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC8 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmC9 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmCA : std_logic_vector(7 downto 0) := X"00"; signal sim_spmCB : std_logic_vector(7 downto 0) := X"00"; signal sim_spmCC : std_logic_vector(7 downto 0) := X"00"; signal sim_spmCD : std_logic_vector(7 downto 0) := X"00"; signal sim_spmCE : std_logic_vector(7 downto 0) := X"00"; signal sim_spmCF : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD0 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD1 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD2 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD3 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD4 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD5 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD6 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD7 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD8 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmD9 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmDA : std_logic_vector(7 downto 0) := X"00"; signal sim_spmDB : std_logic_vector(7 downto 0) := X"00"; signal sim_spmDC : std_logic_vector(7 downto 0) := X"00"; signal sim_spmDD : std_logic_vector(7 downto 0) := X"00"; signal sim_spmDE : std_logic_vector(7 downto 0) := X"00"; signal sim_spmDF : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE0 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE1 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE2 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE3 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE4 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE5 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE6 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE7 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE8 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmE9 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmEA : std_logic_vector(7 downto 0) := X"00"; signal sim_spmEB : std_logic_vector(7 downto 0) := X"00"; signal sim_spmEC : std_logic_vector(7 downto 0) := X"00"; signal sim_spmED : std_logic_vector(7 downto 0) := X"00"; signal sim_spmEE : std_logic_vector(7 downto 0) := X"00"; signal sim_spmEF : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF0 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF1 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF2 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF3 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF4 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF5 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF6 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF7 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF8 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmF9 : std_logic_vector(7 downto 0) := X"00"; signal sim_spmFA : std_logic_vector(7 downto 0) := X"00"; signal sim_spmFB : std_logic_vector(7 downto 0) := X"00"; signal sim_spmFC : std_logic_vector(7 downto 0) := X"00"; signal sim_spmFD : std_logic_vector(7 downto 0) := X"00"; signal sim_spmFE : std_logic_vector(7 downto 0) := X"00"; signal sim_spmFF : std_logic_vector(7 downto 0) := X"00"; -- --synthesis translate on -- --********************************************************************************** -- -- ------------------------------------------------------------------------------------------- -- -- Attributes to guide mapping of logic into Slices. -- attribute hblknm : string; attribute hblknm of reset_lut : label is "kcpsm6_control"; attribute hblknm of run_flop : label is "kcpsm6_control"; attribute hblknm of internal_reset_flop : label is "kcpsm6_control"; attribute hblknm of t_state_lut : label is "kcpsm6_control"; attribute hblknm of t_state1_flop : label is "kcpsm6_control"; attribute hblknm of t_state2_flop : label is "kcpsm6_control"; attribute hblknm of active_interrupt_lut : label is "kcpsm6_control"; attribute hblknm of active_interrupt_flop : label is "kcpsm6_control"; attribute hblknm of sx_addr4_flop : label is "kcpsm6_control"; attribute hblknm of arith_carry_xorcy : label is "kcpsm6_control"; attribute hblknm of arith_carry_flop : label is "kcpsm6_control"; attribute hblknm of zero_flag_flop : label is "kcpsm6_flags"; attribute hblknm of carry_flag_flop : label is "kcpsm6_flags"; attribute hblknm of carry_flag_lut : label is "kcpsm6_flags"; attribute hblknm of lower_zero_lut : label is "kcpsm6_flags"; attribute hblknm of middle_zero_lut : label is "kcpsm6_flags"; attribute hblknm of upper_zero_lut : label is "kcpsm6_flags"; attribute hblknm of init_zero_muxcy : label is "kcpsm6_flags"; attribute hblknm of lower_zero_muxcy : label is "kcpsm6_flags"; attribute hblknm of middle_zero_muxcy : label is "kcpsm6_flags"; attribute hblknm of upper_zero_muxcy : label is "kcpsm6_flags"; attribute hblknm of int_enable_type_lut : label is "kcpsm6_decode0"; attribute hblknm of move_type_lut : label is "kcpsm6_decode0"; attribute hblknm of pc_move_is_valid_lut : label is "kcpsm6_decode0"; attribute hblknm of interrupt_enable_lut : label is "kcpsm6_decode0"; attribute hblknm of interrupt_enable_flop : label is "kcpsm6_decode0"; attribute hblknm of alu_decode1_lut : label is "kcpsm6_decode1"; attribute hblknm of alu_mux_sel1_flop : label is "kcpsm6_decode1"; attribute hblknm of shift_carry_lut : label is "kcpsm6_decode1"; attribute hblknm of shift_carry_flop : label is "kcpsm6_decode1"; attribute hblknm of use_zero_flag_lut : label is "kcpsm6_decode1"; attribute hblknm of use_zero_flag_flop : label is "kcpsm6_decode1"; attribute hblknm of interrupt_ack_flop : label is "kcpsm6_decode1"; attribute hblknm of shadow_zero_flag_flop : label is "kcpsm6_decode1"; attribute hblknm of alu_decode0_lut : label is "kcpsm6_decode2"; attribute hblknm of alu_mux_sel0_flop : label is "kcpsm6_decode2"; attribute hblknm of alu_decode2_lut : label is "kcpsm6_decode2"; attribute hblknm of lower_parity_lut : label is "kcpsm6_decode2"; attribute hblknm of parity_muxcy : label is "kcpsm6_decode2"; attribute hblknm of upper_parity_lut : label is "kcpsm6_decode2"; attribute hblknm of parity_xorcy : label is "kcpsm6_decode2"; attribute hblknm of sync_sleep_flop : label is "kcpsm6_decode2"; attribute hblknm of sync_interrupt_flop : label is "kcpsm6_decode2"; attribute hblknm of push_pop_lut : label is "kcpsm6_stack1"; attribute hblknm of regbank_type_lut : label is "kcpsm6_stack1"; attribute hblknm of bank_lut : label is "kcpsm6_stack1"; attribute hblknm of bank_flop : label is "kcpsm6_stack1"; attribute hblknm of register_enable_type_lut : label is "kcpsm6_strobes"; attribute hblknm of register_enable_lut : label is "kcpsm6_strobes"; attribute hblknm of flag_enable_flop : label is "kcpsm6_strobes"; attribute hblknm of register_enable_flop : label is "kcpsm6_strobes"; attribute hblknm of spm_enable_lut : label is "kcpsm6_strobes"; attribute hblknm of k_write_strobe_flop : label is "kcpsm6_strobes"; attribute hblknm of spm_enable_flop : label is "kcpsm6_strobes"; attribute hblknm of read_strobe_lut : label is "kcpsm6_strobes"; attribute hblknm of write_strobe_flop : label is "kcpsm6_strobes"; attribute hblknm of read_strobe_flop : label is "kcpsm6_strobes"; attribute hblknm of stack_ram_low : label is "kcpsm6_stack_ram0"; attribute hblknm of shadow_carry_flag_flop : label is "kcpsm6_stack_ram0"; attribute hblknm of stack_zero_flop : label is "kcpsm6_stack_ram0"; attribute hblknm of shadow_bank_flop : label is "kcpsm6_stack_ram0"; attribute hblknm of stack_bit_flop : label is "kcpsm6_stack_ram0"; attribute hblknm of stack_ram_high : label is "kcpsm6_stack_ram1"; attribute hblknm of lower_reg_banks : label is "kcpsm6_reg0"; attribute hblknm of upper_reg_banks : label is "kcpsm6_reg1"; attribute hblknm of pc_mode1_lut : label is "kcpsm6_vector1"; attribute hblknm of pc_mode2_lut : label is "kcpsm6_vector1"; -- ------------------------------------------------------------------------------------------- -- -- Start of kcpsm6 circuit description -- -- Summary of all primitives defined. -- -- 29 x LUT6 79 LUTs (plus 1 LUT will be required to form a GND signal) -- 50 x LUT6_2 -- 48 x FD 82 flip-flops -- 20 x FDR (Depending on the value of 'hwbuild' up) -- 0 x FDS (to eight FDR will be replaced by FDS ) -- 14 x FDRE -- 29 x MUXCY -- 27 x XORCY -- 4 x RAM32M (16 LUTs) -- -- 2 x RAM64M or 8 x RAM128X1S or 8 x RAM256X1S -- (8 LUTs) (16 LUTs) (32 LUTs) -- ------------------------------------------------------------------------------------------- -- begin -- ------------------------------------------------------------------------------------------- -- -- Perform check of generic to report error as soon as possible. -- ------------------------------------------------------------------------------------------- -- assert ((scratch_pad_memory_size = 64) or (scratch_pad_memory_size = 128) or (scratch_pad_memory_size = 256)) report "Invalid 'scratch_pad_memory_size'. Please set to 64, 128 or 256." severity FAILURE; -- ------------------------------------------------------------------------------------------- -- -- State Machine and Control -- -- -- 1 x LUT6 -- 4 x LUT6_2 -- 9 x FD -- ------------------------------------------------------------------------------------------- -- reset_lut: LUT6_2 generic map (INIT => X"FFFFF55500000EEE") port map( I0 => run, I1 => internal_reset, I2 => stack_pointer_carry(4), I3 => t_state(2), I4 => reset, I5 => '1', O5 => run_value, O6 => internal_reset_value); run_flop: FD port map ( D => run_value, Q => run, C => clk); internal_reset_flop: FD port map ( D => internal_reset_value, Q => internal_reset, C => clk); sync_sleep_flop: FD port map ( D => sleep, Q => sync_sleep, C => clk); t_state_lut: LUT6_2 generic map (INIT => X"0083000B00C4004C") port map( I0 => t_state(1), I1 => t_state(2), I2 => sync_sleep, I3 => internal_reset, I4 => special_bit, I5 => '1', O5 => t_state_value(1), O6 => t_state_value(2)); t_state1_flop: FD port map ( D => t_state_value(1), Q => t_state(1), C => clk); t_state2_flop: FD port map ( D => t_state_value(2), Q => t_state(2), C => clk); int_enable_type_lut: LUT6_2 generic map (INIT => X"0010000000000800") port map( I0 => instruction(13), I1 => instruction(14), I2 => instruction(15), I3 => instruction(16), I4 => instruction(17), I5 => '1', O5 => loadstar_type, O6 => int_enable_type); interrupt_enable_lut: LUT6 generic map (INIT => X"000000000000CAAA") port map( I0 => interrupt_enable, I1 => instruction(0), I2 => int_enable_type, I3 => t_state(1), I4 => active_interrupt, I5 => internal_reset, O => interrupt_enable_value); interrupt_enable_flop: FD port map ( D => interrupt_enable_value, Q => interrupt_enable, C => clk); sync_interrupt_flop: FD port map ( D => interrupt, Q => sync_interrupt, C => clk); active_interrupt_lut: LUT6_2 generic map (INIT => X"CC33FF0080808080") port map( I0 => interrupt_enable, I1 => t_state(2), I2 => sync_interrupt, I3 => bank, I4 => loadstar_type, I5 => '1', O5 => active_interrupt_value, O6 => sx_addr4_value); active_interrupt_flop: FD port map ( D => active_interrupt_value, Q => active_interrupt, C => clk); interrupt_ack_flop: FD port map ( D => active_interrupt, Q => interrupt_ack, C => clk); -- ------------------------------------------------------------------------------------------- -- -- Decoders -- -- -- 2 x LUT6 -- 10 x LUT6_2 -- 2 x FD -- 6 x FDR -- ------------------------------------------------------------------------------------------- -- -- -- Decoding for Program Counter and Stack -- pc_move_is_valid_lut: LUT6 generic map (INIT => X"5A3CFFFF00000000") port map( I0 => carry_flag, I1 => zero_flag, I2 => instruction(14), I3 => instruction(15), I4 => instruction(16), I5 => instruction(17), O => pc_move_is_valid); move_type_lut: LUT6_2 generic map (INIT => X"7777027700000200") port map( I0 => instruction(12), I1 => instruction(13), I2 => instruction(14), I3 => instruction(15), I4 => instruction(16), I5 => '1', O5 => returni_type, O6 => move_type); pc_mode1_lut: LUT6_2 generic map (INIT => X"0000F000000023FF") port map( I0 => instruction(12), I1 => returni_type, I2 => move_type, I3 => pc_move_is_valid, I4 => active_interrupt, I5 => '1', O5 => pc_mode(0), O6 => pc_mode(1)); pc_mode2_lut: LUT6 generic map (INIT => X"FFFFFFFF00040000") port map( I0 => instruction(12), I1 => instruction(14), I2 => instruction(15), I3 => instruction(16), I4 => instruction(17), I5 => active_interrupt, O => pc_mode(2)); push_pop_lut: LUT6_2 generic map (INIT => X"FFFF100000002000") port map( I0 => instruction(12), I1 => instruction(13), I2 => move_type, I3 => pc_move_is_valid, I4 => active_interrupt, I5 => '1', O5 => pop_stack, O6 => push_stack); -- -- Decoding for ALU -- alu_decode0_lut: LUT6_2 generic map (INIT => X"03CA000004200000") port map( I0 => instruction(13), I1 => instruction(14), I2 => instruction(15), I3 => instruction(16), I4 => '1', I5 => '1', O5 => alu_mux_sel_value(0), O6 => arith_logical_sel(0)); alu_mux_sel0_flop: FD port map ( D => alu_mux_sel_value(0), Q => alu_mux_sel(0), C => clk); alu_decode1_lut: LUT6_2 generic map (INIT => X"7708000000000F00") port map( I0 => carry_flag, I1 => instruction(13), I2 => instruction(14), I3 => instruction(15), I4 => instruction(16), I5 => '1', O5 => alu_mux_sel_value(1), O6 => arith_carry_in); alu_mux_sel1_flop: FD port map ( D => alu_mux_sel_value(1), Q => alu_mux_sel(1), C => clk); alu_decode2_lut: LUT6_2 generic map (INIT => X"D000000002000000") port map( I0 => instruction(14), I1 => instruction(15), I2 => instruction(16), I3 => '1', I4 => '1', I5 => '1', O5 => arith_logical_sel(1), O6 => arith_logical_sel(2)); -- -- Decoding for strobes and enables -- register_enable_type_lut: LUT6_2 generic map (INIT => X"00013F3F0010F7CE") port map( I0 => instruction(13), I1 => instruction(14), I2 => instruction(15), I3 => instruction(16), I4 => instruction(17), I5 => '1', O5 => flag_enable_type, O6 => register_enable_type); register_enable_lut: LUT6_2 generic map (INIT => X"C0CC0000A0AA0000") port map( I0 => flag_enable_type, I1 => register_enable_type, I2 => instruction(12), I3 => instruction(17), I4 => t_state(1), I5 => '1', O5 => flag_enable_value, O6 => register_enable_value); flag_enable_flop: FDR port map ( D => flag_enable_value, Q => flag_enable, R => active_interrupt, C => clk); register_enable_flop: FDR port map ( D => register_enable_value, Q => register_enable, R => active_interrupt, C => clk); spm_enable_lut: LUT6_2 generic map (INIT => X"8000000020000000") port map( I0 => instruction(13), I1 => instruction(14), I2 => instruction(17), I3 => strobe_type, I4 => t_state(1), I5 => '1', O5 => k_write_strobe_value, O6 => spm_enable_value); k_write_strobe_flop: FDR port map ( D => k_write_strobe_value, Q => k_write_strobe, R => active_interrupt, C => clk); spm_enable_flop: FDR port map ( D => spm_enable_value, Q => spm_enable, R => active_interrupt, C => clk); read_strobe_lut: LUT6_2 generic map (INIT => X"4000000001000000") port map( I0 => instruction(13), I1 => instruction(14), I2 => instruction(17), I3 => strobe_type, I4 => t_state(1), I5 => '1', O5 => read_strobe_value, O6 => write_strobe_value); write_strobe_flop: FDR port map ( D => write_strobe_value, Q => write_strobe, R => active_interrupt, C => clk); read_strobe_flop: FDR port map ( D => read_strobe_value, Q => read_strobe, R => active_interrupt, C => clk); -- ------------------------------------------------------------------------------------------- -- -- Register bank control -- -- -- 2 x LUT6 -- 1 x FDR -- 1 x FD -- ------------------------------------------------------------------------------------------- -- regbank_type_lut: LUT6 generic map (INIT => X"0080020000000000") port map( I0 => instruction(12), I1 => instruction(13), I2 => instruction(14), I3 => instruction(15), I4 => instruction(16), I5 => instruction(17), O => regbank_type); bank_lut: LUT6 generic map (INIT => X"ACACFF00FF00FF00") port map( I0 => instruction(0), I1 => shadow_bank, I2 => instruction(16), I3 => bank, I4 => regbank_type, I5 => t_state(1), O => bank_value); bank_flop: FDR port map ( D => bank_value, Q => bank, R => internal_reset, C => clk); sx_addr4_flop: FD port map ( D => sx_addr4_value, Q => sx_addr(4), C => clk); sx_addr(3 downto 0) <= instruction(11 downto 8); sy_addr <= bank & instruction(7 downto 4); -- ------------------------------------------------------------------------------------------- -- -- Flags -- -- -- 3 x LUT6 -- 5 x LUT6_2 -- 3 x FD -- 2 x FDRE -- 2 x XORCY -- 5 x MUXCY -- ------------------------------------------------------------------------------------------- -- arith_carry_xorcy: XORCY port map( LI => '0', CI => carry_arith_logical(7), O => arith_carry_value); arith_carry_flop: FD port map ( D => arith_carry_value, Q => arith_carry, C => clk); lower_parity_lut: LUT6_2 generic map (INIT => X"0000000087780000") port map( I0 => instruction(13), I1 => carry_flag, I2 => arith_logical_result(0), I3 => arith_logical_result(1), I4 => '1', I5 => '1', O5 => lower_parity, O6 => lower_parity_sel); parity_muxcy: MUXCY port map( DI => lower_parity, CI => '0', S => lower_parity_sel, O => carry_lower_parity); upper_parity_lut: LUT6 generic map (INIT => X"6996966996696996") port map( I0 => arith_logical_result(2), I1 => arith_logical_result(3), I2 => arith_logical_result(4), I3 => arith_logical_result(5), I4 => arith_logical_result(6), I5 => arith_logical_result(7), O => upper_parity); parity_xorcy: XORCY port map( LI => upper_parity, CI => carry_lower_parity, O => parity); shift_carry_lut: LUT6 generic map (INIT => X"FFFFAACCF0F0F0F0") port map( I0 => sx(0), I1 => sx(7), I2 => shadow_carry_flag, I3 => instruction(3), I4 => instruction(7), I5 => instruction(16), O => shift_carry_value); shift_carry_flop: FD port map ( D => shift_carry_value, Q => shift_carry, C => clk); carry_flag_lut: LUT6_2 generic map (INIT => X"3333AACCF0AA0000") port map( I0 => shift_carry, I1 => arith_carry, I2 => parity, I3 => instruction(14), I4 => instruction(15), I5 => instruction(16), O5 => drive_carry_in_zero, O6 => carry_flag_value); carry_flag_flop: FDRE port map ( D => carry_flag_value, Q => carry_flag, CE => flag_enable, R => internal_reset, C => clk); init_zero_muxcy: MUXCY port map( DI => drive_carry_in_zero, CI => '0', S => carry_flag_value, O => carry_in_zero); use_zero_flag_lut: LUT6_2 generic map (INIT => X"A280000000F000F0") port map( I0 => instruction(13), I1 => instruction(14), I2 => instruction(15), I3 => instruction(16), I4 => '1', I5 => '1', O5 => strobe_type, O6 => use_zero_flag_value); use_zero_flag_flop: FD port map ( D => use_zero_flag_value, Q => use_zero_flag, C => clk); lower_zero_lut: LUT6_2 generic map (INIT => X"0000000000000001") port map( I0 => alu_result(0), I1 => alu_result(1), I2 => alu_result(2), I3 => alu_result(3), I4 => alu_result(4), I5 => '1', O5 => lower_zero, O6 => lower_zero_sel); lower_zero_muxcy: MUXCY port map( DI => lower_zero, CI => carry_in_zero, S => lower_zero_sel, O => carry_lower_zero); middle_zero_lut: LUT6_2 generic map (INIT => X"0000000D00000000") port map( I0 => use_zero_flag, I1 => zero_flag, I2 => alu_result(5), I3 => alu_result(6), I4 => alu_result(7), I5 => '1', O5 => middle_zero, O6 => middle_zero_sel); middle_zero_muxcy: MUXCY port map( DI => middle_zero, CI => carry_lower_zero, S => middle_zero_sel, O => carry_middle_zero); upper_zero_lut: LUT6 generic map (INIT => X"FBFF000000000000") port map( I0 => instruction(14), I1 => instruction(15), I2 => instruction(16), I3 => '1', I4 => '1', I5 => '1', O => upper_zero_sel); upper_zero_muxcy: MUXCY port map( DI => shadow_zero_flag, CI => carry_middle_zero, S => upper_zero_sel, O => zero_flag_value); zero_flag_flop: FDRE port map ( D => zero_flag_value, Q => zero_flag, CE => flag_enable, R => internal_reset, C => clk); -- ------------------------------------------------------------------------------------------- -- -- 12-bit Program Address Generation -- ------------------------------------------------------------------------------------------- -- -- -- Prepare 12-bit vector from the sX and sY register outputs. -- register_vector <= sx(3 downto 0) & sy; address_loop: for i in 0 to 11 generate attribute hblknm : string; attribute hblknm of pc_flop : label is "kcpsm6_pc" & integer'image(i/4); attribute hblknm of return_vector_flop : label is "kcpsm6_stack_ram" & integer'image((i+4)/8); begin -- ------------------------------------------------------------------------------------------- -- -- Selection of vector to load program counter -- -- instruction(12) -- 0 Constant aaa from instruction(11:0) -- 1 Return vector from stack -- -- 'aaa' is used during 'JUMP aaa', 'JUMP c, aaa', 'CALL aaa' and 'CALL c, aaa'. -- Return vector is used during 'RETURN', 'RETURN c', 'RETURN&LOAD' and 'RETURNI'. -- -- 6 x LUT6_2 -- 12 x FD -- ------------------------------------------------------------------------------------------- -- -- -- Pipeline output of the stack memory -- return_vector_flop: FD port map ( D => stack_memory(i), Q => return_vector(i), C => clk); -- -- Multiplex instruction constant address and output from stack. -- 2 bits per LUT so only generate when 'i' is even. -- output_data: if (i rem 2)=0 generate attribute hblknm : string; attribute hblknm of pc_vector_mux_lut : label is "kcpsm6_vector" & integer'image(i/8); begin pc_vector_mux_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => instruction(i), I1 => return_vector(i), I2 => instruction(i+1), I3 => return_vector(i+1), I4 => instruction(12), I5 => '1', O5 => pc_vector(i), O6 => pc_vector(i+1)); end generate output_data; -- ------------------------------------------------------------------------------------------- -- -- Program Counter -- -- Reset by internal_reset has highest priority. -- Enabled by t_state(1) has second priority. -- -- The function performed is defined by pc_mode(2:0). -- -- pc_mode (2) (1) (0) -- 0 0 1 pc+1 for normal program flow. -- 1 0 0 Forces interrupt vector value (+0) during active interrupt. -- The vector is defined by a generic with default value FF0 hex. -- 1 1 0 register_vector (+0) for 'JUMP (sX, sY)' and 'CALL (sX, sY)'. -- 0 1 0 pc_vector (+0) for 'JUMP/CALL aaa' and 'RETURNI'. -- 0 1 1 pc_vector+1 for 'RETURN'. -- -- Note that pc_mode(0) is High during operations that require an increment to occur. -- The LUT6 associated with the LSB must invert pc or pc_vector in these cases and -- pc_mode(0) also has to be connected to the start of the carry chain. -- -- 3 Slices -- 12 x LUT6 -- 11 x MUXCY -- 12 x XORCY -- 12 x FDRE -- ------------------------------------------------------------------------------------------- -- pc_flop: FDRE port map ( D => pc_value(i), Q => pc(i), R => internal_reset, CE => t_state(1), C => clk); lsb_pc: if i=0 generate attribute hblknm : string; attribute hblknm of pc_xorcy : label is "kcpsm6_pc" & integer'image(i/4); attribute hblknm of pc_muxcy : label is "kcpsm6_pc" & integer'image(i/4); begin -- -- Logic of LSB must invert selected value when pc_mode(0) is High. -- The interrupt vector is defined by a generic. -- low_int_vector: if interrupt_vector(i)='0' generate attribute hblknm : string; attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4); begin pc_lut: LUT6 generic map (INIT => X"00AA000033CC0F00") port map( I0 => register_vector(i), I1 => pc_vector(i), I2 => pc(i), I3 => pc_mode(0), I4 => pc_mode(1), I5 => pc_mode(2), O => half_pc(i)); end generate low_int_vector; high_int_vector: if interrupt_vector(i)='1' generate attribute hblknm : string; attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4); begin pc_lut: LUT6 generic map (INIT => X"00AA00FF33CC0F00") port map( I0 => register_vector(i), I1 => pc_vector(i), I2 => pc(i), I3 => pc_mode(0), I4 => pc_mode(1), I5 => pc_mode(2), O => half_pc(i)); end generate high_int_vector; -- -- pc_mode(0) connected to first MUXCY and carry input is '0' -- pc_xorcy: XORCY port map( LI => half_pc(i), CI => '0', O => pc_value(i)); pc_muxcy: MUXCY port map( DI => pc_mode(0), CI => '0', S => half_pc(i), O => carry_pc(i)); end generate lsb_pc; upper_pc: if i>0 generate attribute hblknm : string; attribute hblknm of pc_xorcy : label is "kcpsm6_pc" & integer'image(i/4); begin -- -- Logic of upper section selects required value. -- The interrupt vector is defined by a generic. -- low_int_vector: if interrupt_vector(i)='0' generate attribute hblknm : string; attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4); begin pc_lut: LUT6 generic map (INIT => X"00AA0000CCCCF000") port map( I0 => register_vector(i), I1 => pc_vector(i), I2 => pc(i), I3 => pc_mode(0), I4 => pc_mode(1), I5 => pc_mode(2), O => half_pc(i)); end generate low_int_vector; high_int_vector: if interrupt_vector(i)='1' generate attribute hblknm : string; attribute hblknm of pc_lut : label is "kcpsm6_pc" & integer'image(i/4); begin pc_lut: LUT6 generic map (INIT => X"00AA00FFCCCCF000") port map( I0 => register_vector(i), I1 => pc_vector(i), I2 => pc(i), I3 => pc_mode(0), I4 => pc_mode(1), I5 => pc_mode(2), O => half_pc(i)); end generate high_int_vector; -- -- Carry chain implementing remainder of increment function -- pc_xorcy: XORCY port map( LI => half_pc(i), CI => carry_pc(i-1), O => pc_value(i)); -- -- No MUXCY required at the top of the chain -- mid_pc: if i<11 generate attribute hblknm : string; attribute hblknm of pc_muxcy : label is "kcpsm6_pc" & integer'image(i/4); begin pc_muxcy: MUXCY port map( DI => '0', CI => carry_pc(i-1), S => half_pc(i), O => carry_pc(i)); end generate mid_pc; end generate upper_pc; -- ------------------------------------------------------------------------------------------- -- end generate address_loop; -- ------------------------------------------------------------------------------------------- -- -- Stack -- Preserves upto 31 nested values of the Program Counter during CALL and RETURN. -- Also preserves flags and bank selection during interrupt. -- -- 2 x RAM32M -- 4 x FD -- 5 x FDR -- 1 x LUT6 -- 4 x LUT6_2 -- 5 x XORCY -- 5 x MUXCY -- ------------------------------------------------------------------------------------------- -- shadow_carry_flag_flop: FD port map ( D => stack_carry_flag, Q => shadow_carry_flag, C => clk); stack_zero_flop: FD port map ( D => stack_zero_flag, Q => shadow_zero_value, C => clk); shadow_zero_flag_flop: FD port map ( D => shadow_zero_value, Q => shadow_zero_flag, C => clk); shadow_bank_flop: FD port map ( D => stack_bank, Q => shadow_bank, C => clk); stack_bit_flop: FD port map ( D => stack_bit, Q => special_bit, C => clk); stack_ram_low : RAM32M generic map (INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000") port map ( DOA(0) => stack_carry_flag, DOA(1) => stack_zero_flag, DOB(0) => stack_bank, DOB(1) => stack_bit, DOC => stack_memory(1 downto 0), DOD => stack_memory(3 downto 2), ADDRA => stack_pointer(4 downto 0), ADDRB => stack_pointer(4 downto 0), ADDRC => stack_pointer(4 downto 0), ADDRD => stack_pointer(4 downto 0), DIA(0) => carry_flag, DIA(1) => zero_flag, DIB(0) => bank, DIB(1) => run, DIC => pc(1 downto 0), DID => pc(3 downto 2), WE => t_state(1), WCLK => clk ); stack_ram_high : RAM32M generic map (INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000") port map ( DOA => stack_memory(5 downto 4), DOB => stack_memory(7 downto 6), DOC => stack_memory(9 downto 8), DOD => stack_memory(11 downto 10), ADDRA => stack_pointer(4 downto 0), ADDRB => stack_pointer(4 downto 0), ADDRC => stack_pointer(4 downto 0), ADDRD => stack_pointer(4 downto 0), DIA => pc(5 downto 4), DIB => pc(7 downto 6), DIC => pc(9 downto 8), DID => pc(11 downto 10), WE => t_state(1), WCLK => clk ); stack_loop: for i in 0 to 4 generate begin lsb_stack: if i=0 generate attribute hblknm : string; attribute hblknm of pointer_flop : label is "kcpsm6_stack" & integer'image(i/4); attribute hblknm of stack_pointer_lut : label is "kcpsm6_stack" & integer'image(i/4); attribute hblknm of stack_xorcy : label is "kcpsm6_stack" & integer'image(i/4); attribute hblknm of stack_muxcy : label is "kcpsm6_stack" & integer'image(i/4); begin pointer_flop: FDR port map ( D => stack_pointer_value(i), Q => stack_pointer(i), R => internal_reset, C => clk); stack_pointer_lut: LUT6_2 generic map (INIT => X"001529AAAAAAAAAA") port map( I0 => stack_pointer(i), I1 => pop_stack, I2 => push_stack, I3 => t_state(1), I4 => t_state(2), I5 => '1', O5 => feed_pointer_value(i), O6 => half_pointer_value(i)); stack_xorcy: XORCY port map( LI => half_pointer_value(i), CI => '0', O => stack_pointer_value(i)); stack_muxcy: MUXCY port map( DI => feed_pointer_value(i), CI => '0', S => half_pointer_value(i), O => stack_pointer_carry(i)); end generate lsb_stack; upper_stack: if i>0 generate attribute hblknm : string; attribute hblknm of pointer_flop : label is "kcpsm6_stack" & integer'image(i/4); attribute hblknm of stack_pointer_lut : label is "kcpsm6_stack" & integer'image(i/4); attribute hblknm of stack_xorcy : label is "kcpsm6_stack" & integer'image(i/4); attribute hblknm of stack_muxcy : label is "kcpsm6_stack" & integer'image(i/4); begin pointer_flop: FDR port map ( D => stack_pointer_value(i), Q => stack_pointer(i), R => internal_reset, C => clk); stack_pointer_lut: LUT6_2 generic map (INIT => X"002A252AAAAAAAAA") port map( I0 => stack_pointer(i), I1 => pop_stack, I2 => push_stack, I3 => t_state(1), I4 => t_state(2), I5 => '1', O5 => feed_pointer_value(i), O6 => half_pointer_value(i)); stack_xorcy: XORCY port map( LI => half_pointer_value(i), CI => stack_pointer_carry(i-1), O => stack_pointer_value(i)); stack_muxcy: MUXCY port map( DI => feed_pointer_value(i), CI => stack_pointer_carry(i-1), S => half_pointer_value(i), O => stack_pointer_carry(i)); end generate upper_stack; end generate stack_loop; -- ------------------------------------------------------------------------------------------- -- -- 8-bit Data Path -- ------------------------------------------------------------------------------------------- -- data_path_loop: for i in 0 to 7 generate attribute hblknm : string; attribute hblknm of arith_logical_lut : label is "kcpsm6_add" & integer'image(i/4); attribute hblknm of arith_logical_flop : label is "kcpsm6_add" & integer'image(i/4); attribute hblknm of alu_mux_lut : label is "kcpsm6_alu" & integer'image(i/4); begin -- ------------------------------------------------------------------------------------------- -- -- Selection of second operand to ALU and port_id -- -- instruction(12) -- 0 Register sY -- 1 Constant kk -- -- 4 x LUT6_2 -- ------------------------------------------------------------------------------------------- -- -- -- 2 bits per LUT so only generate when 'i' is even -- output_data: if (i rem 2)=0 generate attribute hblknm : string; attribute hblknm of sy_kk_mux_lut : label is "kcpsm6_port_id"; begin sy_kk_mux_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => sy(i), I1 => instruction(i), I2 => sy(i+1), I3 => instruction(i+1), I4 => instruction(12), I5 => '1', O5 => sy_or_kk(i), O6 => sy_or_kk(i+1)); end generate output_data; -- ------------------------------------------------------------------------------------------- -- -- Selection of out_port value -- -- instruction(13) -- 0 Register sX -- 1 Constant kk from instruction(11:4) -- -- 4 x LUT6_2 -- ------------------------------------------------------------------------------------------- -- -- -- 2 bits per LUT so only generate when 'i' is even -- second_operand: if (i rem 2)=0 generate attribute hblknm : string; attribute hblknm of out_port_lut : label is "kcpsm6_out_port"; begin out_port_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => sx(i), I1 => instruction(i+4), I2 => sx(i+1), I3 => instruction(i+5), I4 => instruction(13), I5 => '1', O5 => out_port(i), O6 => out_port(i+1)); end generate second_operand; -- ------------------------------------------------------------------------------------------- -- -- Arithmetic and Logical operations -- -- Definition of.... -- ADD and SUB also used for ADDCY, SUBCY, COMPARE and COMPARECY. -- LOAD, AND, OR and XOR also used for LOAD*, RETURN&LOAD, TEST and TESTCY. -- -- arith_logical_sel (2) (1) (0) -- 0 0 0 - LOAD -- 0 0 1 - AND -- 0 1 0 - OR -- 0 1 1 - XOR -- 1 X 0 - SUB -- 1 X 1 - ADD -- -- Includes pipeline stage. -- -- 2 Slices -- 8 x LUT6_2 -- 8 x MUXCY -- 8 x XORCY -- 8 x FD -- ------------------------------------------------------------------------------------------- -- arith_logical_lut: LUT6_2 generic map (INIT => X"69696E8ACCCC0000") port map( I0 => sy_or_kk(i), I1 => sx(i), I2 => arith_logical_sel(0), I3 => arith_logical_sel(1), I4 => arith_logical_sel(2), I5 => '1', O5 => logical_carry_mask(i), O6 => half_arith_logical(i)); arith_logical_flop: FD port map ( D => arith_logical_value(i), Q => arith_logical_result(i), C => clk); lsb_arith_logical: if i=0 generate attribute hblknm : string; attribute hblknm of arith_logical_muxcy : label is "kcpsm6_add" & integer'image(i/4); attribute hblknm of arith_logical_xorcy : label is "kcpsm6_add" & integer'image(i/4); begin -- -- Carry input to first MUXCY and XORCY -- arith_logical_muxcy: MUXCY port map( DI => logical_carry_mask(i), CI => arith_carry_in, S => half_arith_logical(i), O => carry_arith_logical(i)); arith_logical_xorcy: XORCY port map( LI => half_arith_logical(i), CI => arith_carry_in, O => arith_logical_value(i)); end generate lsb_arith_logical; upper_arith_logical: if i>0 generate attribute hblknm : string; attribute hblknm of arith_logical_muxcy : label is "kcpsm6_add" & integer'image(i/4); attribute hblknm of arith_logical_xorcy : label is "kcpsm6_add" & integer'image(i/4); begin -- -- Main carry chain -- arith_logical_muxcy: MUXCY port map( DI => logical_carry_mask(i), CI => carry_arith_logical(i-1), S => half_arith_logical(i), O => carry_arith_logical(i)); arith_logical_xorcy: XORCY port map( LI => half_arith_logical(i), CI => carry_arith_logical(i-1), O => arith_logical_value(i)); end generate upper_arith_logical; -- ------------------------------------------------------------------------------------------- -- -- Shift and Rotate operations -- -- Definition of SL0, SL1, SLX, SLA, RL, SR0, SR1, SRX, SRA, and RR -- -- instruction (3) (2) (1) (0) -- 0 1 1 0 - SL0 -- 0 1 1 1 - SL1 -- 0 1 0 0 - SLX -- 0 0 0 0 - SLA -- 0 0 1 0 - RL -- 1 1 1 0 - SR0 -- 1 1 1 1 - SR1 -- 1 0 1 0 - SRX -- 1 0 0 0 - SRA -- 1 1 0 0 - RR -- -- instruction(3) -- 0 - Left -- 1 - Right -- -- instruction (2) (1) Bit shifted in -- 0 0 Carry_flag -- 0 1 sX(7) -- 1 0 sX(0) -- 1 1 instruction(0) -- -- Includes pipeline stage. -- -- 4 x LUT6_2 -- 1 x LUT6 -- 8 x FD -- ------------------------------------------------------------------------------------------- -- low_hwbuild: if hwbuild(i)='0' generate attribute hblknm : string; attribute hblknm of shift_rotate_flop : label is "kcpsm6_sandr"; begin -- -- Reset Flip-flop to form '0' for this bit of HWBUILD -- shift_rotate_flop: FDR port map ( D => shift_rotate_value(i), Q => shift_rotate_result(i), R => instruction(7), C => clk); end generate low_hwbuild; high_hwbuild: if hwbuild(i)='1' generate attribute hblknm : string; attribute hblknm of shift_rotate_flop : label is "kcpsm6_sandr"; begin -- -- Set Flip-flop to form '1' for this bit of HWBUILD -- shift_rotate_flop: FDS port map ( D => shift_rotate_value(i), Q => shift_rotate_result(i), S => instruction(7), C => clk); end generate high_hwbuild; lsb_shift_rotate: if i=0 generate attribute hblknm : string; attribute hblknm of shift_rotate_lut : label is "kcpsm6_sandr"; attribute hblknm of shift_bit_lut : label is "kcpsm6_decode1"; begin -- -- Select bit to be shifted or rotated into result -- shift_bit_lut: LUT6 generic map (INIT => X"BFBC8F8CB3B08380") port map( I0 => instruction(0), I1 => instruction(1), I2 => instruction(2), I3 => carry_flag, I4 => sx(0), I5 => sx(7), O => shift_in_bit); -- -- Define lower bits of result -- shift_rotate_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => shift_in_bit, I1 => sx(i+1), I2 => sx(i), I3 => sx(i+2), I4 => instruction(3), I5 => '1', O5 => shift_rotate_value(i), O6 => shift_rotate_value(i+1)); end generate lsb_shift_rotate; mid_shift_rotate: if i=2 or i=4 generate attribute hblknm : string; attribute hblknm of shift_rotate_lut : label is "kcpsm6_sandr"; begin -- -- Define middle bits of result -- shift_rotate_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => sx(i-1), I1 => sx(i+1), I2 => sx(i), I3 => sx(i+2), I4 => instruction(3), I5 => '1', O5 => shift_rotate_value(i), O6 => shift_rotate_value(i+1)); end generate mid_shift_rotate; msb_shift_rotate: if i=6 generate attribute hblknm : string; attribute hblknm of shift_rotate_lut : label is "kcpsm6_sandr"; begin -- -- Define upper bits of result -- shift_rotate_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => sx(i-1), I1 => sx(i+1), I2 => sx(i), I3 => shift_in_bit, I4 => instruction(3), I5 => '1', O5 => shift_rotate_value(i), O6 => shift_rotate_value(i+1)); end generate msb_shift_rotate; -- ------------------------------------------------------------------------------------------- -- -- Multiplex outputs from ALU functions, scratch pad memory and input port. -- -- alu_mux_sel (1) (0) -- 0 0 Arithmetic and Logical Instructions -- 0 1 Shift and Rotate Instructions -- 1 0 Input Port -- 1 1 Scratch Pad Memory -- -- 8 x LUT6 -- ------------------------------------------------------------------------------------------- -- alu_mux_lut: LUT6 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => arith_logical_result(i), I1 => shift_rotate_result(i), I2 => in_port(i), I3 => spm_data(i), I4 => alu_mux_sel(0), I5 => alu_mux_sel(1), O => alu_result(i)); -- ------------------------------------------------------------------------------------------- -- -- Scratchpad Memory with output register. -- -- The size of the scratch pad memory is defined by the 'scratch_pad_memory_size' generic. -- The default size is 64 bytes the same as KCPSM3 but this can be increased to 128 or 256 -- bytes at an additional cost of 2 and 6 Slices. -- -- -- 8 x RAM256X1S (256 bytes). -- 8 x RAM128X1S (128 bytes). -- 2 x RAM64M (64 bytes). -- -- 8 x FD. -- ------------------------------------------------------------------------------------------- -- small_spm: if scratch_pad_memory_size = 64 generate attribute hblknm : string; attribute hblknm of spm_flop : label is "kcpsm6_spm" & integer'image(i/4); begin spm_flop: FD port map ( D => spm_ram_data(i), Q => spm_data(i), C => clk); small_spm_ram: if (i=0 or i=4) generate attribute hblknm of spm_ram : label is "kcpsm6_spm" & integer'image(i/4); begin spm_ram: RAM64M generic map ( INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000") port map ( DOA => spm_ram_data(i), DOB => spm_ram_data(i+1), DOC => spm_ram_data(i+2), DOD => spm_ram_data(i+3), ADDRA => sy_or_kk(5 downto 0), ADDRB => sy_or_kk(5 downto 0), ADDRC => sy_or_kk(5 downto 0), ADDRD => sy_or_kk(5 downto 0), DIA => sx(i), DIB => sx(i+1), DIC => sx(i+2), DID => sx(i+3), WE => spm_enable, WCLK => clk ); end generate small_spm_ram; end generate small_spm; medium_spm: if scratch_pad_memory_size = 128 generate attribute hblknm : string; attribute hblknm of spm_ram : label is "kcpsm6_spm" & integer'image(i/2); attribute hblknm of spm_flop : label is "kcpsm6_spm" & integer'image(i/2); begin spm_ram: RAM128X1S generic map(INIT => X"00000000000000000000000000000000") port map ( D => sx(i), WE => spm_enable, WCLK => clk, A0 => sy_or_kk(0), A1 => sy_or_kk(1), A2 => sy_or_kk(2), A3 => sy_or_kk(3), A4 => sy_or_kk(4), A5 => sy_or_kk(5), A6 => sy_or_kk(6), O => spm_ram_data(i)); spm_flop: FD port map ( D => spm_ram_data(i), Q => spm_data(i), C => clk); end generate medium_spm; large_spm: if scratch_pad_memory_size = 256 generate attribute hblknm : string; attribute hblknm of spm_ram : label is "kcpsm6_spm" & integer'image(i); attribute hblknm of spm_flop : label is "kcpsm6_spm" & integer'image(i); begin spm_ram: RAM256X1S generic map(INIT => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( D => sx(i), WE => spm_enable, WCLK => clk, A => sy_or_kk, O => spm_ram_data(i)); spm_flop: FD port map ( D => spm_ram_data(i), Q => spm_data(i), C => clk); end generate large_spm; -- ------------------------------------------------------------------------------------------- -- end generate data_path_loop; -- ------------------------------------------------------------------------------------------- -- -- Two Banks of 16 General Purpose Registers. -- -- sx_addr - Address for sX is formed by bank select and instruction[11:8] -- sy_addr - Address for sY is formed by bank select and instruction[7:4] -- -- 2 Slices -- 2 x RAM32M -- ------------------------------------------------------------------------------------------- -- lower_reg_banks : RAM32M generic map (INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000") port map ( DOA => sy(1 downto 0), DOB => sx(1 downto 0), DOC => sy(3 downto 2), DOD => sx(3 downto 2), ADDRA => sy_addr, ADDRB => sx_addr, ADDRC => sy_addr, ADDRD => sx_addr, DIA => alu_result(1 downto 0), DIB => alu_result(1 downto 0), DIC => alu_result(3 downto 2), DID => alu_result(3 downto 2), WE => register_enable, WCLK => clk ); upper_reg_banks : RAM32M generic map (INIT_A => X"0000000000000000", INIT_B => X"0000000000000000", INIT_C => X"0000000000000000", INIT_D => X"0000000000000000") port map ( DOA => sy(5 downto 4), DOB => sx(5 downto 4), DOC => sy(7 downto 6), DOD => sx(7 downto 6), ADDRA => sy_addr, ADDRB => sx_addr, ADDRC => sy_addr, ADDRD => sx_addr, DIA => alu_result(5 downto 4), DIB => alu_result(5 downto 4), DIC => alu_result(7 downto 6), DID => alu_result(7 downto 6), WE => register_enable, WCLK => clk ); -- ------------------------------------------------------------------------------------------- -- -- Connections to KCPSM6 outputs. -- ------------------------------------------------------------------------------------------- -- address <= pc; bram_enable <= t_state(2); -- ------------------------------------------------------------------------------------------- -- -- Connections KCPSM6 Outputs. -- ------------------------------------------------------------------------------------------- -- port_id <= sy_or_kk; -- ------------------------------------------------------------------------------------------- -- -- End of description for kcpsm6 macro. -- ------------------------------------------------------------------------------------------- -- -- ***************************************************** -- * Code for simulation purposes only after this line * -- ***************************************************** -- -- -- Disassemble the instruction codes to form a text string for display. -- Determine status of reset and flags and present in the form of a text string. -- Provide signals to simulate the contents of each register and scratch pad memory -- location. -- ------------------------------------------------------------------------------------------- -- --All of this section is ignored during synthesis. --synthesis translate off simulation: process (clk, instruction, carry_flag, zero_flag, bank, interrupt_enable) -- -- Variables for contents of each register in each bank -- variable bank_a_s0 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s1 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s2 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s3 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s4 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s5 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s6 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s7 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s8 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_s9 : std_logic_vector(7 downto 0) := X"00"; variable bank_a_sa : std_logic_vector(7 downto 0) := X"00"; variable bank_a_sb : std_logic_vector(7 downto 0) := X"00"; variable bank_a_sc : std_logic_vector(7 downto 0) := X"00"; variable bank_a_sd : std_logic_vector(7 downto 0) := X"00"; variable bank_a_se : std_logic_vector(7 downto 0) := X"00"; variable bank_a_sf : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s0 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s1 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s2 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s3 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s4 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s5 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s6 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s7 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s8 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_s9 : std_logic_vector(7 downto 0) := X"00"; variable bank_b_sa : std_logic_vector(7 downto 0) := X"00"; variable bank_b_sb : std_logic_vector(7 downto 0) := X"00"; variable bank_b_sc : std_logic_vector(7 downto 0) := X"00"; variable bank_b_sd : std_logic_vector(7 downto 0) := X"00"; variable bank_b_se : std_logic_vector(7 downto 0) := X"00"; variable bank_b_sf : std_logic_vector(7 downto 0) := X"00"; -- -- Temporary variables for instruction decoding -- variable sx_decode : string(1 to 2); -- sX register specification variable sy_decode : string(1 to 2); -- sY register specification variable kk_decode : string(1 to 2); -- constant value kk, pp or ss variable aaa_decode : string(1 to 3); -- address value aaa -- ----------------------------------------------------------------------------------------- -- -- Function to convert 4-bit binary nibble to hexadecimal character -- ----------------------------------------------------------------------------------------- -- function hexcharacter (nibble: std_logic_vector(3 downto 0)) return character is variable hex: character; begin case nibble is when "0000" => hex := '0'; when "0001" => hex := '1'; when "0010" => hex := '2'; when "0011" => hex := '3'; when "0100" => hex := '4'; when "0101" => hex := '5'; when "0110" => hex := '6'; when "0111" => hex := '7'; when "1000" => hex := '8'; when "1001" => hex := '9'; when "1010" => hex := 'A'; when "1011" => hex := 'B'; when "1100" => hex := 'C'; when "1101" => hex := 'D'; when "1110" => hex := 'E'; when "1111" => hex := 'F'; when others => hex := 'x'; end case; return hex; end hexcharacter; -- ----------------------------------------------------------------------------------------- -- begin -- decode first register sX sx_decode(1) := 's'; sx_decode(2) := hexcharacter(instruction(11 downto 8)); -- decode second register sY sy_decode(1) := 's'; sy_decode(2) := hexcharacter(instruction(7 downto 4)); -- decode constant value kk_decode(1) := hexcharacter(instruction(7 downto 4)); kk_decode(2) := hexcharacter(instruction(3 downto 0)); -- address value aaa_decode(1) := hexcharacter(instruction(11 downto 8)); aaa_decode(2) := hexcharacter(instruction(7 downto 4)); aaa_decode(3) := hexcharacter(instruction(3 downto 0)); -- decode instruction case instruction(17 downto 12) is when "000000" => kcpsm6_opcode <= "LOAD " & sx_decode & ", " & sy_decode & " "; when "000001" => kcpsm6_opcode <= "LOAD " & sx_decode & ", " & kk_decode & " "; when "010110" => kcpsm6_opcode <= "STAR " & sx_decode & ", " & sy_decode & " "; when "000010" => kcpsm6_opcode <= "AND " & sx_decode & ", " & sy_decode & " "; when "000011" => kcpsm6_opcode <= "AND " & sx_decode & ", " & kk_decode & " "; when "000100" => kcpsm6_opcode <= "OR " & sx_decode & ", " & sy_decode & " "; when "000101" => kcpsm6_opcode <= "OR " & sx_decode & ", " & kk_decode & " "; when "000110" => kcpsm6_opcode <= "XOR " & sx_decode & ", " & sy_decode & " "; when "000111" => kcpsm6_opcode <= "XOR " & sx_decode & ", " & kk_decode & " "; when "001100" => kcpsm6_opcode <= "TEST " & sx_decode & ", " & sy_decode & " "; when "001101" => kcpsm6_opcode <= "TEST " & sx_decode & ", " & kk_decode & " "; when "001110" => kcpsm6_opcode <= "TESTCY " & sx_decode & ", " & sy_decode & " "; when "001111" => kcpsm6_opcode <= "TESTCY " & sx_decode & ", " & kk_decode & " "; when "010000" => kcpsm6_opcode <= "ADD " & sx_decode & ", " & sy_decode & " "; when "010001" => kcpsm6_opcode <= "ADD " & sx_decode & ", " & kk_decode & " "; when "010010" => kcpsm6_opcode <= "ADDCY " & sx_decode & ", " & sy_decode & " "; when "010011" => kcpsm6_opcode <= "ADDCY " & sx_decode & ", " & kk_decode & " "; when "011000" => kcpsm6_opcode <= "SUB " & sx_decode & ", " & sy_decode & " "; when "011001" => kcpsm6_opcode <= "SUB " & sx_decode & ", " & kk_decode & " "; when "011010" => kcpsm6_opcode <= "SUBCY " & sx_decode & ", " & sy_decode & " "; when "011011" => kcpsm6_opcode <= "SUBCY " & sx_decode & ", " & kk_decode & " "; when "011100" => kcpsm6_opcode <= "COMPARE " & sx_decode & ", " & sy_decode & " "; when "011101" => kcpsm6_opcode <= "COMPARE " & sx_decode & ", " & kk_decode & " "; when "011110" => kcpsm6_opcode <= "COMPARECY " & sx_decode & ", " & sy_decode & " "; when "011111" => kcpsm6_opcode <= "COMPARECY " & sx_decode & ", " & kk_decode & " "; when "010100" => if instruction(7) = '1' then kcpsm6_opcode <= "HWBUILD " & sx_decode & " "; else case instruction(3 downto 0) is when "0110" => kcpsm6_opcode <= "SL0 " & sx_decode & " "; when "0111" => kcpsm6_opcode <= "SL1 " & sx_decode & " "; when "0100" => kcpsm6_opcode <= "SLX " & sx_decode & " "; when "0000" => kcpsm6_opcode <= "SLA " & sx_decode & " "; when "0010" => kcpsm6_opcode <= "RL " & sx_decode & " "; when "1110" => kcpsm6_opcode <= "SR0 " & sx_decode & " "; when "1111" => kcpsm6_opcode <= "SR1 " & sx_decode & " "; when "1010" => kcpsm6_opcode <= "SRX " & sx_decode & " "; when "1000" => kcpsm6_opcode <= "SRA " & sx_decode & " "; when "1100" => kcpsm6_opcode <= "RR " & sx_decode & " "; when others => kcpsm6_opcode <= "Invalid Instruction"; end case; end if; when "101100" => kcpsm6_opcode <= "OUTPUT " & sx_decode & ", (" & sy_decode & ") "; when "101101" => kcpsm6_opcode <= "OUTPUT " & sx_decode & ", " & kk_decode & " "; when "101011" => kcpsm6_opcode <= "OUTPUTK " & aaa_decode(1) & aaa_decode(2) & ", " & aaa_decode(3) & " "; when "001000" => kcpsm6_opcode <= "INPUT " & sx_decode & ", (" & sy_decode & ") "; when "001001" => kcpsm6_opcode <= "INPUT " & sx_decode & ", " & kk_decode & " "; when "101110" => kcpsm6_opcode <= "STORE " & sx_decode & ", (" & sy_decode & ") "; when "101111" => kcpsm6_opcode <= "STORE " & sx_decode & ", " & kk_decode & " "; when "001010" => kcpsm6_opcode <= "FETCH " & sx_decode & ", (" & sy_decode & ") "; when "001011" => kcpsm6_opcode <= "FETCH " & sx_decode & ", " & kk_decode & " "; when "100010" => kcpsm6_opcode <= "JUMP " & aaa_decode & " "; when "110010" => kcpsm6_opcode <= "JUMP Z, " & aaa_decode & " "; when "110110" => kcpsm6_opcode <= "JUMP NZ, " & aaa_decode & " "; when "111010" => kcpsm6_opcode <= "JUMP C, " & aaa_decode & " "; when "111110" => kcpsm6_opcode <= "JUMP NC, " & aaa_decode & " "; when "100110" => kcpsm6_opcode <= "JUMP@ (" & sx_decode & ", " & sy_decode & ") "; when "100000" => kcpsm6_opcode <= "CALL " & aaa_decode & " "; when "110000" => kcpsm6_opcode <= "CALL Z, " & aaa_decode & " "; when "110100" => kcpsm6_opcode <= "CALL NZ, " & aaa_decode & " "; when "111000" => kcpsm6_opcode <= "CALL C, " & aaa_decode & " "; when "111100" => kcpsm6_opcode <= "CALL NC, " & aaa_decode & " "; when "100100" => kcpsm6_opcode <= "CALL@ (" & sx_decode & ", " & sy_decode & ") "; when "100101" => kcpsm6_opcode <= "RETURN "; when "110001" => kcpsm6_opcode <= "RETURN Z "; when "110101" => kcpsm6_opcode <= "RETURN NZ "; when "111001" => kcpsm6_opcode <= "RETURN C "; when "111101" => kcpsm6_opcode <= "RETURN NC "; when "100001" => kcpsm6_opcode <= "LOAD&RETURN " & sx_decode & ", " & kk_decode & " "; when "101001" => case instruction(0) is when '0' => kcpsm6_opcode <= "RETURNI DISABLE "; when '1' => kcpsm6_opcode <= "RETURNI ENABLE "; when others => kcpsm6_opcode <= "Invalid Instruction"; end case; when "101000" => case instruction(0) is when '0' => kcpsm6_opcode <= "DISABLE INTERRUPT "; when '1' => kcpsm6_opcode <= "ENABLE INTERRUPT "; when others => kcpsm6_opcode <= "Invalid Instruction"; end case; when "110111" => case instruction(0) is when '0' => kcpsm6_opcode <= "REGBANK A "; when '1' => kcpsm6_opcode <= "REGBANK B "; when others => kcpsm6_opcode <= "Invalid Instruction"; end case; when others => kcpsm6_opcode <= "Invalid Instruction"; end case; -- Flag status information if zero_flag = '0' then kcpsm6_status(3 to 5) <= "NZ,"; else kcpsm6_status(3 to 5) <= " Z,"; end if; if carry_flag = '0' then kcpsm6_status(6 to 8) <= "NC,"; else kcpsm6_status(6 to 8) <= " C,"; end if; if interrupt_enable = '0' then kcpsm6_status(9 to 10) <= "ID"; else kcpsm6_status(9 to 10) <= "IE"; end if; -- Operational status if clk'event and clk = '1' then if internal_reset = '1' then kcpsm6_status(11 to 16) <= ",Reset"; else if sync_sleep = '1' and t_state = "00" then kcpsm6_status(11 to 16) <= ",Sleep"; else kcpsm6_status(11 to 16) <= " "; end if; end if; end if; -- Simulation of register contents if clk'event and clk = '1' then if register_enable = '1' then case sx_addr is when "00000" => bank_a_s0 := alu_result; when "00001" => bank_a_s1 := alu_result; when "00010" => bank_a_s2 := alu_result; when "00011" => bank_a_s3 := alu_result; when "00100" => bank_a_s4 := alu_result; when "00101" => bank_a_s5 := alu_result; when "00110" => bank_a_s6 := alu_result; when "00111" => bank_a_s7 := alu_result; when "01000" => bank_a_s8 := alu_result; when "01001" => bank_a_s9 := alu_result; when "01010" => bank_a_sa := alu_result; when "01011" => bank_a_sb := alu_result; when "01100" => bank_a_sc := alu_result; when "01101" => bank_a_sd := alu_result; when "01110" => bank_a_se := alu_result; when "01111" => bank_a_sf := alu_result; when "10000" => bank_b_s0 := alu_result; when "10001" => bank_b_s1 := alu_result; when "10010" => bank_b_s2 := alu_result; when "10011" => bank_b_s3 := alu_result; when "10100" => bank_b_s4 := alu_result; when "10101" => bank_b_s5 := alu_result; when "10110" => bank_b_s6 := alu_result; when "10111" => bank_b_s7 := alu_result; when "11000" => bank_b_s8 := alu_result; when "11001" => bank_b_s9 := alu_result; when "11010" => bank_b_sa := alu_result; when "11011" => bank_b_sb := alu_result; when "11100" => bank_b_sc := alu_result; when "11101" => bank_b_sd := alu_result; when "11110" => bank_b_se := alu_result; when "11111" => bank_b_sf := alu_result; when others => null; end case; end if; --simulation of scratch pad memory contents if spm_enable = '1' then case sy_or_kk is when "00000000" => sim_spm00 <= sx; when "00000001" => sim_spm01 <= sx; when "00000010" => sim_spm02 <= sx; when "00000011" => sim_spm03 <= sx; when "00000100" => sim_spm04 <= sx; when "00000101" => sim_spm05 <= sx; when "00000110" => sim_spm06 <= sx; when "00000111" => sim_spm07 <= sx; when "00001000" => sim_spm08 <= sx; when "00001001" => sim_spm09 <= sx; when "00001010" => sim_spm0A <= sx; when "00001011" => sim_spm0B <= sx; when "00001100" => sim_spm0C <= sx; when "00001101" => sim_spm0D <= sx; when "00001110" => sim_spm0E <= sx; when "00001111" => sim_spm0F <= sx; when "00010000" => sim_spm10 <= sx; when "00010001" => sim_spm11 <= sx; when "00010010" => sim_spm12 <= sx; when "00010011" => sim_spm13 <= sx; when "00010100" => sim_spm14 <= sx; when "00010101" => sim_spm15 <= sx; when "00010110" => sim_spm16 <= sx; when "00010111" => sim_spm17 <= sx; when "00011000" => sim_spm18 <= sx; when "00011001" => sim_spm19 <= sx; when "00011010" => sim_spm1A <= sx; when "00011011" => sim_spm1B <= sx; when "00011100" => sim_spm1C <= sx; when "00011101" => sim_spm1D <= sx; when "00011110" => sim_spm1E <= sx; when "00011111" => sim_spm1F <= sx; when "00100000" => sim_spm20 <= sx; when "00100001" => sim_spm21 <= sx; when "00100010" => sim_spm22 <= sx; when "00100011" => sim_spm23 <= sx; when "00100100" => sim_spm24 <= sx; when "00100101" => sim_spm25 <= sx; when "00100110" => sim_spm26 <= sx; when "00100111" => sim_spm27 <= sx; when "00101000" => sim_spm28 <= sx; when "00101001" => sim_spm29 <= sx; when "00101010" => sim_spm2A <= sx; when "00101011" => sim_spm2B <= sx; when "00101100" => sim_spm2C <= sx; when "00101101" => sim_spm2D <= sx; when "00101110" => sim_spm2E <= sx; when "00101111" => sim_spm2F <= sx; when "00110000" => sim_spm30 <= sx; when "00110001" => sim_spm31 <= sx; when "00110010" => sim_spm32 <= sx; when "00110011" => sim_spm33 <= sx; when "00110100" => sim_spm34 <= sx; when "00110101" => sim_spm35 <= sx; when "00110110" => sim_spm36 <= sx; when "00110111" => sim_spm37 <= sx; when "00111000" => sim_spm38 <= sx; when "00111001" => sim_spm39 <= sx; when "00111010" => sim_spm3A <= sx; when "00111011" => sim_spm3B <= sx; when "00111100" => sim_spm3C <= sx; when "00111101" => sim_spm3D <= sx; when "00111110" => sim_spm3E <= sx; when "00111111" => sim_spm3F <= sx; when "01000000" => sim_spm40 <= sx; when "01000001" => sim_spm41 <= sx; when "01000010" => sim_spm42 <= sx; when "01000011" => sim_spm43 <= sx; when "01000100" => sim_spm44 <= sx; when "01000101" => sim_spm45 <= sx; when "01000110" => sim_spm46 <= sx; when "01000111" => sim_spm47 <= sx; when "01001000" => sim_spm48 <= sx; when "01001001" => sim_spm49 <= sx; when "01001010" => sim_spm4A <= sx; when "01001011" => sim_spm4B <= sx; when "01001100" => sim_spm4C <= sx; when "01001101" => sim_spm4D <= sx; when "01001110" => sim_spm4E <= sx; when "01001111" => sim_spm4F <= sx; when "01010000" => sim_spm50 <= sx; when "01010001" => sim_spm51 <= sx; when "01010010" => sim_spm52 <= sx; when "01010011" => sim_spm53 <= sx; when "01010100" => sim_spm54 <= sx; when "01010101" => sim_spm55 <= sx; when "01010110" => sim_spm56 <= sx; when "01010111" => sim_spm57 <= sx; when "01011000" => sim_spm58 <= sx; when "01011001" => sim_spm59 <= sx; when "01011010" => sim_spm5A <= sx; when "01011011" => sim_spm5B <= sx; when "01011100" => sim_spm5C <= sx; when "01011101" => sim_spm5D <= sx; when "01011110" => sim_spm5E <= sx; when "01011111" => sim_spm5F <= sx; when "01100000" => sim_spm60 <= sx; when "01100001" => sim_spm61 <= sx; when "01100010" => sim_spm62 <= sx; when "01100011" => sim_spm63 <= sx; when "01100100" => sim_spm64 <= sx; when "01100101" => sim_spm65 <= sx; when "01100110" => sim_spm66 <= sx; when "01100111" => sim_spm67 <= sx; when "01101000" => sim_spm68 <= sx; when "01101001" => sim_spm69 <= sx; when "01101010" => sim_spm6A <= sx; when "01101011" => sim_spm6B <= sx; when "01101100" => sim_spm6C <= sx; when "01101101" => sim_spm6D <= sx; when "01101110" => sim_spm6E <= sx; when "01101111" => sim_spm6F <= sx; when "01110000" => sim_spm70 <= sx; when "01110001" => sim_spm71 <= sx; when "01110010" => sim_spm72 <= sx; when "01110011" => sim_spm73 <= sx; when "01110100" => sim_spm74 <= sx; when "01110101" => sim_spm75 <= sx; when "01110110" => sim_spm76 <= sx; when "01110111" => sim_spm77 <= sx; when "01111000" => sim_spm78 <= sx; when "01111001" => sim_spm79 <= sx; when "01111010" => sim_spm7A <= sx; when "01111011" => sim_spm7B <= sx; when "01111100" => sim_spm7C <= sx; when "01111101" => sim_spm7D <= sx; when "01111110" => sim_spm7E <= sx; when "01111111" => sim_spm7F <= sx; when "10000000" => sim_spm80 <= sx; when "10000001" => sim_spm81 <= sx; when "10000010" => sim_spm82 <= sx; when "10000011" => sim_spm83 <= sx; when "10000100" => sim_spm84 <= sx; when "10000101" => sim_spm85 <= sx; when "10000110" => sim_spm86 <= sx; when "10000111" => sim_spm87 <= sx; when "10001000" => sim_spm88 <= sx; when "10001001" => sim_spm89 <= sx; when "10001010" => sim_spm8A <= sx; when "10001011" => sim_spm8B <= sx; when "10001100" => sim_spm8C <= sx; when "10001101" => sim_spm8D <= sx; when "10001110" => sim_spm8E <= sx; when "10001111" => sim_spm8F <= sx; when "10010000" => sim_spm90 <= sx; when "10010001" => sim_spm91 <= sx; when "10010010" => sim_spm92 <= sx; when "10010011" => sim_spm93 <= sx; when "10010100" => sim_spm94 <= sx; when "10010101" => sim_spm95 <= sx; when "10010110" => sim_spm96 <= sx; when "10010111" => sim_spm97 <= sx; when "10011000" => sim_spm98 <= sx; when "10011001" => sim_spm99 <= sx; when "10011010" => sim_spm9A <= sx; when "10011011" => sim_spm9B <= sx; when "10011100" => sim_spm9C <= sx; when "10011101" => sim_spm9D <= sx; when "10011110" => sim_spm9E <= sx; when "10011111" => sim_spm9F <= sx; when "10100000" => sim_spma0 <= sx; when "10100001" => sim_spmA1 <= sx; when "10100010" => sim_spmA2 <= sx; when "10100011" => sim_spmA3 <= sx; when "10100100" => sim_spmA4 <= sx; when "10100101" => sim_spmA5 <= sx; when "10100110" => sim_spmA6 <= sx; when "10100111" => sim_spmA7 <= sx; when "10101000" => sim_spmA8 <= sx; when "10101001" => sim_spmA9 <= sx; when "10101010" => sim_spmAA <= sx; when "10101011" => sim_spmAB <= sx; when "10101100" => sim_spmAC <= sx; when "10101101" => sim_spmAD <= sx; when "10101110" => sim_spmAE <= sx; when "10101111" => sim_spmAF <= sx; when "10110000" => sim_spmB0 <= sx; when "10110001" => sim_spmB1 <= sx; when "10110010" => sim_spmB2 <= sx; when "10110011" => sim_spmB3 <= sx; when "10110100" => sim_spmB4 <= sx; when "10110101" => sim_spmB5 <= sx; when "10110110" => sim_spmB6 <= sx; when "10110111" => sim_spmB7 <= sx; when "10111000" => sim_spmB8 <= sx; when "10111001" => sim_spmB9 <= sx; when "10111010" => sim_spmBA <= sx; when "10111011" => sim_spmBB <= sx; when "10111100" => sim_spmBC <= sx; when "10111101" => sim_spmBD <= sx; when "10111110" => sim_spmBE <= sx; when "10111111" => sim_spmBF <= sx; when "11000000" => sim_spmC0 <= sx; when "11000001" => sim_spmC1 <= sx; when "11000010" => sim_spmC2 <= sx; when "11000011" => sim_spmC3 <= sx; when "11000100" => sim_spmC4 <= sx; when "11000101" => sim_spmC5 <= sx; when "11000110" => sim_spmC6 <= sx; when "11000111" => sim_spmC7 <= sx; when "11001000" => sim_spmC8 <= sx; when "11001001" => sim_spmC9 <= sx; when "11001010" => sim_spmCA <= sx; when "11001011" => sim_spmCB <= sx; when "11001100" => sim_spmCC <= sx; when "11001101" => sim_spmCD <= sx; when "11001110" => sim_spmCE <= sx; when "11001111" => sim_spmCF <= sx; when "11010000" => sim_spmD0 <= sx; when "11010001" => sim_spmD1 <= sx; when "11010010" => sim_spmD2 <= sx; when "11010011" => sim_spmD3 <= sx; when "11010100" => sim_spmD4 <= sx; when "11010101" => sim_spmD5 <= sx; when "11010110" => sim_spmD6 <= sx; when "11010111" => sim_spmD7 <= sx; when "11011000" => sim_spmD8 <= sx; when "11011001" => sim_spmD9 <= sx; when "11011010" => sim_spmDA <= sx; when "11011011" => sim_spmDB <= sx; when "11011100" => sim_spmDC <= sx; when "11011101" => sim_spmDD <= sx; when "11011110" => sim_spmDE <= sx; when "11011111" => sim_spmDF <= sx; when "11100000" => sim_spmE0 <= sx; when "11100001" => sim_spmE1 <= sx; when "11100010" => sim_spmE2 <= sx; when "11100011" => sim_spmE3 <= sx; when "11100100" => sim_spmE4 <= sx; when "11100101" => sim_spmE5 <= sx; when "11100110" => sim_spmE6 <= sx; when "11100111" => sim_spmE7 <= sx; when "11101000" => sim_spmE8 <= sx; when "11101001" => sim_spmE9 <= sx; when "11101010" => sim_spmEA <= sx; when "11101011" => sim_spmEB <= sx; when "11101100" => sim_spmEC <= sx; when "11101101" => sim_spmED <= sx; when "11101110" => sim_spmEE <= sx; when "11101111" => sim_spmEF <= sx; when "11110000" => sim_spmF0 <= sx; when "11110001" => sim_spmF1 <= sx; when "11110010" => sim_spmF2 <= sx; when "11110011" => sim_spmF3 <= sx; when "11110100" => sim_spmF4 <= sx; when "11110101" => sim_spmF5 <= sx; when "11110110" => sim_spmF6 <= sx; when "11110111" => sim_spmF7 <= sx; when "11111000" => sim_spmF8 <= sx; when "11111001" => sim_spmF9 <= sx; when "11111010" => sim_spmFA <= sx; when "11111011" => sim_spmFB <= sx; when "11111100" => sim_spmFC <= sx; when "11111101" => sim_spmFD <= sx; when "11111110" => sim_spmFE <= sx; when "11111111" => sim_spmFF <= sx; when others => null; end case; end if; end if; -- -- Assignment of internal register variables to active registers -- if bank = '0' then kcpsm6_status(1 to 2) <= "A,"; sim_s0 <= bank_a_s0; sim_s1 <= bank_a_s1; sim_s2 <= bank_a_s2; sim_s3 <= bank_a_s3; sim_s4 <= bank_a_s4; sim_s5 <= bank_a_s5; sim_s6 <= bank_a_s6; sim_s7 <= bank_a_s7; sim_s8 <= bank_a_s8; sim_s9 <= bank_a_s9; sim_sA <= bank_a_sA; sim_sB <= bank_a_sB; sim_sC <= bank_a_sC; sim_sD <= bank_a_sD; sim_sE <= bank_a_sE; sim_sF <= bank_a_sF; else kcpsm6_status(1 to 2) <= "B,"; sim_s0 <= bank_b_s0; sim_s1 <= bank_b_s1; sim_s2 <= bank_b_s2; sim_s3 <= bank_b_s3; sim_s4 <= bank_b_s4; sim_s5 <= bank_b_s5; sim_s6 <= bank_b_s6; sim_s7 <= bank_b_s7; sim_s8 <= bank_b_s8; sim_s9 <= bank_b_s9; sim_sA <= bank_b_sA; sim_sB <= bank_b_sB; sim_sC <= bank_b_sC; sim_sD <= bank_b_sD; sim_sE <= bank_b_sE; sim_sF <= bank_b_sF; end if; -- end process simulation; --synthesis translate on -- -- ************************** -- * End of simulation code * -- ************************** -- -- ------------------------------------------------------------------------------------------- -- end low_level_definition; -- ------------------------------------------------------------------------------------------- -- -- END OF FILE kcpsm6.vhd -- -------------------------------------------------------------------------------------------
------------------------------------------------------------------------------- --! @file axiLiteSlaveWrapper-rtl-ea.vhd -- --! @brief AXI lite slave wrapper on avalon slave interface signals -- --! @details AXI lite slave will convert AXI slave interface singal to Avalon --! interface signals. -- ------------------------------------------------------------------------------- -- -- Copyright (c) 2014, Bernecker+Rainer Industrie-Elektronik Ges.m.b.H. (B&R) -- Copyright (c) 2014, Kalycito Infotech Private Limited. -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- --! Use standard ieee library library ieee; --! Use logic elements use ieee.std_logic_1164.all; --! Use libcommon library library libcommon; --! Use Global Library use libcommon.global.all; ------------------------------------------------------------------------------- --! @brief --! @details AXI-lite slave wrapper will receive signals from AXI bus and --! provide proper inputs for a Avlon interface to perform the same action --! initiated by AXI master ------------------------------------------------------------------------------- entity axiLiteSlaveWrapper is generic ( --! Base Lower address for the AXI-lite slave interface gBaseAddr : std_logic_vector(31 downto 0) := x"00000000"; --! Base Higher address for the AXI-lite slave interface gHighAddr : std_logic_vector(31 downto 0) := x"0000ffff"; --! Address width for AXI bus interface gAddrWidth : integer := 32; --! Data width for AXI bus interface gDataWidth : integer := 32 ); port ( --! Global Clock for AXI iAclk : in std_logic; --! Global Reset for AXI inAReset : in std_logic; --! Address for Write Address Channel iAwaddr : in std_logic_vector(gAddrWidth-1 downto 0); --! Protection for Write Address Channel iAwprot : in std_logic_vector(2 downto 0); --unused input --! AddressValid for Write Address Channel iAwvalid : in std_logic; --! AddressReady for Write Address Channel oAwready : out std_logic; --! WriteData for Write Data Channel iWdata : in std_logic_vector(gDataWidth-1 downto 0); --! WriteStrobe for Write Data Channel iWstrb : in std_logic_vector(gDataWidth/8-1 downto 0); --! WriteValid for Write Data Channel iWvalid : in std_logic; --! WriteReady for Write Data Channel oWready : out std_logic; --! WriteResponse for Write Response Channel oBresp : out std_logic_vector (1 downto 0); --! ResponseValid for Write Response Channel oBvalid : out std_logic; --! ResponaseReady for Write Response Channel iBready : in std_logic; --! ReadAddress for Read Address Channel iAraddr : in std_logic_vector(gAddrWidth-1 downto 0); --! ReadAddressProtection for Read Address Channel iArprot : in std_logic_vector(2 downto 0); --unused input --! ReadAddressValid for Read Address Channel iArvalid : in std_logic; --! ReadAddressReady for Read Address Channel oArready : out std_logic; --! ReadData for Read Data Channel oRdata : out std_logic_vector(gDataWidth-1 downto 0); --! ReadResponse for Read Data Channel oRresp : out std_logic_vector(1 downto 0); --! ReadValid for Read Data Channel oRvalid : out std_logic; --! ReadReady for Read Data Channel iRready : in std_logic; --! Address to Avalon Slave Interface oAvsAddress : out std_logic_vector(gAddrWidth-1 downto 0); --! Byte Enable for Avalon Slave interface oAvsByteenable : out std_logic_vector(gDataWidth/8-1 downto 0); --! Write Data for Avalon Slave interface oAvsWritedata : out std_logic_vector(gDataWidth-1 downto 0); --! Read Data for Avalon Slave interface iAvsReaddata : in std_logic_vector(gDataWidth-1 downto 0); --! Read signal for Avalon Slave interface oAvsRead : out std_logic; --! Write signal for Avalon Slave interface oAvsWrite : out std_logic; --! WaitRequest for Avalon slave interface iAvsWaitrequest : in std_logic ); end axiLiteSlaveWrapper; architecture rtl of axiLiteSlaveWrapper is --! Control signal FSM type tFsm is ( sIDLE, sREAD, sREAD_DONE, sWRITE, sWRITE_DONE, sWRRES_DONE, sDELAY ); --Avalon Interface designs --! address latch for Avalon Interface signal address : std_logic_vector(gAddrWidth-1 downto 0); --! Muxed address from AXI interface signal mux_address : std_logic_vector(gAddrWidth-1 downto 0); --! Chip select for address decoder signal chip_sel : std_logic; --! Muxed byte enable latch from AXI Interface signal byte_enable : std_logic_vector(gDataWidth/8-1 downto 0); --Signals for FSM --! synchronized fsm state signal fsm : tFsm; --! fsm state for combinational logic signal fsm_next : tFsm; --Internal Signals --! control for Avalon read signal with fsm signal avalonRead : std_logic; --! Read Data latch for Avalon interface signal avalonReadDataLatch : std_logic_vector(31 downto 0); --! control for Avalon write signal with fsm signal avalonWrite : std_logic; --! write data from AXI for Avalon interface signal axiWriteData : std_logic_vector(31 downto 0); --! valid data from AXI to Avalon signal axiDataValid : std_logic; --! Write start fsm operations signal writeStart : std_logic; --! Write select for control write operations signal write_sel : std_logic; --! Read Start for fsm operations signal readStart : std_logic; --! Read select for control read operations signal read_sel : std_logic; begin --Avalon Slave Interface Signals oAvsAddress <= address; oAvsByteenable <= byte_enable; oAvsRead <= avalonRead; oAvsWrite <= avalonWrite; oAvsWritedata <= axiWriteData; avalonRead <= cActivated when readStart = cActivated and fsm = sIDLE else cActivated when fsm = sREAD else cInactivated when fsm = sREAD_DONE else cInactivated; avalonWrite <= cActivated when fsm = sWRITE and iWvalid = cActivated else cActivated when fsm = sIDLE and axiDataValid = cActivated else cActivated when fsm = sWRITE_DONE else cInactivated; axiWriteData <= iWdata when axiDataValid = cActivated else axiWriteData; -- AXI-Lite Write Data Signals oBvalid <= cActivated when fsm = sWRITE_DONE and iAvsWaitrequest = cInactivated else cActivated when fsm = sWRRES_DONE else cInactivated; oAwready <= cActivated when fsm = sIDLE and writeStart = cActivated else cInactivated; oWready <= cActivated when fsm = sWRITE else cActivated when fsm = sIDLE and axiDataValid = cActivated else cInactivated; -- AXI-lite Read Data Signals oArready <= cActivated when fsm = sIDLE and readStart = cActivated else cInactivated; oRvalid <= cActivated when iAvsWaitrequest = cInactivated and fsm = sREAD else cActivated when fsm = sREAD_DONE else cInactivated; oRdata <= avalonReadDataLatch; avalonReadDataLatch <= iAvsReaddata when iAvsWaitrequest = cInactivated else avalonReadDataLatch; --TODO: Check the possibility of Error Response signals oBresp <= "00"; oRresp <= "00"; -- Address Decoder chip_sel <= read_sel or write_sel; -- 64Kbyte address range only supported so MSB 16 bits enough for Decoding write_sel <= cActivated when iAwaddr(31 downto 16) = gBaseAddr(31 downto 16) else cInactivated; read_sel <= cActivated when iAraddr(31 downto 16) = gBaseAddr(31 downto 16) else cInactivated; -- TODO: Check possibilities of reduce the no of bits in MUX/latch design -- and avoid combinational feedback on MUX -- Mux the address first and latch it with FSM address <= mux_address when fsm = sIDLE else address ; mux_address <= iAraddr when readStart = cActivated else iAwaddr when writeStart = cActivated else x"00000000" ; writeStart <= chip_sel and iAwvalid; readStart <= chip_sel and iArvalid; axiDataValid <= iWvalid; byte_enable <= x"F" when readStart = cActivated and fsm = sIDLE else iWstrb when writeStart = cActivated and fsm = sIDLE else byte_enable; -- Main Control FSM for converting AXI-lite signals to Avalon --! Clock Based Process for state changes SEQ_LOGIC_FSM : process(iAclk) begin if rising_edge(iAclk) then if inAReset = cnActivated then fsm <= sIDLE; else fsm <= fsm_next; end if; end if; end process SEQ_LOGIC_FSM; --! Control State machine COM_LOGIC_FSM : process ( fsm, chip_sel, iAwvalid, iArvalid, iRready, iWvalid, iBready, iAvsWaitrequest ) begin --Default to avoid latches fsm_next <= fsm; case fsm is when sIDLE => if chip_sel = cActivated then --Write Operations if iAwvalid = cActivated then if iWvalid = cActivated then if iAvsWaitrequest = cInactivated then fsm_next <= sWRRES_DONE; else fsm_next <= sWRITE_DONE; end if; else fsm_next <= sWRITE; end if; --Read Operations elsif iArvalid = cActivated then if iAvsWaitrequest = cInactivated then fsm_next <= sREAD_DONE; else fsm_next <= sREAD; end if; else fsm_next <= sIDLE; end if; else fsm_next <= sIDLE; end if; when sREAD => -- Read Valid gets assert Here if iAvsWaitrequest = cInactivated then if iRready = cActivated then fsm_next <= sIDLE; else fsm_next <= sREAD_DONE; end if; else fsm_next <= sREAD; end if; when sREAD_DONE => if iRready = cActivated then fsm_next <= sIDLE; else fsm_next <= sREAD_DONE; end if; when sWRITE => if iWvalid = cActivated then if iAvsWaitrequest = cInactivated then if iBready = cActivated then fsm_next <= sIDLE; else fsm_next <= sWRRES_DONE; end if; else fsm_next <= sWRITE_DONE; end if; else fsm_next <= sWRITE; end if; when sWRITE_DONE => if iAvsWaitrequest = cInactivated then if iBready = cActivated then fsm_next <= sIDLE; else fsm_next <= sWRRES_DONE; end if; else fsm_next <= sWRITE_DONE; end if; when sWRRES_DONE => if iBready = cActivated then fsm_next <= sIDLE; else fsm_next <= sWRRES_DONE; end if; when sDELAY => fsm_next <= sIDLE; when others => null; end case; end process COM_LOGIC_FSM; end rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.types.all; entity DataPath is port ( clk : in std_logic; u232c_in : out u232c_in_t; u232c_out : in u232c_out_t; sramLoad : out boolean := true; sramAddr : out sram_addr := (others => '0'); sramData : inout value_t := (others => '0')); end DataPath; architecture behavioral of DataPath is component Fetch is port ( clk : in std_logic; d : in fetch_in_t; q : out fetch_out_t); end component; component ALU is port ( clk : in std_logic; code : in std_logic_vector(3 downto 0); tagD : in tag_t; valA : in value_t; valB : in value_t; emitTag : out tag_t; emitVal : out value_t); end component; component FPU is port ( clk : in std_logic; code : in std_logic_vector(5 downto 0); tagD : in tag_t; valA : in value_t; valB : in value_t; tag1 : buffer tag_t; tag2 : buffer tag_t; emitTag : out tag_t; emitVal : out value_t); end component; component Branch is port ( clk : in std_logic; d : in branch_in_t; q : out branch_out_t); end component; component IO is port ( clk : in std_logic; enable : in boolean; code : in std_logic_vector(2 downto 0); getTag : in tag_t; putVal : in value_t; blocking : out boolean; emitTag : out tag_t; emitVal : out value_t; u232c_in : out u232c_in_t; u232c_out : in u232c_out_t; emit_instw : out blkram_write_t); end component; type reg_file_t is array(31 downto 0) of value_t; signal gpr_file : reg_file_t := (others => (others => '0')); signal fpr_file : reg_file_t := (others => (others => '0')); attribute RAM_STYLE : string; attribute RAM_STYLE of gpr_file : signal is "distributed"; attribute RAM_STYLE of fpr_file : signal is "distributed"; signal inst : instruction_t := (others => '0'); signal pc : blkram_addr := (others => '0'); signal d_fet : fetch_in_t; signal q_fet : fetch_out_t; signal code_alu : std_logic_vector(3 downto 0) := (others => '0'); signal tag_alu_d : tag_t := (others => '0'); signal emit_tag_alu : tag_t; signal emit_val_alu : value_t; signal code_fpu : std_logic_vector(5 downto 0) := (others => '0'); signal tag_fpu_d : tag_t := (others => '0'); signal pipe1_tag_fpu, pipe2_tag_fpu, emit_tag_fpu : tag_t; signal emit_val_fpu : value_t; signal val_alu_fpu_a, val_alu_fpu_b : value_t := (others => '0'); signal d_bra : branch_in_t := ( code => "000", -- jmp to addr 0 once tag_l => (others => '0'), val_a => (others => '0'), val_b => (others => '0'), val_l => (others => '0'), val_t => (others => '0')); signal q_bra : branch_out_t; signal code_io : std_logic_vector(2 downto 0) := "000"; signal enable_io : boolean := false; signal tag_spc_y : tag_t := (others => '0'); signal val_spc_x : value_t := (others => '0'); signal emit_tag_spc : tag_t; signal emit_val_spc : value_t; signal blocking : boolean; signal jump1 : boolean; signal jump2 : boolean := false; signal ignore : boolean; signal stall : boolean; signal stall_lat : boolean := false; signal addr0 : sram_addr := (others => '0'); signal load0, load1, load2, load3 : boolean := true; signal tagM0, tagM1, tagM2, tagM3, emitTagLoad : tag_t := (others => '0'); signal tagFM0, tagFM1, tagFM2, tagFM3, emitTagFLoad : tag_t := (others => '0'); signal valM0, valM1, valM2, emitValM : value_t := (others => '0'); signal fwdM_1, fwdM_2 : boolean := false; signal tag_gpr_w_sig : tag_t; signal val_gpr_w_sig : value_t; signal tag_fpr_w_sig : tag_t; signal val_fpr_w_sig : value_t; begin -- fetch fetch_map : Fetch port map (clk => clk, d => d_fet, q => q_fet); sequential : process(clk) begin if rising_edge(clk) then if ignore or not stall then inst <= q_fet.inst; pc <= q_fet.pc; end if; gpr_file(to_integer(unsigned(tag_gpr_w_sig))) <= val_gpr_w_sig; fpr_file(to_integer(unsigned(tag_fpr_w_sig))) <= val_fpr_w_sig; d_fet.enable_addr <= not (ignore or stall); jump2 <= jump1; stall_lat <= stall; end if; end process; combinatorial : process(inst, pc, gpr_file, fpr_file, stall_lat, emit_tag_alu, emit_val_alu, pipe1_tag_fpu, pipe2_tag_fpu, emit_tag_fpu, emit_val_fpu, q_bra, q_fet, jump1, jump2, stall, ignore, blocking, emit_tag_spc, emit_val_spc, load1, load2, load3, tagM1, tagM2, tagM3, emitTagLoad, tagFM1, tagFM2, tagFM3, emitTagFLoad, emitValM) variable tag_gpr_w : tag_t; variable val_gpr_w : value_t; variable tag_fpr_w : tag_t; variable val_fpr_w : value_t; variable opcode : std_logic_vector(5 downto 0); variable tag_x, tag_y, tag_z : tag_t; variable imm : unsigned(15 downto 0); variable is_alu_imm, is_alu_gpr, is_alu_fpr : boolean; variable is_fpu_gpr, is_fpu_fpr : boolean; variable is_mem_gpr_ld, is_mem_gpr_st, is_mem_fpr_ld, is_mem_fpr_st : boolean; variable is_spc, is_jmp, is_bra_gpr, is_bra_fpr : boolean; variable val_gpr_x, val_gpr_y, imm_signed, val_gpr_fwd_x, val_gpr_fwd_y : value_t; variable val_fpr_x, val_fpr_y, val_fpr_fwd_x, val_fpr_fwd_y : value_t; variable stall_raw_gpr_x, stall_raw_gpr_y, stall_waw_gpr_y, stall_waw_gpr_z : boolean; variable stall_raw_fpr_x, stall_raw_fpr_y, stall_mst_fpr_y, stall_waw_fpr_z : boolean; begin tag_gpr_w := emit_tag_alu or q_bra.emit_tag or emit_tag_spc or emitTagLoad; if emit_tag_alu /= "00000" then val_gpr_w := emit_val_alu; elsif q_bra.emit_tag /= "00000" then val_gpr_w := value_t(x"0000" & q_bra.emit_link); elsif emit_tag_spc /= "00000" then val_gpr_w := emit_val_spc; elsif emitTagLoad /= "00000" then val_gpr_w := emitValM; else val_gpr_w := (others => '0'); end if; tag_fpr_w := emit_tag_fpu or emitTagFLoad; if emit_tag_fpu /= "00000" then val_fpr_w := emit_val_fpu; elsif emitTagFLoad /= "00000" then val_fpr_w := emitValM; else val_fpr_w := (others => '0'); end if; if not stall_lat then d_fet.addr <= q_bra.emit_target; end if; tag_gpr_w_sig <= tag_gpr_w; tag_fpr_w_sig <= tag_fpr_w; val_gpr_w_sig <= val_gpr_w; val_fpr_w_sig <= val_fpr_w; opcode := inst(31 downto 26); tag_x := tag_t(inst(25 downto 21)); tag_y := tag_t(inst(20 downto 16)); tag_z := tag_t(inst(15 downto 11)); imm := unsigned(inst(15 downto 0)); is_alu_imm := opcode(5 downto 4) = "00"; is_alu_gpr := opcode = "010000"; is_alu_fpr := opcode = "010001"; is_fpu_gpr := opcode = "011000"; is_fpu_fpr := opcode = "011001"; is_mem_gpr_ld := opcode = "010010"; is_mem_gpr_st := opcode = "010011"; is_mem_fpr_ld := opcode = "011010"; is_mem_fpr_st := opcode = "011011"; is_spc := opcode(5 downto 2) = "0101" and opcode(1 downto 0) = "11"; is_jmp := opcode(5 downto 2) = "0101" and opcode(1 downto 0) /= "11"; is_bra_gpr := opcode(5 downto 4) = "10"; is_bra_fpr := opcode(5 downto 4) = "11"; val_gpr_x := gpr_file(to_integer(unsigned(tag_x))); val_gpr_y := gpr_file(to_integer(unsigned(tag_y))); imm_signed := value_t(resize(signed(imm), 32)); if tag_x = tag_gpr_w then val_gpr_fwd_x := val_gpr_w; else val_gpr_fwd_x := val_gpr_x; end if; if tag_y = tag_gpr_w then val_gpr_fwd_y := val_gpr_w; else val_gpr_fwd_y := val_gpr_y; end if; val_fpr_x := fpr_file(to_integer(unsigned(tag_x))); val_fpr_y := fpr_file(to_integer(unsigned(tag_y))); if tag_x = tag_fpr_w then val_fpr_fwd_x := val_fpr_w; else val_fpr_fwd_x := val_fpr_x; end if; if tag_y = tag_fpr_w then val_fpr_fwd_y := val_fpr_w; else val_fpr_fwd_y := val_fpr_y; end if; stall_raw_gpr_x := tag_x /= "00000" and not (is_alu_fpr or is_fpu_fpr or is_bra_fpr) and ( (load1 and tag_x = tagM1) or (load2 and tag_x = tagM2) or (load3 and tag_x = tagM3)); stall_raw_gpr_y := tag_y /= "00000" and (is_alu_gpr or is_fpu_gpr or is_bra_gpr) and ( (load1 and tag_y = tagM1) or (load2 and tag_y = tagM2) or (load3 and tag_y = tagM3)); stall_waw_gpr_y := tag_y /= "00000" and (is_alu_imm or is_spc or is_jmp) and ( (load1 and tag_y = tagM1) or (load2 and tag_y = tagM2) or (load3 and tagM3 /= "00000")); stall_waw_gpr_z := tag_z /= "00000" and is_alu_gpr and ( (load1 and tag_z = tagM1) or (load2 and tag_z = tagM2) or (load3 and tagM3 /= "00000")); stall_raw_fpr_x := tag_x /= "00000" and (is_alu_fpr or is_fpu_fpr or is_bra_fpr) and ( (tag_x = pipe1_tag_fpu) or (tag_x = pipe2_tag_fpu) or (load1 and tag_x = tagFM1) or (load2 and tag_x = tagFM2) or (load3 and tag_x = tagFM3)); stall_raw_fpr_y := tag_y /= "00000" and (is_alu_fpr or is_fpu_fpr or is_bra_fpr) and ( (tag_y = pipe1_tag_fpu) or (tag_y = pipe2_tag_fpu) or (load1 and tag_y = tagFM1) or (load2 and tag_y = tagFM2) or (load3 and tag_y = tagFM3)); stall_mst_fpr_y := tag_y /= "00000" and (is_mem_fpr_st) and ( (tag_y = pipe1_tag_fpu) or (tag_y = pipe2_tag_fpu)); stall_waw_fpr_z := tag_z /= "00000" and (is_fpu_gpr or is_fpu_fpr) and ( (load1 and tagFM1 /= "00000")); stall <= stall_raw_gpr_x or stall_raw_gpr_y or stall_waw_gpr_y or stall_waw_gpr_z or stall_raw_fpr_x or stall_raw_fpr_y or stall_mst_fpr_y or stall_waw_fpr_z or blocking; jump1 <= q_fet.jump; ignore <= jump2 or jump1; d_fet.enable_fetch <= ignore or not stall; if is_alu_imm then code_alu <= opcode(3 downto 0); else code_alu <= inst(3 downto 0); end if; if ignore or stall then tag_alu_d <= "00000"; elsif is_alu_imm then tag_alu_d <= tag_y; elsif is_alu_gpr or is_alu_fpr then tag_alu_d <= tag_z; else tag_alu_d <= "00000"; end if; code_fpu <= inst(5 downto 0); if ignore or stall then tag_fpu_d <= "00000"; elsif is_fpu_gpr or is_fpu_fpr then tag_fpu_d <= tag_z; else tag_fpu_d <= "00000"; end if; if is_alu_imm then val_alu_fpu_a <= val_gpr_fwd_x; val_alu_fpu_b <= imm_signed; elsif opcode(0) = '0' then val_alu_fpu_a <= val_gpr_fwd_x; val_alu_fpu_b <= val_gpr_fwd_y; else val_alu_fpu_a <= val_fpr_fwd_x; val_alu_fpu_b <= val_fpr_fwd_y; end if; if ignore or stall then d_bra.code <= "000"; d_bra.tag_l <= "00000"; if opcode(4) = '0' then d_bra.val_a <= '1' & val_gpr_fwd_x(30 downto 0); d_bra.val_b <= '0' & val_gpr_fwd_y(30 downto 0); else d_bra.val_a <= '1' & val_fpr_fwd_x(30 downto 0); d_bra.val_b <= '0' & val_fpr_fwd_y(30 downto 0); end if; d_bra.val_t <= blkram_addr(imm); else if is_bra_gpr or is_bra_fpr then d_bra.code <= opcode(4) & opcode(1 downto 0); d_bra.tag_l <= "00000"; if opcode(4) = '0' then d_bra.val_a <= val_gpr_fwd_x; d_bra.val_b <= val_gpr_fwd_y; else d_bra.val_a <= val_fpr_fwd_x; d_bra.val_b <= val_fpr_fwd_y; end if; d_bra.val_t <= blkram_addr(imm); else if is_jmp then d_bra.code <= "010"; d_bra.tag_l <= tag_y; else d_bra.code <= "000"; d_bra.tag_l <= "00000"; end if; if opcode(4) = '0' then d_bra.val_a <= '1' & val_gpr_fwd_x(30 downto 0); d_bra.val_b <= '0' & val_gpr_fwd_y(30 downto 0); else d_bra.val_a <= '1' & val_fpr_fwd_x(30 downto 0); d_bra.val_b <= '0' & val_fpr_fwd_y(30 downto 0); end if; d_bra.val_t <= blkram_addr(imm or unsigned(val_gpr_fwd_x(15 downto 0))); end if; end if; d_bra.val_l <= pc; code_io <= inst(2 downto 0); enable_io <= not (ignore or stall) and is_spc; tag_spc_y <= tag_y; val_spc_x <= val_gpr_fwd_x; if ignore or stall then load0 <= true; tagM0 <= "00000"; tagFM0 <= "00000"; else load0 <= not (is_mem_gpr_st or is_mem_fpr_st); if is_mem_gpr_st or is_mem_gpr_ld then tagM0 <= tag_y; else tagM0 <= "00000"; end if; if is_mem_fpr_st or is_mem_fpr_ld then tagFM0 <= tag_y; else tagFM0 <= "00000"; end if; end if; if opcode(3) = '0' then valM0 <= val_gpr_fwd_y; else valM0 <= val_fpr_fwd_y; end if; addr0 <= sram_addr(unsigned(val_gpr_fwd_x(19 downto 0)) + unsigned(imm_signed(19 downto 0))); end process; alu_map : ALU port map ( clk => clk, code => code_alu, tagD => tag_alu_d, valA => val_alu_fpu_a, valB => val_alu_fpu_b, emitTag => emit_tag_alu, emitVal => emit_val_alu); fpu_map : FPU port map ( clk => clk, code => code_fpu, tagD => tag_fpu_d, valA => val_alu_fpu_a, valB => val_alu_fpu_b, tag1 => pipe1_tag_fpu, tag2 => pipe2_tag_fpu, emitTag => emit_tag_fpu, emitVal => emit_val_fpu); branch_map : Branch port map (clk => clk, d => d_bra, q => q_bra); io_map : IO port map ( clk => clk, enable => enable_io, code => code_io, getTag => tag_spc_y, putVal => val_spc_x, blocking => blocking, emitTag => emit_tag_spc, emitVal => emit_val_spc, u232c_in => u232c_in, u232c_out => u232c_out, emit_instw => d_fet.w); -- TODO: separate sram into another component do_sram : process(clk) begin if rising_edge(clk) then -- phase 1 load1 <= load0; tagM1 <= tagM0; tagFM1 <= tagFM0; valM1 <= valM0; sramLoad <= load0; sramAddr <= addr0; -- phase 2 load2 <= load1; tagM2 <= tagM1; tagFM2 <= tagFM1; if (tagM1 /= "00000" and tagM1 = emitTagLoad) or (tagFM1 /= "00000" and tagFM1 = emitTagFLoad) then valM2 <= emitValM; else valM2 <= valM1; end if; fwdM_2 <= load3 and ((tagM1 /= "00000" and tagM1 = tagM3) or (tagFM1 /= "00000" and tagFM1 = tagFM3)); fwdM_1 <= load2 and ((tagM1 /= "00000" and tagM1 = tagM2) or (tagFM1 /= "00000" and tagFM1 = tagFM2)); -- phase 3 load3 <= load2; tagM3 <= tagM2; tagFM3 <= tagFM2; if load2 then sramData <= (others => 'Z'); else if fwdM_1 then sramData <= sramData; elsif fwdM_2 then sramData <= emitValM; else sramData <= valM2; end if; end if; -- phase 4 if load3 then emitTagLoad <= tagM3; emitTagFLoad <= tagFM3; else emitTagLoad <= "00000"; emitTagFLoad <= "00000"; end if; emitValM <= sramData; end if; end process; end behavioral;
entity assert7 is end entity; architecture test of assert7 is impure function func (x : integer) return integer is begin assert x > 0; return -x; end function; function resolved (x : bit_vector) return bit is begin return '1'; end function; subtype rbit is resolved bit; -- Disables init side effects temporarily signal s : rbit; -- Asserts during initialisation constant c : integer := func(-1); begin end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity mul_195 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(14 downto 0) ); end mul_195; architecture augh of mul_195 is signal tmp_res : signed(46 downto 0); begin -- The actual multiplication tmp_res <= signed(in_a) * signed(in_b); -- Set the output result <= std_logic_vector(tmp_res(31 downto 0)); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity mul_195 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(14 downto 0) ); end mul_195; architecture augh of mul_195 is signal tmp_res : signed(46 downto 0); begin -- The actual multiplication tmp_res <= signed(in_a) * signed(in_b); -- Set the output result <= std_logic_vector(tmp_res(31 downto 0)); end architecture;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity s832_hot is port( clock: in std_logic; input: in std_logic_vector(17 downto 0); output: out std_logic_vector(18 downto 0) ); end s832_hot; architecture behaviour of s832_hot is constant s00000: std_logic_vector(24 downto 0) := "1000000000000000000000000"; constant s10000: std_logic_vector(24 downto 0) := "0100000000000000000000000"; constant s01110: std_logic_vector(24 downto 0) := "0010000000000000000000000"; constant s10001: std_logic_vector(24 downto 0) := "0001000000000000000000000"; constant s01111: std_logic_vector(24 downto 0) := "0000100000000000000000000"; constant s00010: std_logic_vector(24 downto 0) := "0000010000000000000000000"; constant s00001: std_logic_vector(24 downto 0) := "0000001000000000000000000"; constant s00100: std_logic_vector(24 downto 0) := "0000000100000000000000000"; constant s00011: std_logic_vector(24 downto 0) := "0000000010000000000000000"; constant s00101: std_logic_vector(24 downto 0) := "0000000001000000000000000"; constant s00110: std_logic_vector(24 downto 0) := "0000000000100000000000000"; constant s11111: std_logic_vector(24 downto 0) := "0000000000010000000000000"; constant s00111: std_logic_vector(24 downto 0) := "0000000000001000000000000"; constant s10111: std_logic_vector(24 downto 0) := "0000000000000100000000000"; constant s01011: std_logic_vector(24 downto 0) := "0000000000000010000000000"; constant s01000: std_logic_vector(24 downto 0) := "0000000000000001000000000"; constant s01100: std_logic_vector(24 downto 0) := "0000000000000000100000000"; constant s01101: std_logic_vector(24 downto 0) := "0000000000000000010000000"; constant s01001: std_logic_vector(24 downto 0) := "0000000000000000001000000"; constant s01010: std_logic_vector(24 downto 0) := "0000000000000000000100000"; constant s11000: std_logic_vector(24 downto 0) := "0000000000000000000010000"; constant s11011: std_logic_vector(24 downto 0) := "0000000000000000000001000"; constant s11001: std_logic_vector(24 downto 0) := "0000000000000000000000100"; constant s11010: std_logic_vector(24 downto 0) := "0000000000000000000000010"; constant s11100: std_logic_vector(24 downto 0) := "0000000000000000000000001"; signal current_state, next_state: std_logic_vector(24 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "-------------------------"; output <= "-------------------"; case current_state is when s00000 => if std_match(input, "-1---------------1") then next_state <= s00000; output <= "0001000000000010000"; elsif std_match(input, "-0-0------------11") then next_state <= s00000; output <= "0000000000000010001"; elsif std_match(input, "-0-0------------01") then next_state <= s00000; output <= "0000000000000010000"; elsif std_match(input, "-0-1------------11") then next_state <= s00000; output <= "0000000000000010001"; elsif std_match(input, "-0-1------------01") then next_state <= s00000; output <= "0010000000000010000"; elsif std_match(input, "-1---------------0") then next_state <= s10000; output <= "0001000000000010000"; elsif std_match(input, "-001------------00") then next_state <= s00000; output <= "0010000000000010000"; elsif std_match(input, "-000------------00") then next_state <= s00000; output <= "0000000000000010000"; elsif std_match(input, "-011------------00") then next_state <= s00000; output <= "0010000000000010000"; elsif std_match(input, "-010------------00") then next_state <= s01110; output <= "0000000000000010000"; elsif std_match(input, "-0--------------10") then next_state <= s10001; output <= "0000000000000010001"; end if; when s10000 => if std_match(input, "-----------------1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "1----------------0") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "0----------------0") then next_state <= s10000; output <= "0000000000000000000"; end if; when s01110 => if std_match(input, "-----------------1") then next_state <= s00000; output <= "0000000001000000000"; elsif std_match(input, "-----------------0") then next_state <= s01111; output <= "0000000001000000000"; end if; when s01111 => if std_match(input, "----------------00") then next_state <= s00010; output <= "0000000000000010000"; elsif std_match(input, "----------------01") then next_state <= s00000; output <= "0000000000000010000"; elsif std_match(input, "----------------11") then next_state <= s00000; output <= "0000010000000010000"; elsif std_match(input, "----------------10") then next_state <= s00001; output <= "0000010000000010000"; end if; when s00010 => if std_match(input, "--------------01-1") then next_state <= s00000; output <= "0000001000000000100"; elsif std_match(input, "--------------11-1") then next_state <= s00000; output <= "0000001000001000100"; elsif std_match(input, "--------------01-0") then next_state <= s00100; output <= "0000001000000000100"; elsif std_match(input, "--------------11-0") then next_state <= s00011; output <= "0000001000001000100"; elsif std_match(input, "---------------0-0") then next_state <= s00010; output <= "0000001000000000000"; elsif std_match(input, "---------------0-1") then next_state <= s00000; output <= "0000001000000000000"; end if; when s00100 => if std_match(input, "----0-1001-----110") then next_state <= s00101; output <= "0000000100000000000"; elsif std_match(input, "----0-0001-----110") then next_state <= s00100; output <= "0000000100000000000"; elsif std_match(input, "----0--101-----110") then next_state <= s00100; output <= "0000000100000000000"; elsif std_match(input, "----0---11-----110") then next_state <= s00100; output <= "0000000100000000000"; elsif std_match(input, "----0----0-----110") then next_state <= s00100; output <= "0000000100000000000"; elsif std_match(input, "----0----------010") then next_state <= s00100; output <= "0000000100000000000"; elsif std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1-----------10") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----1-----------11") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------00") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----1-----------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1-----------00") then next_state <= s00001; output <= "0000000100000000001"; end if; when s00101 => if std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1-----------11") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----------------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1------------0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------010") then next_state <= s00101; output <= "0000000100000000000"; elsif std_match(input, "----0----------110") then next_state <= s00110; output <= "0000000100000000000"; elsif std_match(input, "----0-----------00") then next_state <= s00010; output <= "0000000100000000001"; end if; when s00001 => if std_match(input, "------0--------0-1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "------0--------010") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "------0--------000") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------0--------101") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "------0--------100") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------0--------111") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "------0--------110") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "------10---------1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "----1-10--------10") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "----0-10-------010") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "----0-10-------110") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "------10--------00") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------110--------1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "----1-110-------10") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "----0-110------010") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "----0-110------110") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "------110-------00") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------111--------1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "------1110-----010") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "------1110-----000") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------1110-----110") then next_state <= s00001; output <= "0000000000000000000"; elsif std_match(input, "------1110-----100") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------1111------00") then next_state <= s00010; output <= "0000000000000000000"; elsif std_match(input, "------1111------10") then next_state <= s00001; output <= "0000000000000000000"; end if; when s00110 => if std_match(input, "----------------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1----------011") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1----------111") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----110--111") then next_state <= s00000; output <= "0000100100000000000"; elsif std_match(input, "----0-----100--111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-----0-0--111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-----001--111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-----101--111") then next_state <= s00000; output <= "0000100100000000000"; elsif std_match(input, "----0------11--111") then next_state <= s00000; output <= "0000100100000000000"; elsif std_match(input, "----1-----1------0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0-----1----000") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0-----1----010") then next_state <= s00110; output <= "0000000100000000000"; elsif std_match(input, "----0-----11---110") then next_state <= s11111; output <= "0000100100000000000"; elsif std_match(input, "----0-----11---100") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0-----10---100") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0-----101--110") then next_state <= s11111; output <= "0000100100000000000"; elsif std_match(input, "----0-----100--110") then next_state <= s00111; output <= "0000000100000000000"; elsif std_match(input, "----1-----0------0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0-----0----010") then next_state <= s00110; output <= "0000000100000000000"; elsif std_match(input, "----0-----0----000") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0-----011--110") then next_state <= s11111; output <= "0000100100000000000"; elsif std_match(input, "----0-----010--110") then next_state <= s10111; output <= "0000000100000000000"; elsif std_match(input, "----0-----01---100") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0-----00---100") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0-----00---110") then next_state <= s01011; output <= "0000000100000000000"; end if; when s11111 => if std_match(input, "0----------------1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "0----------------0") then next_state <= s11111; output <= "0000000000000000000"; elsif std_match(input, "1-----------------") then next_state <= s00000; output <= "0000000000000000000"; end if; when s00111 => if std_match(input, "----1-----------11") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----------------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1----------110") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0--------0-110") then next_state <= s01011; output <= "0000000100000000000"; elsif std_match(input, "----0--------1-110") then next_state <= s01000; output <= "0000000100000000000"; elsif std_match(input, "----0----------010") then next_state <= s00111; output <= "0000000100000000000"; elsif std_match(input, "----1----------010") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0-----------00") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----1-----------00") then next_state <= s00001; output <= "0000000100000000001"; end if; when s01011 => if std_match(input, "----0----------010") then next_state <= s01011; output <= "0000000100000000000"; elsif std_match(input, "----0----------110") then next_state <= s01011; output <= "0000000100000000000"; elsif std_match(input, "----0-----------00") then next_state <= s01100; output <= "0000000100010000000"; elsif std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-----------01") then next_state <= s00000; output <= "0000000100010000000"; elsif std_match(input, "----1------------0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----1------------1") then next_state <= s00000; output <= "0000000100000000001"; end if; when s01100 => if std_match(input, "-----0-----------1") then next_state <= s00000; output <= "0000000010000100000"; elsif std_match(input, "-----0-----------0") then next_state <= s01101; output <= "0000000010000100000"; elsif std_match(input, "-----1-----------1") then next_state <= s00000; output <= "0000000000000101000"; elsif std_match(input, "-----1-----------0") then next_state <= s00010; output <= "0000000000000101000"; end if; when s01101 => if std_match(input, "-1---------------1") then next_state <= s00000; output <= "0101000000000000010"; elsif std_match(input, "-1---------------0") then next_state <= s10000; output <= "0101000000000000010"; elsif std_match(input, "-0---------------1") then next_state <= s00000; output <= "0100000000000000010"; elsif std_match(input, "-010-------------0") then next_state <= s01110; output <= "0100000000000000010"; elsif std_match(input, "-000-------------0") then next_state <= s01101; output <= "0100000000000000010"; elsif std_match(input, "-0-1-------------0") then next_state <= s00000; output <= "0100000000000000010"; end if; when s01000 => if std_match(input, "----1----------011") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1----------111") then next_state <= s00000; output <= "0000000100100000001"; elsif std_match(input, "----0----------111") then next_state <= s00000; output <= "0000000100100000000"; elsif std_match(input, "----0----------010") then next_state <= s01000; output <= "0000000100000000000"; elsif std_match(input, "----0----------110") then next_state <= s01001; output <= "0000000100100000000"; elsif std_match(input, "----1----------110") then next_state <= s00001; output <= "0000000100100000001"; elsif std_match(input, "----1----------010") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "---------------001") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "---------------101") then next_state <= s00000; output <= "0000000100100000001"; elsif std_match(input, "----0----------100") then next_state <= s00010; output <= "0000000100100000001"; elsif std_match(input, "----0----------000") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----1----------000") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----1----------100") then next_state <= s00001; output <= "0000000100100000001"; end if; when s01001 => if std_match(input, "----0----------010") then next_state <= s01001; output <= "0000000100000000000"; elsif std_match(input, "----0----------110") then next_state <= s01010; output <= "1000000100000000000"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0----------111") then next_state <= s00000; output <= "1000000100000000000"; elsif std_match(input, "----1----------111") then next_state <= s00000; output <= "1000000100000000001"; elsif std_match(input, "----1----------011") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1----------010") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----1----------110") then next_state <= s00001; output <= "1000000100000000001"; elsif std_match(input, "---------------001") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "---------------101") then next_state <= s00000; output <= "1000000100000000001"; elsif std_match(input, "----1----------000") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----1----------100") then next_state <= s00001; output <= "1000000100000000001"; elsif std_match(input, "----0----------000") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0----------100") then next_state <= s00010; output <= "1000000100000000001"; end if; when s01010 => if std_match(input, "----1-----------11") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1-----------10") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------110") then next_state <= s01011; output <= "0000000100000000000"; elsif std_match(input, "----0----------010") then next_state <= s01010; output <= "0000000100000000000"; elsif std_match(input, "----------------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------00") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----1-----------00") then next_state <= s00001; output <= "0000000100000000001"; end if; when s10111 => if std_match(input, "----1-----------11") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1-----------10") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------010") then next_state <= s10111; output <= "0000000100000000000"; elsif std_match(input, "----0--------1-110") then next_state <= s11000; output <= "0000000100000000000"; elsif std_match(input, "----0--------0-110") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----0-----------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------00") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----1-----------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1-----------00") then next_state <= s00001; output <= "0000000100000000001"; end if; when s11000 => if std_match(input, "---------------101") then next_state <= s00000; output <= "0000000100100000001"; elsif std_match(input, "----0----------111") then next_state <= s00000; output <= "0000000100100000000"; elsif std_match(input, "----1----------111") then next_state <= s00000; output <= "0000000100100000001"; elsif std_match(input, "----0----------001") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1----------0-1") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1----------1-0") then next_state <= s00001; output <= "0000000100100000001"; elsif std_match(input, "----1----------0-0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------110") then next_state <= s11001; output <= "0000000100100000000"; elsif std_match(input, "----0----------010") then next_state <= s11000; output <= "0000000100000000000"; elsif std_match(input, "----0----------000") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0----------100") then next_state <= s00010; output <= "0000000100100000001"; end if; when s11001 => if std_match(input, "----1----------111") then next_state <= s00000; output <= "1000000100000000001"; elsif std_match(input, "----0----------111") then next_state <= s00000; output <= "1000000100000000000"; elsif std_match(input, "----1----------011") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0----------110") then next_state <= s11010; output <= "1000000100000000000"; elsif std_match(input, "----0----------010") then next_state <= s11001; output <= "0000000100000000000"; elsif std_match(input, "----1----------110") then next_state <= s00001; output <= "1000000100000000001"; elsif std_match(input, "----1----------010") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "---------------001") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "---------------101") then next_state <= s00000; output <= "1000000100000000001"; elsif std_match(input, "----1----------000") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----1----------100") then next_state <= s00001; output <= "1000000100000000001"; elsif std_match(input, "----0----------100") then next_state <= s00010; output <= "1000000100000000001"; elsif std_match(input, "----0----------000") then next_state <= s00010; output <= "0000000100000000001"; end if; when s11010 => if std_match(input, "----0-----------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------11") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1------------1") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1------------0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------110") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----0----------010") then next_state <= s11010; output <= "0000000100000000000"; elsif std_match(input, "----0-----------00") then next_state <= s00010; output <= "0000000100000000001"; end if; when s11011 => if std_match(input, "----1-----------11") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-0--------111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-1011-----111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-1111-----111") then next_state <= s00000; output <= "0000000100010000000"; elsif std_match(input, "----0-1-01-----111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-1--0-----111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0-0--1-----110") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----0-1011-----110") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----0-1111-----110") then next_state <= s11100; output <= "0000000100010000000"; elsif std_match(input, "----0-1-01-----110") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----0----0-----110") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----0----------010") then next_state <= s11011; output <= "0000000100000000000"; elsif std_match(input, "----1-----------10") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0-----------01") then next_state <= s00000; output <= "0000000100010000000"; elsif std_match(input, "----1-----------01") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1-----------00") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0-----------00") then next_state <= s01100; output <= "0000000100010000000"; end if; when s11100 => if std_match(input, "----0------------1") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1------------1") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0-----------10") then next_state <= s11100; output <= "0000000100000000000"; elsif std_match(input, "----1-----------10") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0-----------00") then next_state <= s01100; output <= "0000000100000000000"; elsif std_match(input, "----1-----------00") then next_state <= s00001; output <= "0000000100000000001"; end if; when s00011 => if std_match(input, "----1----------1-1") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0----------111") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----0----------101") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1----------1-0") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------110") then next_state <= s00100; output <= "0000000100000000000"; elsif std_match(input, "----0----------100") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----0----------011") then next_state <= s00000; output <= "0000000100000000000"; elsif std_match(input, "----1----------011") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----1----------010") then next_state <= s00001; output <= "0000000100000000001"; elsif std_match(input, "----0----------010") then next_state <= s00011; output <= "0000000100000000000"; elsif std_match(input, "---------------001") then next_state <= s00000; output <= "0000000100000000001"; elsif std_match(input, "----0----------000") then next_state <= s00010; output <= "0000000100000000001"; elsif std_match(input, "----1----------000") then next_state <= s00001; output <= "0000000100000000001"; end if; when s10001 => if std_match(input, "-----------------1") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "----------------00") then next_state <= s00000; output <= "0000000000000000000"; elsif std_match(input, "----------------10") then next_state <= s10001; output <= "0000000000000000000"; end if; when others => next_state <= "-------------------------"; output <= "-------------------"; end case; end process; end behaviour;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Register32X32 is Port( i_Clk : in std_logic; i_Data : in std_logic_vector(31 downto 0); i_Rst : in std_logic; i_w_en : in std_logic_vector(31 downto 0); i_rA_sel : in std_logic_vector(31 downto 0); i_rB_sel : in std_logic_vector(31 downto 0); o_Data_A : out std_logic_vector(31 downto 0); o_Data_B : out std_logic_vector(31 downto 0) ); end Register32X32; architecture Behavioral of Register32X32 is component Reg_Depth is Port ( i_Clk : in std_logic; i_Data : in std_logic_vector(31 downto 0); i_Rst : in std_logic; i_w_en : in std_logic; i_rA_sel : in std_logic; i_rB_sel : in std_logic; o_Data_A : out std_logic_vector(31 downto 0); o_Data_B : out std_logic_vector(31 downto 0) ); end component; begin Inst_Reg_Depth31: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(31), i_rA_sel => i_rA_sel(31), i_rB_sel => i_rB_sel(31), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth30: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(30), i_rA_sel => i_rA_sel(30), i_rB_sel => i_rB_sel(30), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth29: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(29), i_rA_sel => i_rA_sel(29), i_rB_sel => i_rB_sel(29), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth28: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(28), i_rA_sel => i_rA_sel(28), i_rB_sel => i_rB_sel(28), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth27: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(27), i_rA_sel => i_rA_sel(27), i_rB_sel => i_rB_sel(27), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth26: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(26), i_rA_sel => i_rA_sel(26), i_rB_sel => i_rB_sel(26), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth25: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(25), i_rA_sel => i_rA_sel(25), i_rB_sel => i_rB_sel(25), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth24: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(24), i_rA_sel => i_rA_sel(24), i_rB_sel => i_rB_sel(24), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth23: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(23), i_rA_sel => i_rA_sel(23), i_rB_sel => i_rB_sel(23), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth22: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(22), i_rA_sel => i_rA_sel(22), i_rB_sel => i_rB_sel(22), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth21: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(21), i_rA_sel => i_rA_sel(21), i_rB_sel => i_rB_sel(21), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth20: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(20), i_rA_sel => i_rA_sel(20), i_rB_sel => i_rB_sel(20), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth19: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(19), i_rA_sel => i_rA_sel(19), i_rB_sel => i_rB_sel(19), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth18: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(18), i_rA_sel => i_rA_sel(18), i_rB_sel => i_rB_sel(18), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth17: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(17), i_rA_sel => i_rA_sel(17), i_rB_sel => i_rB_sel(17), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth16: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(16), i_rA_sel => i_rA_sel(16), i_rB_sel => i_rB_sel(16), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth15: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(15), i_rA_sel => i_rA_sel(15), i_rB_sel => i_rB_sel(15), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth14: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(14), i_rA_sel => i_rA_sel(14), i_rB_sel => i_rB_sel(14), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth13: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(13), i_rA_sel => i_rA_sel(13), i_rB_sel => i_rB_sel(13), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth12: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(12), i_rA_sel => i_rA_sel(12), i_rB_sel => i_rB_sel(12), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth11: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(11), i_rA_sel => i_rA_sel(11), i_rB_sel => i_rB_sel(11), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth10: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(10), i_rA_sel => i_rA_sel(10), i_rB_sel => i_rB_sel(10), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth9: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(9), i_rA_sel => i_rA_sel(9), i_rB_sel => i_rB_sel(9), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth8: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(8), i_rA_sel => i_rA_sel(8), i_rB_sel => i_rB_sel(8), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth7: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(7), i_rA_sel => i_rA_sel(7), i_rB_sel => i_rB_sel(7), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth6: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(6), i_rA_sel => i_rA_sel(6), i_rB_sel => i_rB_sel(6), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth5: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(5), i_rA_sel => i_rA_sel(5), i_rB_sel => i_rB_sel(5), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth4: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(4), i_rA_sel => i_rA_sel(4), i_rB_sel => i_rB_sel(4), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth3: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(3), i_rA_sel => i_rA_sel(3), i_rB_sel => i_rB_sel(3), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth2: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(2), i_rA_sel => i_rA_sel(2), i_rB_sel => i_rB_sel(2), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth1: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(1), i_rA_sel => i_rA_sel(1), i_rB_sel => i_rB_sel(1), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth0: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(0), i_rA_sel => i_rA_sel(0), i_rB_sel => i_rB_sel(0), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Register32X32 is Port( i_Clk : in std_logic; i_Data : in std_logic_vector(31 downto 0); i_Rst : in std_logic; i_w_en : in std_logic_vector(31 downto 0); i_rA_sel : in std_logic_vector(31 downto 0); i_rB_sel : in std_logic_vector(31 downto 0); o_Data_A : out std_logic_vector(31 downto 0); o_Data_B : out std_logic_vector(31 downto 0) ); end Register32X32; architecture Behavioral of Register32X32 is component Reg_Depth is Port ( i_Clk : in std_logic; i_Data : in std_logic_vector(31 downto 0); i_Rst : in std_logic; i_w_en : in std_logic; i_rA_sel : in std_logic; i_rB_sel : in std_logic; o_Data_A : out std_logic_vector(31 downto 0); o_Data_B : out std_logic_vector(31 downto 0) ); end component; begin Inst_Reg_Depth31: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(31), i_rA_sel => i_rA_sel(31), i_rB_sel => i_rB_sel(31), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth30: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(30), i_rA_sel => i_rA_sel(30), i_rB_sel => i_rB_sel(30), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth29: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(29), i_rA_sel => i_rA_sel(29), i_rB_sel => i_rB_sel(29), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth28: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(28), i_rA_sel => i_rA_sel(28), i_rB_sel => i_rB_sel(28), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth27: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(27), i_rA_sel => i_rA_sel(27), i_rB_sel => i_rB_sel(27), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth26: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(26), i_rA_sel => i_rA_sel(26), i_rB_sel => i_rB_sel(26), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth25: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(25), i_rA_sel => i_rA_sel(25), i_rB_sel => i_rB_sel(25), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth24: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(24), i_rA_sel => i_rA_sel(24), i_rB_sel => i_rB_sel(24), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth23: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(23), i_rA_sel => i_rA_sel(23), i_rB_sel => i_rB_sel(23), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth22: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(22), i_rA_sel => i_rA_sel(22), i_rB_sel => i_rB_sel(22), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth21: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(21), i_rA_sel => i_rA_sel(21), i_rB_sel => i_rB_sel(21), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth20: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(20), i_rA_sel => i_rA_sel(20), i_rB_sel => i_rB_sel(20), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth19: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(19), i_rA_sel => i_rA_sel(19), i_rB_sel => i_rB_sel(19), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth18: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(18), i_rA_sel => i_rA_sel(18), i_rB_sel => i_rB_sel(18), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth17: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(17), i_rA_sel => i_rA_sel(17), i_rB_sel => i_rB_sel(17), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth16: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(16), i_rA_sel => i_rA_sel(16), i_rB_sel => i_rB_sel(16), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth15: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(15), i_rA_sel => i_rA_sel(15), i_rB_sel => i_rB_sel(15), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth14: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(14), i_rA_sel => i_rA_sel(14), i_rB_sel => i_rB_sel(14), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth13: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(13), i_rA_sel => i_rA_sel(13), i_rB_sel => i_rB_sel(13), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth12: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(12), i_rA_sel => i_rA_sel(12), i_rB_sel => i_rB_sel(12), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth11: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(11), i_rA_sel => i_rA_sel(11), i_rB_sel => i_rB_sel(11), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth10: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(10), i_rA_sel => i_rA_sel(10), i_rB_sel => i_rB_sel(10), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth9: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(9), i_rA_sel => i_rA_sel(9), i_rB_sel => i_rB_sel(9), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth8: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(8), i_rA_sel => i_rA_sel(8), i_rB_sel => i_rB_sel(8), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth7: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(7), i_rA_sel => i_rA_sel(7), i_rB_sel => i_rB_sel(7), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth6: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(6), i_rA_sel => i_rA_sel(6), i_rB_sel => i_rB_sel(6), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth5: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(5), i_rA_sel => i_rA_sel(5), i_rB_sel => i_rB_sel(5), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth4: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(4), i_rA_sel => i_rA_sel(4), i_rB_sel => i_rB_sel(4), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth3: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(3), i_rA_sel => i_rA_sel(3), i_rB_sel => i_rB_sel(3), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth2: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(2), i_rA_sel => i_rA_sel(2), i_rB_sel => i_rB_sel(2), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth1: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(1), i_rA_sel => i_rA_sel(1), i_rB_sel => i_rB_sel(1), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); Inst_Reg_Depth0: Reg_Depth port map( i_Clk => i_Clk, i_Data => i_Data, i_Rst => i_Rst, i_w_en => i_w_en(0), i_rA_sel => i_rA_sel(0), i_rB_sel => i_rB_sel(0), o_Data_A => o_Data_A, o_Data_B => o_Data_B ); end Behavioral;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: cdcfifo_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.cdcfifo_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY cdcfifo_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF cdcfifo_synth IS -- FIFO interface signal declarations SIGNAL wr_clk_i : STD_LOGIC; SIGNAL rd_clk_i : STD_LOGIC; SIGNAL almost_full : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_s_wr1 : STD_LOGIC := '0'; SIGNAL rst_s_wr2 : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_wr1 : STD_LOGIC := '0'; SIGNAL rst_async_wr2 : STD_LOGIC := '0'; SIGNAL rst_async_wr3 : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_wr3 OR rst_s_wr3; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(rd_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; PROCESS(wr_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_wr1 <= '1'; rst_async_wr2 <= '1'; rst_async_wr3 <= '1'; ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN rst_async_wr1 <= RESET; rst_async_wr2 <= rst_async_wr1; rst_async_wr3 <= rst_async_wr2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(rd_clk_i) BEGIN IF(rd_clk_i'event AND rd_clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; END IF; END IF; END IF; END PROCESS; PROCESS(wr_clk_i) BEGIN IF(wr_clk_i'event AND wr_clk_i='1') THEN rst_s_wr1 <= rst_s_rd; rst_s_wr2 <= rst_s_wr1; rst_s_wr3 <= rst_s_wr2; IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- wr_clk_i <= WR_CLK; rd_clk_i <= RD_CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; almost_full_i <= almost_full; fg_dg_nv: cdcfifo_dgen GENERIC MAP ( C_DIN_WIDTH => 8, C_DOUT_WIDTH => 8, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => wr_clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: cdcfifo_dverif GENERIC MAP ( C_DOUT_WIDTH => 8, C_DIN_WIDTH => 8, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => rd_clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: cdcfifo_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 8, C_DIN_WIDTH => 8, C_WR_PNTR_WIDTH => 11, C_RD_PNTR_WIDTH => 11, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); cdcfifo_inst : cdcfifo_exdes PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;