content
stringlengths 1
1.04M
⌀ |
---|
----------------------------------------------------------------------------------
--
-- full_tb.vhd
--
-- (c) 2015
-- L. Schrittwieser
-- N. Huesser
--
----------------------------------------------------------------------------------
--
-- A testbench to test the logger core with real inputs.
--
----------------------------------------------------------------------------------
library UNISIM;
use UNISIM.VCOMPONENTS.all;
library UNIMACRO;
use UNIMACRO.VCOMPONENTS.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.math_real.all;
entity full_tb is
end full_tb;
architecture Behavioral of full_tb is
-- TODO:
-- create testsignals here
signal tbClkxC : std_logic := '0';
signal tbRstxRB : std_logic := '0';
signal tbDataxD : std_logic_vector(31 downto 0) := (others => '0');
signal tbCntxD: signed(15 downto 0) := to_signed(-430, 16);
signal tbValidxS : std_logic := '0';
signal tbReadyxS: std_logic := '0';
signal tbData0xDO: std_logic_vector(15 downto 0) := (others => '0');
signal tbData1xDO: std_logic_vector(15 downto 0) := (others => '0');
signal tbStrobexS: std_logic := '0';
begin
-- generate clock
tbClkxC <= not tbClkxC after 1ns;
tbDataxD <= std_logic_vector(tbCntxD) & std_logic_vector(tbCntxD);
DUT : entity work.axis_to_data_lanes
generic map (
Decimation => 3
)
port map (
ClkxCI => tbClkxC,
RstxRBI => tbRstxRB,
AxiTDataxDI=> tbDataxD,
AxiTValid => tbValidxS,
AxiTReady => tbReadyxS,
Data0xDO => tbData0xDO,
Data1xDO => tbData1xDO,
DataStrobexDO => tbStrobexS
);
process
begin
-- TODO:
-- write chain of events here
tbRstxRB <= '0';
wait until rising_edge(tbClkxC);
wait until rising_edge(tbClkxC);
tbRstxRB <= '1';
wait until rising_edge(tbClkxC);
tbValidxS <= '0';
for i in 0 to 30 loop
wait until rising_edge(tbClkxC);
end loop;
tbValidxS <= '1';
for i in 0 to 30 loop
wait until rising_edge(tbClkxC);
end loop;
wait;
end process;
process(tbClkxC, tbRstxRB, tbCntxD)
begin
if rising_edge(tbClkxC) then
tbCntxD <= to_signed(-430, 16);
if tbRstxRB = '1' then
tbCntxD <= tbCntxD + 1;
end if;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
-- CPU86 - VHDL CPU8088 IP core --
-- Copyright (C) 2002-2008 HT-LAB --
-- --
-- Contact/bugs : http://www.ht-lab.com/misc/feedback.html --
-- Web : http://www.ht-lab.com --
-- --
-- CPU86 is released as open-source under the GNU GPL license. This means --
-- that designs based on CPU86 must be distributed in full source code --
-- under the same license. Contact HT-Lab for commercial applications where --
-- source-code distribution is not desirable. --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Ver 0.82 Fixed RCR X,CL --
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_arith.ALL;
USE work.cpu86pack.ALL;
ENTITY ALU IS
PORT(
alu_inbusa : IN std_logic_vector (15 DOWNTO 0);
alu_inbusb : IN std_logic_vector (15 DOWNTO 0);
aluopr : IN std_logic_vector (6 DOWNTO 0);
ax_s : IN std_logic_vector (15 DOWNTO 0);
clk : IN std_logic;
cx_s : IN std_logic_vector (15 DOWNTO 0);
dx_s : IN std_logic_vector (15 DOWNTO 0);
reset : IN std_logic;
w : IN std_logic;
wralu : IN std_logic;
wrcc : IN std_logic;
wrtemp : IN std_logic;
alubus : OUT std_logic_vector (15 DOWNTO 0);
ccbus : OUT std_logic_vector (15 DOWNTO 0);
div_err : OUT std_logic
);
END ALU ;
architecture rtl of alu is
component divider is -- Generic Divider
generic(
WIDTH_DIVID : Integer := 32; -- Width Dividend
WIDTH_DIVIS : Integer := 16; -- Width Divisor
WIDTH_SHORT : Integer := 8); -- Check Overflow against short Byte/Word
port(
clk : in std_logic; -- System Clock, not used in this architecture
reset : in std_logic; -- Active high, not used in this architecture
dividend : in std_logic_vector (WIDTH_DIVID-1 DOWNTO 0);
divisor : in std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0);
quotient : out std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0); -- changed to 16 bits!! (S not D)
remainder : out std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0);
twocomp : in std_logic; -- '1' = 2's Complement, '0' = Unsigned
w : in std_logic; -- '0'=byte, '1'=word (cpu processor)
overflow : out std_logic; -- '1' if div by 0 or overflow
start : in std_logic; -- not used in this architecture
done : out std_logic); -- not used in this architecture
end component divider;
component multiplier is -- Generic Multiplier
generic (WIDTH : integer := 16);
port (multiplicant : in std_logic_vector (WIDTH-1 downto 0);
multiplier : in std_logic_vector (WIDTH-1 downto 0);
product : out std_logic_vector (WIDTH+WIDTH-1 downto 0);-- result
twocomp : in std_logic);
end component multiplier;
signal product_s : std_logic_vector(31 downto 0); -- result multiplier
signal dividend_s : std_logic_vector(31 downto 0); -- Input divider
signal remainder_s : std_logic_vector(15 downto 0); -- Divider result
signal quotient_s : std_logic_vector(15 downto 0); -- Divider result
signal divresult_s : std_logic_vector(31 DOWNTO 0); -- Output divider to alubus
signal div_err_s : std_logic; -- Divide by 0
signal twocomp_s : std_logic; -- Sign Extend for IMUL and IDIV
signal wl_s : std_logic; -- Latched w signal, used for muliplier/divider
signal alubus_s : std_logic_vector (15 DOWNTO 0);
signal abus_s : std_logic_vector(15 downto 0);
signal bbus_s : std_logic_vector(15 downto 0);
signal dxbus_s : std_logic_vector(15 downto 0); -- DX register
signal addbbus_s : std_logic_vector(15 downto 0); -- bbus connected to full adder
signal cbus_s : std_logic_vector(16 downto 0); -- Carry Bus
signal outbus_s : std_logic_vector(15 downto 0); -- outbus=abus+bbus
signal sign16a_s : std_logic_vector(15 downto 0); -- sign extended alu_busa(7 downto 0)
signal sign16b_s : std_logic_vector(15 downto 0); -- sign extended alu_busb(7 downto 0)
signal sign32a_s : std_logic_vector(15 downto 0); -- 16 bits alu_busa(15) vector (CWD)
signal aasbus_s : std_logic_vector(15 downto 0); -- used for AAS instruction
signal aas1bus_s : std_logic_vector(15 downto 0);
signal daabus_s : std_logic_vector(7 downto 0); -- used for DAA instruction
signal dasbus_s : std_logic_vector(7 downto 0); -- used for DAS instruction
signal aaabus_s : std_logic_vector(15 downto 0); -- used for AAA instruction
signal aaa1bus_s : std_logic_vector(15 downto 0);
signal aadbus_s : std_logic_vector(15 downto 0); -- used for AAD instruction
signal aad1bus_s : std_logic_vector(10 downto 0);
signal aad2bus_s : std_logic_vector(10 downto 0);
signal setaas_s : std_logic; -- '1' set CF & AF else both 0
signal setaaa_s : std_logic; -- '1' set CF & AF else both 0
signal setdaa_s : std_logic_vector(1 downto 0); -- "11" set CF & AF
signal setdas_s : std_logic_vector(1 downto 0); -- "11" set CF & AF
signal bit4_s : std_logic; -- used for AF flag
signal cout_s : std_logic;
signal psrreg_s : std_logic_vector(15 downto 0); -- 16 bits flag register
signal zflaglow_s : std_logic; -- low byte zero flag (w=0)
signal zflaghigh_s : std_logic; -- high byte zero flag (w=1)
signal zeroflag_s : std_logic; -- zero flag, asserted when zero
signal c1flag_s : std_logic; -- Asserted when CX=1(w=1) or CL=1(w=0)
signal zflagdx_s : std_logic; -- Result (DX) zero flag, asserted when not zero (used for mul/imul)
signal zflagah_s : std_logic; -- '1' if IMUL(15..8)/=0
signal hflagah_s : std_logic; -- Used for IMUL
signal hflagdx_s : std_logic; -- Used for IMUL
signal overflow_s : std_logic;
signal parityflag_s: std_logic;
signal signflag_s : std_logic;
alias OFLAG : std_logic is psrreg_s(11);
alias DFLAG : std_logic is psrreg_s(10);
alias IFLAG : std_logic is psrreg_s(9);
alias TFLAG : std_logic is psrreg_s(8);
alias SFLAG : std_logic is psrreg_s(7);
alias ZFLAG : std_logic is psrreg_s(6);
alias AFLAG : std_logic is psrreg_s(4);
alias PFLAG : std_logic is psrreg_s(2);
alias CFLAG : std_logic is psrreg_s(0);
signal alureg_s : std_logic_vector(31 downto 0); -- 31 bits temp register for alu_inbusa & alu_inbusb
signal alucout_s : std_logic; -- ALUREG Carry Out signal
signal alu_temp_s : std_logic_vector(15 downto 0); -- Temp/scratchpad register, use ALU_TEMP to select
signal done_s : std_logic; -- Serial divider conversion done
signal startdiv_s : std_logic; -- Serial divider start pulse
begin
ALUU1 : divider
generic map (WIDTH_DIVID => 32, WIDTH_DIVIS => 16, WIDTH_SHORT => 8)
port map (clk => clk,
reset => reset,
dividend => dividend_s, -- DX:AX
divisor => alureg_s(15 downto 0), -- 0&byte/word
--divisor => bbus_s, -- byte/word
quotient => quotient_s, -- 16 bits
remainder => remainder_s, -- 16 bits
twocomp => twocomp_s,
w => wl_s, -- Byte/Word
overflow => div_err_s, -- Divider Overflow. generate int0
start => startdiv_s, -- start conversion, generated by proc
done => done_s); -- conversion done, latch results
ALUU2 : multiplier
generic map (WIDTH => 16) -- Result is 2*WIDTH bits
port map (multiplicant=> alureg_s(31 downto 16),
multiplier => alureg_s(15 downto 0),
product => product_s, -- 32 bits!
twocomp => twocomp_s);
dividend_s <= X"000000"&alureg_s(23 downto 16) when aluopr=ALU_AAM else dxbus_s & alureg_s(31 downto 16);-- DX is sign extended for byte IDIV
-- start serial divider 1 cycle after wralu pulse received. The reason is that the dividend is loaded into the
-- accumulator thus the data must be valid when this happens.
process (clk, reset)
begin
if reset='1' then
startdiv_s <= '0';
elsif rising_edge(clk) then
if (wralu='1' and (aluopr=ALU_DIV or aluopr=ALU_IDIV OR aluopr=ALU_AAM)) then
startdiv_s <= '1';
else
startdiv_s <= '0';
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Create Full adder
----------------------------------------------------------------------------
fulladd: for bit_nr in 0 to 15 generate
outbus_s(bit_nr) <= abus_s(bit_nr) xor addbbus_s(bit_nr) xor cbus_s(bit_nr);
cbus_s(bit_nr+1) <= (abus_s(bit_nr) and addbbus_s(bit_nr)) or
(abus_s(bit_nr) and cbus_s(bit_nr)) or
(addbbus_s(bit_nr) and cbus_s(bit_nr));
end generate fulladd;
bit4_s <= cbus_s(4);
sign16a_s <= alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7)&alu_inbusa(7)&
alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7 downto 0);
sign16b_s <= alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7)&alu_inbusb(7)&
alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7 downto 0);
sign32a_s <= alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15);
-- Invert bus for subtract instructions
addbbus_s <= not bbus_s when ((aluopr=ALU_CMP) or (aluopr=ALU_CMP_SE) or (aluopr=ALU_CMPS) or (aluopr=ALU_DEC)
or (aluopr=ALU_SBB) or (aluopr=ALU_SBB_SE) or (aluopr=ALU_PUSH) or (aluopr=ALU_SUB)
or (aluopr=ALU_SUB_SE) or (aluopr=ALU_SCAS)) else bbus_s;
-- sign extend for IDIV and IMUL instructions
twocomp_s <= '1' when ((aluopr=ALU_IDIV) or (aluopr=ALU_IMUL) or
(aluopr=ALU_IDIV2)or (aluopr=ALU_IMUL2)) else '0';
----------------------------------------------------------------------------
-- Sign Extend Logic abus & bbus & dxbus
----------------------------------------------------------------------------
process (w, alu_inbusa, alu_inbusb, sign16a_s, sign16b_s, aluopr, ax_s, alureg_s)
begin
if (w='1') then -- Word, no sign extend, unless signextend is specified
case aluopr is
when ALU_CMPS =>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= alureg_s(15 downto 0); -- previous read ES:[DI]
when ALU_NEG | ALU_NOT =>
abus_s <= not(alu_inbusa); -- NEG instruction, not(operand)+1
bbus_s <= alu_inbusb; -- 0001 (0000 for NOT)
when ALU_ADD_SE | ALU_ADC_SE | ALU_SBB_SE | ALU_SUB_SE | ALU_CMP_SE |
ALU_OR_SE | ALU_AND_SE | ALU_XOR_SE=>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= sign16b_s; -- Sign extend on 8 bits immediate values (see O80I2RM)
when others =>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= alu_inbusb;
end case;
else
case aluopr is
when ALU_CMPS =>
abus_s <= alu_inbusa;
bbus_s <= alureg_s(15 downto 0);
when ALU_DIV | ALU_DIV2 =>
abus_s <= ax_s;
bbus_s <= alu_inbusb;
when ALU_IDIV| ALU_IDIV2 =>
abus_s <= ax_s;
bbus_s <= sign16b_s;
when ALU_MUL | ALU_MUL2 | ALU_SCAS =>
abus_s <= alu_inbusa;
bbus_s <= alu_inbusb;
when ALU_NEG | ALU_NOT =>
abus_s <= not(alu_inbusa); -- NEG instruction, not(operand)+1
bbus_s <= alu_inbusb; -- 0001 (0000 for NOT)
when others =>
abus_s <= sign16a_s;
bbus_s <= sign16b_s;
end case;
end if;
end process;
process (wl_s, aluopr, dx_s, alu_inbusa) -- dxbus for DIV/IDIV only
begin
if (wl_s='1') then -- Word, no sign extend
dxbus_s <= dx_s;
else -- Byte
if (((aluopr=ALU_IDIV) or (aluopr=ALU_IDIV2)) and (alu_inbusa(15)='1')) then -- signed DX<-SE(AX)/bbus<-SE(byte)
dxbus_s <= X"FFFF"; -- DX=FFFF (ignored for mul)
else
dxbus_s <= X"0000"; -- DX=0000 (ignored for mul)
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Carry In logic
----------------------------------------------------------------------------
process (aluopr, psrreg_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_INC | ALU_POP | ALU_NEG | ALU_NOT
=> cbus_s(0) <= '0';
when ALU_SBB | ALU_SBB_SE
=> cbus_s(0) <= not CFLAG;
when ALU_SUB | ALU_SUB_SE | ALU_DEC | ALU_PUSH | ALU_CMP | ALU_CMP_SE
| ALU_CMPS | ALU_SCAS
=> cbus_s(0) <= '1';
when others => cbus_s(0) <= CFLAG; -- ALU_ADC, ALU_SUB, ALU_SBB
end case;
end process;
----------------------------------------------------------------------------
-- Carry Out logic
-- cout is inverted for ALU_SUB and ALU_SBB before written to psrreg_s
----------------------------------------------------------------------------
process (aluopr, w, psrreg_s, cbus_s, alu_inbusa)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_SUB | ALU_SUB_SE | ALU_SBB | ALU_SBB_SE |
ALU_CMP | ALU_CMP_SE | ALU_CMPS| ALU_SCAS =>
if (w='1') then cout_s <= cbus_s(16);
else cout_s <= cbus_s(8);
end if;
when ALU_NEG => -- CF=0 if operand=0, else 1
if (alu_inbusa=X"0000") then
cout_s <= '1'; -- Note CFLAG=NOT(cout_s)
else
cout_s <= '0'; -- Note CFLAG=NOT(cout_s)
end if;
when others =>
cout_s <= CFLAG; -- Keep previous value
end case;
end process;
----------------------------------------------------------------------------
-- Overflow Logic
----------------------------------------------------------------------------
process (aluopr, w, psrreg_s, cbus_s, alureg_s, alucout_s, zflaghigh_s, zflagdx_s,hflagdx_s,zflagah_s,
hflagah_s, wl_s, product_s, c1flag_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_INC | ALU_DEC | ALU_SUB | ALU_SUB_SE |
ALU_SBB | ALU_SBB_SE | ALU_CMP | ALU_CMP_SE | ALU_CMPS | ALU_SCAS | ALU_NEG =>
if w='1' then -- 16 bits
overflow_s <= cbus_s(16) xor cbus_s(15);
else
overflow_s <= cbus_s(8) xor cbus_s(7);
end if;
when ALU_ROL1 | ALU_RCL1 | ALU_SHL1 => -- count=1 using constants as in rcl bx,1
if (((w='1') and (alureg_s(15)/=alucout_s)) or
((w='0') and (alureg_s(7) /=alucout_s))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROL | ALU_RCL | ALU_SHL => -- cl/cx=1
if (( c1flag_s='1' and w='1' and (alureg_s(15)/=alucout_s)) or
( c1flag_s='1' and w='0' and (alureg_s(7) /=alucout_s))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROR1 | ALU_RCR1 | ALU_SHR1 | ALU_SAR1 =>
if (((w='1') and (alureg_s(15)/=alureg_s(14))) or
((w='0') and (alureg_s(7) /=alureg_s(6)))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROR | ALU_RCR | ALU_SHR | ALU_SAR => -- if cl/cx=1
if ((c1flag_s='1' and w='1' and (alureg_s(15)/=alureg_s(14))) or
(c1flag_s='1' and w='0' and (alureg_s(7) /=alureg_s(6)))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_MUL | ALU_MUL2 =>
if (wl_s='0') then
overflow_s <= zflaghigh_s;
else
overflow_s <= zflagdx_s; -- MSW multiply/divide result
end if;
when ALU_IMUL | ALU_IMUL2 => -- if MSbit(1)='1' & AH=FF/DX=FFFF
if ((wl_s='0' and product_s(7)='1' and hflagah_s='1') or
(wl_s='0' and product_s(7)='0' and zflagah_s='0') or
(wl_s='1' and product_s(15)='1' and hflagdx_s='1') or
(wl_s='1' and product_s(15)='0' and zflagdx_s='0')) then
overflow_s <= '0';
else
overflow_s <= '1';
end if;
when others =>
overflow_s <= OFLAG; -- Keep previous value
end case;
end process;
----------------------------------------------------------------------------
-- Zeroflag set if result=0, zflagdx_s=1 when dx/=0, zflagah_s=1 when ah/=0
----------------------------------------------------------------------------
zflaglow_s <= alubus_s(7) or alubus_s(6) or alubus_s(5) or alubus_s(4) or
alubus_s(3) or alubus_s(2) or alubus_s(1) or alubus_s(0);
zflaghigh_s <= alubus_s(15) or alubus_s(14) or alubus_s(13) or alubus_s(12) or
alubus_s(11) or alubus_s(10) or alubus_s(9) or alubus_s(8);
zeroflag_s <= not(zflaghigh_s or zflaglow_s) when w='1' else not(zflaglow_s);
zflagdx_s <= product_s(31) or product_s(30) or product_s(29) or product_s(28) or
product_s(27) or product_s(26) or product_s(25) or product_s(24) or
product_s(23) or product_s(22) or product_s(21) or product_s(20) or
product_s(19) or product_s(18) or product_s(17) or product_s(16);
zflagah_s <= product_s(15) or product_s(14) or product_s(13) or product_s(12) or
product_s(11) or product_s(10) or product_s(09) or product_s(08);
----------------------------------------------------------------------------
-- hflag set if IMUL result AH=FF or DX=FFFF
----------------------------------------------------------------------------
hflagah_s <= product_s(15) and product_s(14) and product_s(13) and product_s(12) and
product_s(11) and product_s(10) and product_s(9) and product_s(8);
hflagdx_s <= product_s(31) and product_s(30) and product_s(29) and product_s(28) and
product_s(27) and product_s(26) and product_s(25) and product_s(24) and
product_s(23) and product_s(22) and product_s(21) and product_s(20) and
product_s(19) and product_s(18) and product_s(17) and product_s(16);
----------------------------------------------------------------------------
-- Parity flag set if even number of bits in LSB
----------------------------------------------------------------------------
parityflag_s <=not(alubus_s(7) xor alubus_s(6) xor alubus_s(5) xor alubus_s(4) xor
alubus_s(3) xor alubus_s(2) xor alubus_s(1) xor alubus_s(0));
----------------------------------------------------------------------------
-- Sign flag
----------------------------------------------------------------------------
signflag_s <= alubus_s(15) when w='1' else alubus_s(7);
----------------------------------------------------------------------------
-- c1flag asserted if CL or CX=1, used to update the OF flags during
-- rotate/shift instructions
----------------------------------------------------------------------------
c1flag_s <= '1' when (cx_s=X"0001" and w='1') OR (cx_s(7 downto 0)=X"01" and w='0') else '0';
----------------------------------------------------------------------------
-- Temp/ScratchPad Register
-- alureg_s can also be used as temp storage
-- temp<=bbus;
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
alu_temp_s<= (others => '0');
elsif rising_edge(clk) then
if (wrtemp='1') then
alu_temp_s <= bbus_s;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- ALU Register used for xchg and rotate/shift instruction
-- latch Carry Out alucout_s signal
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
alureg_s <= (others => '0');
alucout_s<= '0';
wl_s <= '0';
elsif rising_edge(clk) then
if (wralu='1') then
alureg_s(31 downto 16) <= abus_s; -- alu_inbusa;
wl_s <= w; -- Latched w version
if w='1' then -- word operation
case aluopr is
when ALU_ROL | ALU_ROL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & alureg_s(15);
alucout_s<= alureg_s(15);
when ALU_ROR | ALU_ROR1 => alureg_s(15 downto 0) <= alureg_s(0) & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_RCL | ALU_RCL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & alucout_s; -- shift carry in
alucout_s<= alureg_s(15);
when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_SHL | ALU_SHL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & '0';
alucout_s<= alureg_s(15);
when ALU_SHR | ALU_SHR1 => alureg_s(15 downto 0) <= '0' & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_SAR | ALU_SAR1 => alureg_s(15 downto 0) <= alureg_s(15) & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_TEMP => alureg_s(15 downto 0) <= bbus_s;
alucout_s<= '-'; -- Don't care!
when ALU_AAM => alureg_s(15 downto 0) <= X"000A";
alucout_s<= '-'; -- Don't care!
when others => alureg_s(15 downto 0) <= bbus_s ;--alu_inbusb; -- ALU_PASSB
alucout_s<= CFLAG;
end case;
else
case aluopr is -- To aid resource sharing add MSB byte as above
when ALU_ROL | ALU_ROL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & alureg_s(7));
alucout_s<= alureg_s(7);
when ALU_ROR | ALU_ROR1 => alureg_s(15 downto 0) <= alureg_s(0) & alureg_s(15 downto 9) & (alureg_s(0) & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_RCL | ALU_RCL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & alucout_s); -- shift carry in
alucout_s<= alureg_s(7);
-- when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 9) & (psrreg_s(0) & alureg_s(7 downto 1));
when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 9) & (alucout_s & alureg_s(7 downto 1)); -- Ver 0.82
alucout_s<= alureg_s(0);
when ALU_SHL | ALU_SHL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & '0');
alucout_s<= alureg_s(7);
when ALU_SHR | ALU_SHR1 => alureg_s(15 downto 0) <= '0' & alureg_s(15 downto 9) & ('0' & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_SAR | ALU_SAR1 => alureg_s(15 downto 0) <= alureg_s(15) & alureg_s(15 downto 9)& (alureg_s(7) & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_TEMP => alureg_s(15 downto 0) <= bbus_s;
alucout_s<= '-'; -- Don't care!
when ALU_AAM => alureg_s(15 downto 0) <= X"000A";
alucout_s<= '-'; -- Don't care!
when others => alureg_s(15 downto 0) <= bbus_s ;--alu_inbusb -- ALU_PASSB
alucout_s<= CFLAG;
end case;
end if;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- AAS Instruction 3F
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,aas1bus_s)
begin
aas1bus_s<=alu_inbusa-X"0106";
if ((alu_inbusa(3 downto 0) > "1001") or (psrreg_s(4)='1')) then
aasbus_s <= aas1bus_s(15 downto 8)&X"0"&aas1bus_s(3 downto 0);
setaas_s <= '1'; -- Set CF and AF flag
else
aasbus_s(7 downto 0) <= X"0"&(alu_inbusa(3 downto 0)); -- AL=AL&0Fh
aasbus_s(15 downto 8)<= alu_inbusa(15 downto 8); -- leave AH unchanged
setaas_s <= '0'; -- Clear CF and AF flag
end if;
end process;
----------------------------------------------------------------------------
-- AAA Instruction 37
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,aaa1bus_s)
begin
aaa1bus_s<=alu_inbusa+X"0106";
if ((alu_inbusa(3 downto 0) > "1001") or (psrreg_s(4)='1')) then
aaabus_s <= aaa1bus_s(15 downto 8)&X"0"&aaa1bus_s(3 downto 0);
setaaa_s <= '1'; -- Set CF and AF flag
else
aaabus_s(7 downto 0) <= X"0"&alu_inbusa(3 downto 0); -- AL=AL&0Fh
aaabus_s(15 downto 8)<= alu_inbusa(15 downto 8); -- AH Unchanged
setaaa_s <= '0'; -- Clear CF and AF flag
end if;
end process;
----------------------------------------------------------------------------
-- DAA Instruction 27
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,setdaa_s)
begin
if ((alu_inbusa(3 downto 0) > X"9") or (psrreg_s(4)='1')) then
setdaa_s(0) <= '1'; -- set AF
else
setdaa_s(0) <= '0'; -- clr AF
end if;
if ((alu_inbusa(7 downto 0) > X"9F") or (psrreg_s(0)='1') or (alu_inbusa(7 downto 0) > X"99")) then
setdaa_s(1) <= '1'; -- set CF
else
setdaa_s(1) <= '0'; -- clr CF
end if;
case setdaa_s is
when "00" => daabus_s <= alu_inbusa(7 downto 0);
when "01" => daabus_s <= alu_inbusa(7 downto 0) + X"06";
when "10" => daabus_s <= alu_inbusa(7 downto 0) + X"60";
when others => daabus_s <= alu_inbusa(7 downto 0) + X"66";
end case;
end process;
----------------------------------------------------------------------------
-- DAS Instruction 2F
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,setdas_s)
begin
if ((alu_inbusa(3 downto 0) > X"9") or (psrreg_s(4)='1')) then
setdas_s(0) <= '1'; -- set AF
else
setdas_s(0) <= '0'; -- clr AF
end if;
if ((alu_inbusa(7 downto 0) > X"9F") or (psrreg_s(0)='1') or (alu_inbusa(7 downto 0) > X"99")) then
setdas_s(1) <= '1'; -- set CF
else
setdas_s(1) <= '0'; -- clr CF
end if;
case setdas_s is
when "00" => dasbus_s <= alu_inbusa(7 downto 0);
when "01" => dasbus_s <= alu_inbusa(7 downto 0) - X"06";
when "10" => dasbus_s <= alu_inbusa(7 downto 0) - X"60";
when others => dasbus_s <= alu_inbusa(7 downto 0) - X"66";
end case;
end process;
----------------------------------------------------------------------------
-- AAD Instruction 5D 0A
----------------------------------------------------------------------------
process (alu_inbusa,aad1bus_s,aad2bus_s)
begin
aad1bus_s <= ("00" & alu_inbusa(15 downto 8) & '0') + (alu_inbusa(15 downto 8) & "000"); -- AH*2 + AH*8
aad2bus_s <= aad1bus_s + ("000" & alu_inbusa(7 downto 0)); -- + AL
aadbus_s<= "00000000" & aad2bus_s(7 downto 0);
end process;
----------------------------------------------------------------------------
-- ALU Operation
----------------------------------------------------------------------------
process (aluopr,abus_s,bbus_s,outbus_s,psrreg_s,alureg_s,aasbus_s,aaabus_s,daabus_s,sign16a_s,
sign16b_s,sign32a_s,dasbus_s,product_s,divresult_s,alu_temp_s,aadbus_s,quotient_s,remainder_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_INC | ALU_POP | ALU_SUB | ALU_SUB_SE | ALU_DEC | ALU_PUSH | ALU_CMP | ALU_CMP_SE |
ALU_CMPS | ALU_ADC | ALU_ADC_SE | ALU_SBB | ALU_SBB_SE | ALU_SCAS | ALU_NEG | ALU_NOT
=> alubus_s <= outbus_s;
when ALU_OR | ALU_OR_SE
=> alubus_s <= abus_s OR bbus_s;
when ALU_AND | ALU_AND_SE | ALU_TEST0 | ALU_TEST1 | ALU_TEST2
=> alubus_s <= abus_s AND bbus_s;
when ALU_XOR | ALU_XOR_SE
=> alubus_s <= abus_s XOR bbus_s;
when ALU_LAHF => alubus_s <= psrreg_s(15 downto 2)&'1'&psrreg_s(0);-- flags onto ALUBUS, note reserved bit1=1
when ALU_MUL | ALU_IMUL
=> alubus_s <= product_s(15 downto 0); -- AX of Multiplier
when ALU_MUL2| ALU_IMUL2
=> alubus_s <= product_s(31 downto 16); -- DX of Multiplier
when ALU_DIV | ALU_IDIV
=> alubus_s <= divresult_s(15 downto 0);-- AX of Divider (quotient)
when ALU_DIV2| ALU_IDIV2
=> alubus_s <= divresult_s(31 downto 16);-- DX of Divider (remainder)
when ALU_SEXT => alubus_s <= sign16a_s; -- Used for CBW Instruction
when ALU_SEXTW => alubus_s <= sign32a_s; -- Used for CWD Instruction
when ALU_AAS => alubus_s <= aasbus_s; -- Used for AAS Instruction
when ALU_AAA => alubus_s <= aaabus_s; -- Used for AAA Instruction
when ALU_DAA => alubus_s <= abus_s(15 downto 8) & daabus_s;-- Used for DAA Instruction
when ALU_DAS => alubus_s <= abus_s(15 downto 8) & dasbus_s;-- Used for DAS Instruction
when ALU_AAD => alubus_s <= aadbus_s; -- Used for AAD Instruction
when ALU_AAM => alubus_s <= quotient_s(7 downto 0) & remainder_s(7 downto 0); -- Used for AAM Instruction
when ALU_ROL | ALU_ROL1 | ALU_ROR | ALU_ROR1 | ALU_RCL | ALU_RCL1 | ALU_RCR | ALU_RCR1 |
ALU_SHL | ALU_SHL1 | ALU_SHR | ALU_SHR1 | ALU_SAR | ALU_SAR1 | ALU_REGL
=> alubus_s <= alureg_s(15 downto 0); -- alu_inbusb to output
when ALU_REGH => alubus_s <= alureg_s(31 downto 16); -- alu_inbusa to output
when ALU_PASSA => alubus_s <= abus_s;
--when ALU_PASSB => alubus_s <= bbus_s;
when ALU_TEMP => alubus_s <= alu_temp_s;
when others => alubus_s <= DONTCARE(15 downto 0);
end case;
end process;
alubus <= alubus_s; -- Connect to entity
----------------------------------------------------------------------------
-- Processor Status Register (Flags)
-- bit Flag
-- 15 Reserved
-- 14 Reserved
-- 13 Reserved Set to 1?
-- 12 Reserved Set to 1?
-- 11 Overflow Flag OF
-- 10 Direction Flag DF
-- 9 Interrupt Flag IF
-- 8 Trace Flag TF
-- 7 Sign Flag SF
-- 6 Zero Flag ZF
-- 5 Reserved
-- 4 Auxiliary Carry AF
-- 3 Reserved
-- 2 Parity Flag PF
-- 1 Reserved Set to 1 ????
-- 0 Carry Flag
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
psrreg_s <= "1111000000000010";
elsif rising_edge(clk) then
if (wrcc='1') then
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_INC =>
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= bit4_s;
PFLAG <= parityflag_s;
CFLAG <= cout_s;
when ALU_DEC => -- Same as for ALU_SUB exclusing the CFLAG :-(
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= not bit4_s;
PFLAG <= parityflag_s;
when ALU_SUB | ALU_SUB_SE | ALU_SBB | ALU_SBB_SE | ALU_CMP |
ALU_CMP_SE | ALU_CMPS | ALU_SCAS | ALU_NEG =>
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= not bit4_s;
PFLAG <= parityflag_s;
CFLAG <= not cout_s;
when ALU_OR | ALU_OR_SE | ALU_AND | ALU_AND_SE | ALU_XOR | ALU_XOR_SE | ALU_TEST0 | ALU_TEST1 | ALU_TEST2 =>
OFLAG <= '0';
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= '0'; -- None defined, set to 0 to be compatible with debug
PFLAG <= parityflag_s;
CFLAG <= '0';
when ALU_SHL | ALU_SHR | ALU_SAR |
ALU_SHR1 | ALU_SAR1 | ALU_SHL1 =>
OFLAG <= overflow_s;
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
CFLAG <= alucout_s;
when ALU_CLC =>
CFLAG <= '0';
when ALU_CMC =>
CFLAG <= not CFLAG;
when ALU_STC =>
CFLAG <= '1';
when ALU_CLD =>
DFLAG <= '0';
when ALU_STD =>
DFLAG <= '1';
when ALU_CLI =>
IFLAG <= '0';
when ALU_STI =>
IFLAG <= '1';
when ALU_POP => -- Note only POPF executes a WRCC command, thus save for other pops
psrreg_s <= "1111" & alu_inbusa(11 downto 0);
when ALU_SAHF => -- Write all AH bits (not compatible!)
psrreg_s(7 downto 0) <= alu_inbusa(7 downto 6) & '0' & alu_inbusa(4) & '0' &
alu_inbusa(2) & '0' & alu_inbusa(0);-- SAHF only writes bits 7,6,4,2,0
when ALU_AAS =>
AFLAG <= setaas_s; -- set or clear CF/AF flag
CFLAG <= setaas_s;
SFLAG <= '0';
when ALU_AAA =>
AFLAG <= setaaa_s; -- set or clear CF/AF flag
CFLAG <= setaaa_s;
when ALU_DAA =>
AFLAG <= setdaa_s(0); -- set or clear CF/AF flag
CFLAG <= setdaa_s(1);
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
when ALU_AAD =>
SFLAG <= alubus_s(7); --signflag_s;
PFLAG <= parityflag_s;
ZFLAG <= zeroflag_s;
when ALU_AAM =>
SFLAG <= signflag_s;
PFLAG <= parityflag_s;
ZFLAG <= not(zflaglow_s); -- signflag on AL only
when ALU_DAS =>
AFLAG <= setdas_s(0); -- set or clear CF/AF flag
CFLAG <= setdas_s(1);
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
-- Shift Rotate Instructions
when ALU_ROL | ALU_ROR | ALU_RCL | ALU_RCR |
ALU_ROL1 | ALU_RCL1 | ALU_ROR1 | ALU_RCR1 =>
CFLAG <= alucout_s;
OFLAG <= overflow_s;
when ALU_MUL | ALU_MUL2 | ALU_IMUL | ALU_IMUL2 => -- Multiply affects CF&OF only
CFLAG <= overflow_s;
OFLAG <= overflow_s;
when ALU_CLRTIF => -- Clear TF and IF flag
IFLAG <= '0';
TFLAG <= '0';
when others =>
psrreg_s <= psrreg_s;
end case;
end if;
end if;
end process;
ccbus <= psrreg_s; -- Connect to entity
-- Latch Divide by 0 error flag & latched divresult.
-- Requires a MCP from all registers to these endpoint registers!
process (clk, reset)
begin
if reset='1' then
div_err <= '0';
divresult_s <= (others => '0');
elsif rising_edge(clk) then
if done_s='1' then -- Latched pulse generated by serial divider
div_err <= div_err_s; -- Divide Overflow
-- pragma synthesis_off
assert div_err_s='0' report "**** Divide Overflow ***" severity note;
-- pragma synthesis_on
if wl_s='1' then -- Latched version required?
divresult_s <= remainder_s & quotient_s;
else
divresult_s <= remainder_s & remainder_s(7 downto 0) & quotient_s(7 downto 0);
end if;
else
div_err <= '0';
end if;
end if;
end process;
end rtl;
|
-------------------------------------------------------------------------------
-- CPU86 - VHDL CPU8088 IP core --
-- Copyright (C) 2002-2008 HT-LAB --
-- --
-- Contact/bugs : http://www.ht-lab.com/misc/feedback.html --
-- Web : http://www.ht-lab.com --
-- --
-- CPU86 is released as open-source under the GNU GPL license. This means --
-- that designs based on CPU86 must be distributed in full source code --
-- under the same license. Contact HT-Lab for commercial applications where --
-- source-code distribution is not desirable. --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Ver 0.82 Fixed RCR X,CL --
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_arith.ALL;
USE work.cpu86pack.ALL;
ENTITY ALU IS
PORT(
alu_inbusa : IN std_logic_vector (15 DOWNTO 0);
alu_inbusb : IN std_logic_vector (15 DOWNTO 0);
aluopr : IN std_logic_vector (6 DOWNTO 0);
ax_s : IN std_logic_vector (15 DOWNTO 0);
clk : IN std_logic;
cx_s : IN std_logic_vector (15 DOWNTO 0);
dx_s : IN std_logic_vector (15 DOWNTO 0);
reset : IN std_logic;
w : IN std_logic;
wralu : IN std_logic;
wrcc : IN std_logic;
wrtemp : IN std_logic;
alubus : OUT std_logic_vector (15 DOWNTO 0);
ccbus : OUT std_logic_vector (15 DOWNTO 0);
div_err : OUT std_logic
);
END ALU ;
architecture rtl of alu is
component divider is -- Generic Divider
generic(
WIDTH_DIVID : Integer := 32; -- Width Dividend
WIDTH_DIVIS : Integer := 16; -- Width Divisor
WIDTH_SHORT : Integer := 8); -- Check Overflow against short Byte/Word
port(
clk : in std_logic; -- System Clock, not used in this architecture
reset : in std_logic; -- Active high, not used in this architecture
dividend : in std_logic_vector (WIDTH_DIVID-1 DOWNTO 0);
divisor : in std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0);
quotient : out std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0); -- changed to 16 bits!! (S not D)
remainder : out std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0);
twocomp : in std_logic; -- '1' = 2's Complement, '0' = Unsigned
w : in std_logic; -- '0'=byte, '1'=word (cpu processor)
overflow : out std_logic; -- '1' if div by 0 or overflow
start : in std_logic; -- not used in this architecture
done : out std_logic); -- not used in this architecture
end component divider;
component multiplier is -- Generic Multiplier
generic (WIDTH : integer := 16);
port (multiplicant : in std_logic_vector (WIDTH-1 downto 0);
multiplier : in std_logic_vector (WIDTH-1 downto 0);
product : out std_logic_vector (WIDTH+WIDTH-1 downto 0);-- result
twocomp : in std_logic);
end component multiplier;
signal product_s : std_logic_vector(31 downto 0); -- result multiplier
signal dividend_s : std_logic_vector(31 downto 0); -- Input divider
signal remainder_s : std_logic_vector(15 downto 0); -- Divider result
signal quotient_s : std_logic_vector(15 downto 0); -- Divider result
signal divresult_s : std_logic_vector(31 DOWNTO 0); -- Output divider to alubus
signal div_err_s : std_logic; -- Divide by 0
signal twocomp_s : std_logic; -- Sign Extend for IMUL and IDIV
signal wl_s : std_logic; -- Latched w signal, used for muliplier/divider
signal alubus_s : std_logic_vector (15 DOWNTO 0);
signal abus_s : std_logic_vector(15 downto 0);
signal bbus_s : std_logic_vector(15 downto 0);
signal dxbus_s : std_logic_vector(15 downto 0); -- DX register
signal addbbus_s : std_logic_vector(15 downto 0); -- bbus connected to full adder
signal cbus_s : std_logic_vector(16 downto 0); -- Carry Bus
signal outbus_s : std_logic_vector(15 downto 0); -- outbus=abus+bbus
signal sign16a_s : std_logic_vector(15 downto 0); -- sign extended alu_busa(7 downto 0)
signal sign16b_s : std_logic_vector(15 downto 0); -- sign extended alu_busb(7 downto 0)
signal sign32a_s : std_logic_vector(15 downto 0); -- 16 bits alu_busa(15) vector (CWD)
signal aasbus_s : std_logic_vector(15 downto 0); -- used for AAS instruction
signal aas1bus_s : std_logic_vector(15 downto 0);
signal daabus_s : std_logic_vector(7 downto 0); -- used for DAA instruction
signal dasbus_s : std_logic_vector(7 downto 0); -- used for DAS instruction
signal aaabus_s : std_logic_vector(15 downto 0); -- used for AAA instruction
signal aaa1bus_s : std_logic_vector(15 downto 0);
signal aadbus_s : std_logic_vector(15 downto 0); -- used for AAD instruction
signal aad1bus_s : std_logic_vector(10 downto 0);
signal aad2bus_s : std_logic_vector(10 downto 0);
signal setaas_s : std_logic; -- '1' set CF & AF else both 0
signal setaaa_s : std_logic; -- '1' set CF & AF else both 0
signal setdaa_s : std_logic_vector(1 downto 0); -- "11" set CF & AF
signal setdas_s : std_logic_vector(1 downto 0); -- "11" set CF & AF
signal bit4_s : std_logic; -- used for AF flag
signal cout_s : std_logic;
signal psrreg_s : std_logic_vector(15 downto 0); -- 16 bits flag register
signal zflaglow_s : std_logic; -- low byte zero flag (w=0)
signal zflaghigh_s : std_logic; -- high byte zero flag (w=1)
signal zeroflag_s : std_logic; -- zero flag, asserted when zero
signal c1flag_s : std_logic; -- Asserted when CX=1(w=1) or CL=1(w=0)
signal zflagdx_s : std_logic; -- Result (DX) zero flag, asserted when not zero (used for mul/imul)
signal zflagah_s : std_logic; -- '1' if IMUL(15..8)/=0
signal hflagah_s : std_logic; -- Used for IMUL
signal hflagdx_s : std_logic; -- Used for IMUL
signal overflow_s : std_logic;
signal parityflag_s: std_logic;
signal signflag_s : std_logic;
alias OFLAG : std_logic is psrreg_s(11);
alias DFLAG : std_logic is psrreg_s(10);
alias IFLAG : std_logic is psrreg_s(9);
alias TFLAG : std_logic is psrreg_s(8);
alias SFLAG : std_logic is psrreg_s(7);
alias ZFLAG : std_logic is psrreg_s(6);
alias AFLAG : std_logic is psrreg_s(4);
alias PFLAG : std_logic is psrreg_s(2);
alias CFLAG : std_logic is psrreg_s(0);
signal alureg_s : std_logic_vector(31 downto 0); -- 31 bits temp register for alu_inbusa & alu_inbusb
signal alucout_s : std_logic; -- ALUREG Carry Out signal
signal alu_temp_s : std_logic_vector(15 downto 0); -- Temp/scratchpad register, use ALU_TEMP to select
signal done_s : std_logic; -- Serial divider conversion done
signal startdiv_s : std_logic; -- Serial divider start pulse
begin
ALUU1 : divider
generic map (WIDTH_DIVID => 32, WIDTH_DIVIS => 16, WIDTH_SHORT => 8)
port map (clk => clk,
reset => reset,
dividend => dividend_s, -- DX:AX
divisor => alureg_s(15 downto 0), -- 0&byte/word
--divisor => bbus_s, -- byte/word
quotient => quotient_s, -- 16 bits
remainder => remainder_s, -- 16 bits
twocomp => twocomp_s,
w => wl_s, -- Byte/Word
overflow => div_err_s, -- Divider Overflow. generate int0
start => startdiv_s, -- start conversion, generated by proc
done => done_s); -- conversion done, latch results
ALUU2 : multiplier
generic map (WIDTH => 16) -- Result is 2*WIDTH bits
port map (multiplicant=> alureg_s(31 downto 16),
multiplier => alureg_s(15 downto 0),
product => product_s, -- 32 bits!
twocomp => twocomp_s);
dividend_s <= X"000000"&alureg_s(23 downto 16) when aluopr=ALU_AAM else dxbus_s & alureg_s(31 downto 16);-- DX is sign extended for byte IDIV
-- start serial divider 1 cycle after wralu pulse received. The reason is that the dividend is loaded into the
-- accumulator thus the data must be valid when this happens.
process (clk, reset)
begin
if reset='1' then
startdiv_s <= '0';
elsif rising_edge(clk) then
if (wralu='1' and (aluopr=ALU_DIV or aluopr=ALU_IDIV OR aluopr=ALU_AAM)) then
startdiv_s <= '1';
else
startdiv_s <= '0';
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Create Full adder
----------------------------------------------------------------------------
fulladd: for bit_nr in 0 to 15 generate
outbus_s(bit_nr) <= abus_s(bit_nr) xor addbbus_s(bit_nr) xor cbus_s(bit_nr);
cbus_s(bit_nr+1) <= (abus_s(bit_nr) and addbbus_s(bit_nr)) or
(abus_s(bit_nr) and cbus_s(bit_nr)) or
(addbbus_s(bit_nr) and cbus_s(bit_nr));
end generate fulladd;
bit4_s <= cbus_s(4);
sign16a_s <= alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7)&alu_inbusa(7)&
alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7 downto 0);
sign16b_s <= alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7)&alu_inbusb(7)&
alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7 downto 0);
sign32a_s <= alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15);
-- Invert bus for subtract instructions
addbbus_s <= not bbus_s when ((aluopr=ALU_CMP) or (aluopr=ALU_CMP_SE) or (aluopr=ALU_CMPS) or (aluopr=ALU_DEC)
or (aluopr=ALU_SBB) or (aluopr=ALU_SBB_SE) or (aluopr=ALU_PUSH) or (aluopr=ALU_SUB)
or (aluopr=ALU_SUB_SE) or (aluopr=ALU_SCAS)) else bbus_s;
-- sign extend for IDIV and IMUL instructions
twocomp_s <= '1' when ((aluopr=ALU_IDIV) or (aluopr=ALU_IMUL) or
(aluopr=ALU_IDIV2)or (aluopr=ALU_IMUL2)) else '0';
----------------------------------------------------------------------------
-- Sign Extend Logic abus & bbus & dxbus
----------------------------------------------------------------------------
process (w, alu_inbusa, alu_inbusb, sign16a_s, sign16b_s, aluopr, ax_s, alureg_s)
begin
if (w='1') then -- Word, no sign extend, unless signextend is specified
case aluopr is
when ALU_CMPS =>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= alureg_s(15 downto 0); -- previous read ES:[DI]
when ALU_NEG | ALU_NOT =>
abus_s <= not(alu_inbusa); -- NEG instruction, not(operand)+1
bbus_s <= alu_inbusb; -- 0001 (0000 for NOT)
when ALU_ADD_SE | ALU_ADC_SE | ALU_SBB_SE | ALU_SUB_SE | ALU_CMP_SE |
ALU_OR_SE | ALU_AND_SE | ALU_XOR_SE=>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= sign16b_s; -- Sign extend on 8 bits immediate values (see O80I2RM)
when others =>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= alu_inbusb;
end case;
else
case aluopr is
when ALU_CMPS =>
abus_s <= alu_inbusa;
bbus_s <= alureg_s(15 downto 0);
when ALU_DIV | ALU_DIV2 =>
abus_s <= ax_s;
bbus_s <= alu_inbusb;
when ALU_IDIV| ALU_IDIV2 =>
abus_s <= ax_s;
bbus_s <= sign16b_s;
when ALU_MUL | ALU_MUL2 | ALU_SCAS =>
abus_s <= alu_inbusa;
bbus_s <= alu_inbusb;
when ALU_NEG | ALU_NOT =>
abus_s <= not(alu_inbusa); -- NEG instruction, not(operand)+1
bbus_s <= alu_inbusb; -- 0001 (0000 for NOT)
when others =>
abus_s <= sign16a_s;
bbus_s <= sign16b_s;
end case;
end if;
end process;
process (wl_s, aluopr, dx_s, alu_inbusa) -- dxbus for DIV/IDIV only
begin
if (wl_s='1') then -- Word, no sign extend
dxbus_s <= dx_s;
else -- Byte
if (((aluopr=ALU_IDIV) or (aluopr=ALU_IDIV2)) and (alu_inbusa(15)='1')) then -- signed DX<-SE(AX)/bbus<-SE(byte)
dxbus_s <= X"FFFF"; -- DX=FFFF (ignored for mul)
else
dxbus_s <= X"0000"; -- DX=0000 (ignored for mul)
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Carry In logic
----------------------------------------------------------------------------
process (aluopr, psrreg_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_INC | ALU_POP | ALU_NEG | ALU_NOT
=> cbus_s(0) <= '0';
when ALU_SBB | ALU_SBB_SE
=> cbus_s(0) <= not CFLAG;
when ALU_SUB | ALU_SUB_SE | ALU_DEC | ALU_PUSH | ALU_CMP | ALU_CMP_SE
| ALU_CMPS | ALU_SCAS
=> cbus_s(0) <= '1';
when others => cbus_s(0) <= CFLAG; -- ALU_ADC, ALU_SUB, ALU_SBB
end case;
end process;
----------------------------------------------------------------------------
-- Carry Out logic
-- cout is inverted for ALU_SUB and ALU_SBB before written to psrreg_s
----------------------------------------------------------------------------
process (aluopr, w, psrreg_s, cbus_s, alu_inbusa)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_SUB | ALU_SUB_SE | ALU_SBB | ALU_SBB_SE |
ALU_CMP | ALU_CMP_SE | ALU_CMPS| ALU_SCAS =>
if (w='1') then cout_s <= cbus_s(16);
else cout_s <= cbus_s(8);
end if;
when ALU_NEG => -- CF=0 if operand=0, else 1
if (alu_inbusa=X"0000") then
cout_s <= '1'; -- Note CFLAG=NOT(cout_s)
else
cout_s <= '0'; -- Note CFLAG=NOT(cout_s)
end if;
when others =>
cout_s <= CFLAG; -- Keep previous value
end case;
end process;
----------------------------------------------------------------------------
-- Overflow Logic
----------------------------------------------------------------------------
process (aluopr, w, psrreg_s, cbus_s, alureg_s, alucout_s, zflaghigh_s, zflagdx_s,hflagdx_s,zflagah_s,
hflagah_s, wl_s, product_s, c1flag_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_INC | ALU_DEC | ALU_SUB | ALU_SUB_SE |
ALU_SBB | ALU_SBB_SE | ALU_CMP | ALU_CMP_SE | ALU_CMPS | ALU_SCAS | ALU_NEG =>
if w='1' then -- 16 bits
overflow_s <= cbus_s(16) xor cbus_s(15);
else
overflow_s <= cbus_s(8) xor cbus_s(7);
end if;
when ALU_ROL1 | ALU_RCL1 | ALU_SHL1 => -- count=1 using constants as in rcl bx,1
if (((w='1') and (alureg_s(15)/=alucout_s)) or
((w='0') and (alureg_s(7) /=alucout_s))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROL | ALU_RCL | ALU_SHL => -- cl/cx=1
if (( c1flag_s='1' and w='1' and (alureg_s(15)/=alucout_s)) or
( c1flag_s='1' and w='0' and (alureg_s(7) /=alucout_s))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROR1 | ALU_RCR1 | ALU_SHR1 | ALU_SAR1 =>
if (((w='1') and (alureg_s(15)/=alureg_s(14))) or
((w='0') and (alureg_s(7) /=alureg_s(6)))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROR | ALU_RCR | ALU_SHR | ALU_SAR => -- if cl/cx=1
if ((c1flag_s='1' and w='1' and (alureg_s(15)/=alureg_s(14))) or
(c1flag_s='1' and w='0' and (alureg_s(7) /=alureg_s(6)))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_MUL | ALU_MUL2 =>
if (wl_s='0') then
overflow_s <= zflaghigh_s;
else
overflow_s <= zflagdx_s; -- MSW multiply/divide result
end if;
when ALU_IMUL | ALU_IMUL2 => -- if MSbit(1)='1' & AH=FF/DX=FFFF
if ((wl_s='0' and product_s(7)='1' and hflagah_s='1') or
(wl_s='0' and product_s(7)='0' and zflagah_s='0') or
(wl_s='1' and product_s(15)='1' and hflagdx_s='1') or
(wl_s='1' and product_s(15)='0' and zflagdx_s='0')) then
overflow_s <= '0';
else
overflow_s <= '1';
end if;
when others =>
overflow_s <= OFLAG; -- Keep previous value
end case;
end process;
----------------------------------------------------------------------------
-- Zeroflag set if result=0, zflagdx_s=1 when dx/=0, zflagah_s=1 when ah/=0
----------------------------------------------------------------------------
zflaglow_s <= alubus_s(7) or alubus_s(6) or alubus_s(5) or alubus_s(4) or
alubus_s(3) or alubus_s(2) or alubus_s(1) or alubus_s(0);
zflaghigh_s <= alubus_s(15) or alubus_s(14) or alubus_s(13) or alubus_s(12) or
alubus_s(11) or alubus_s(10) or alubus_s(9) or alubus_s(8);
zeroflag_s <= not(zflaghigh_s or zflaglow_s) when w='1' else not(zflaglow_s);
zflagdx_s <= product_s(31) or product_s(30) or product_s(29) or product_s(28) or
product_s(27) or product_s(26) or product_s(25) or product_s(24) or
product_s(23) or product_s(22) or product_s(21) or product_s(20) or
product_s(19) or product_s(18) or product_s(17) or product_s(16);
zflagah_s <= product_s(15) or product_s(14) or product_s(13) or product_s(12) or
product_s(11) or product_s(10) or product_s(09) or product_s(08);
----------------------------------------------------------------------------
-- hflag set if IMUL result AH=FF or DX=FFFF
----------------------------------------------------------------------------
hflagah_s <= product_s(15) and product_s(14) and product_s(13) and product_s(12) and
product_s(11) and product_s(10) and product_s(9) and product_s(8);
hflagdx_s <= product_s(31) and product_s(30) and product_s(29) and product_s(28) and
product_s(27) and product_s(26) and product_s(25) and product_s(24) and
product_s(23) and product_s(22) and product_s(21) and product_s(20) and
product_s(19) and product_s(18) and product_s(17) and product_s(16);
----------------------------------------------------------------------------
-- Parity flag set if even number of bits in LSB
----------------------------------------------------------------------------
parityflag_s <=not(alubus_s(7) xor alubus_s(6) xor alubus_s(5) xor alubus_s(4) xor
alubus_s(3) xor alubus_s(2) xor alubus_s(1) xor alubus_s(0));
----------------------------------------------------------------------------
-- Sign flag
----------------------------------------------------------------------------
signflag_s <= alubus_s(15) when w='1' else alubus_s(7);
----------------------------------------------------------------------------
-- c1flag asserted if CL or CX=1, used to update the OF flags during
-- rotate/shift instructions
----------------------------------------------------------------------------
c1flag_s <= '1' when (cx_s=X"0001" and w='1') OR (cx_s(7 downto 0)=X"01" and w='0') else '0';
----------------------------------------------------------------------------
-- Temp/ScratchPad Register
-- alureg_s can also be used as temp storage
-- temp<=bbus;
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
alu_temp_s<= (others => '0');
elsif rising_edge(clk) then
if (wrtemp='1') then
alu_temp_s <= bbus_s;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- ALU Register used for xchg and rotate/shift instruction
-- latch Carry Out alucout_s signal
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
alureg_s <= (others => '0');
alucout_s<= '0';
wl_s <= '0';
elsif rising_edge(clk) then
if (wralu='1') then
alureg_s(31 downto 16) <= abus_s; -- alu_inbusa;
wl_s <= w; -- Latched w version
if w='1' then -- word operation
case aluopr is
when ALU_ROL | ALU_ROL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & alureg_s(15);
alucout_s<= alureg_s(15);
when ALU_ROR | ALU_ROR1 => alureg_s(15 downto 0) <= alureg_s(0) & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_RCL | ALU_RCL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & alucout_s; -- shift carry in
alucout_s<= alureg_s(15);
when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_SHL | ALU_SHL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & '0';
alucout_s<= alureg_s(15);
when ALU_SHR | ALU_SHR1 => alureg_s(15 downto 0) <= '0' & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_SAR | ALU_SAR1 => alureg_s(15 downto 0) <= alureg_s(15) & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_TEMP => alureg_s(15 downto 0) <= bbus_s;
alucout_s<= '-'; -- Don't care!
when ALU_AAM => alureg_s(15 downto 0) <= X"000A";
alucout_s<= '-'; -- Don't care!
when others => alureg_s(15 downto 0) <= bbus_s ;--alu_inbusb; -- ALU_PASSB
alucout_s<= CFLAG;
end case;
else
case aluopr is -- To aid resource sharing add MSB byte as above
when ALU_ROL | ALU_ROL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & alureg_s(7));
alucout_s<= alureg_s(7);
when ALU_ROR | ALU_ROR1 => alureg_s(15 downto 0) <= alureg_s(0) & alureg_s(15 downto 9) & (alureg_s(0) & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_RCL | ALU_RCL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & alucout_s); -- shift carry in
alucout_s<= alureg_s(7);
-- when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 9) & (psrreg_s(0) & alureg_s(7 downto 1));
when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 9) & (alucout_s & alureg_s(7 downto 1)); -- Ver 0.82
alucout_s<= alureg_s(0);
when ALU_SHL | ALU_SHL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & '0');
alucout_s<= alureg_s(7);
when ALU_SHR | ALU_SHR1 => alureg_s(15 downto 0) <= '0' & alureg_s(15 downto 9) & ('0' & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_SAR | ALU_SAR1 => alureg_s(15 downto 0) <= alureg_s(15) & alureg_s(15 downto 9)& (alureg_s(7) & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_TEMP => alureg_s(15 downto 0) <= bbus_s;
alucout_s<= '-'; -- Don't care!
when ALU_AAM => alureg_s(15 downto 0) <= X"000A";
alucout_s<= '-'; -- Don't care!
when others => alureg_s(15 downto 0) <= bbus_s ;--alu_inbusb -- ALU_PASSB
alucout_s<= CFLAG;
end case;
end if;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- AAS Instruction 3F
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,aas1bus_s)
begin
aas1bus_s<=alu_inbusa-X"0106";
if ((alu_inbusa(3 downto 0) > "1001") or (psrreg_s(4)='1')) then
aasbus_s <= aas1bus_s(15 downto 8)&X"0"&aas1bus_s(3 downto 0);
setaas_s <= '1'; -- Set CF and AF flag
else
aasbus_s(7 downto 0) <= X"0"&(alu_inbusa(3 downto 0)); -- AL=AL&0Fh
aasbus_s(15 downto 8)<= alu_inbusa(15 downto 8); -- leave AH unchanged
setaas_s <= '0'; -- Clear CF and AF flag
end if;
end process;
----------------------------------------------------------------------------
-- AAA Instruction 37
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,aaa1bus_s)
begin
aaa1bus_s<=alu_inbusa+X"0106";
if ((alu_inbusa(3 downto 0) > "1001") or (psrreg_s(4)='1')) then
aaabus_s <= aaa1bus_s(15 downto 8)&X"0"&aaa1bus_s(3 downto 0);
setaaa_s <= '1'; -- Set CF and AF flag
else
aaabus_s(7 downto 0) <= X"0"&alu_inbusa(3 downto 0); -- AL=AL&0Fh
aaabus_s(15 downto 8)<= alu_inbusa(15 downto 8); -- AH Unchanged
setaaa_s <= '0'; -- Clear CF and AF flag
end if;
end process;
----------------------------------------------------------------------------
-- DAA Instruction 27
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,setdaa_s)
begin
if ((alu_inbusa(3 downto 0) > X"9") or (psrreg_s(4)='1')) then
setdaa_s(0) <= '1'; -- set AF
else
setdaa_s(0) <= '0'; -- clr AF
end if;
if ((alu_inbusa(7 downto 0) > X"9F") or (psrreg_s(0)='1') or (alu_inbusa(7 downto 0) > X"99")) then
setdaa_s(1) <= '1'; -- set CF
else
setdaa_s(1) <= '0'; -- clr CF
end if;
case setdaa_s is
when "00" => daabus_s <= alu_inbusa(7 downto 0);
when "01" => daabus_s <= alu_inbusa(7 downto 0) + X"06";
when "10" => daabus_s <= alu_inbusa(7 downto 0) + X"60";
when others => daabus_s <= alu_inbusa(7 downto 0) + X"66";
end case;
end process;
----------------------------------------------------------------------------
-- DAS Instruction 2F
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,setdas_s)
begin
if ((alu_inbusa(3 downto 0) > X"9") or (psrreg_s(4)='1')) then
setdas_s(0) <= '1'; -- set AF
else
setdas_s(0) <= '0'; -- clr AF
end if;
if ((alu_inbusa(7 downto 0) > X"9F") or (psrreg_s(0)='1') or (alu_inbusa(7 downto 0) > X"99")) then
setdas_s(1) <= '1'; -- set CF
else
setdas_s(1) <= '0'; -- clr CF
end if;
case setdas_s is
when "00" => dasbus_s <= alu_inbusa(7 downto 0);
when "01" => dasbus_s <= alu_inbusa(7 downto 0) - X"06";
when "10" => dasbus_s <= alu_inbusa(7 downto 0) - X"60";
when others => dasbus_s <= alu_inbusa(7 downto 0) - X"66";
end case;
end process;
----------------------------------------------------------------------------
-- AAD Instruction 5D 0A
----------------------------------------------------------------------------
process (alu_inbusa,aad1bus_s,aad2bus_s)
begin
aad1bus_s <= ("00" & alu_inbusa(15 downto 8) & '0') + (alu_inbusa(15 downto 8) & "000"); -- AH*2 + AH*8
aad2bus_s <= aad1bus_s + ("000" & alu_inbusa(7 downto 0)); -- + AL
aadbus_s<= "00000000" & aad2bus_s(7 downto 0);
end process;
----------------------------------------------------------------------------
-- ALU Operation
----------------------------------------------------------------------------
process (aluopr,abus_s,bbus_s,outbus_s,psrreg_s,alureg_s,aasbus_s,aaabus_s,daabus_s,sign16a_s,
sign16b_s,sign32a_s,dasbus_s,product_s,divresult_s,alu_temp_s,aadbus_s,quotient_s,remainder_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_INC | ALU_POP | ALU_SUB | ALU_SUB_SE | ALU_DEC | ALU_PUSH | ALU_CMP | ALU_CMP_SE |
ALU_CMPS | ALU_ADC | ALU_ADC_SE | ALU_SBB | ALU_SBB_SE | ALU_SCAS | ALU_NEG | ALU_NOT
=> alubus_s <= outbus_s;
when ALU_OR | ALU_OR_SE
=> alubus_s <= abus_s OR bbus_s;
when ALU_AND | ALU_AND_SE | ALU_TEST0 | ALU_TEST1 | ALU_TEST2
=> alubus_s <= abus_s AND bbus_s;
when ALU_XOR | ALU_XOR_SE
=> alubus_s <= abus_s XOR bbus_s;
when ALU_LAHF => alubus_s <= psrreg_s(15 downto 2)&'1'&psrreg_s(0);-- flags onto ALUBUS, note reserved bit1=1
when ALU_MUL | ALU_IMUL
=> alubus_s <= product_s(15 downto 0); -- AX of Multiplier
when ALU_MUL2| ALU_IMUL2
=> alubus_s <= product_s(31 downto 16); -- DX of Multiplier
when ALU_DIV | ALU_IDIV
=> alubus_s <= divresult_s(15 downto 0);-- AX of Divider (quotient)
when ALU_DIV2| ALU_IDIV2
=> alubus_s <= divresult_s(31 downto 16);-- DX of Divider (remainder)
when ALU_SEXT => alubus_s <= sign16a_s; -- Used for CBW Instruction
when ALU_SEXTW => alubus_s <= sign32a_s; -- Used for CWD Instruction
when ALU_AAS => alubus_s <= aasbus_s; -- Used for AAS Instruction
when ALU_AAA => alubus_s <= aaabus_s; -- Used for AAA Instruction
when ALU_DAA => alubus_s <= abus_s(15 downto 8) & daabus_s;-- Used for DAA Instruction
when ALU_DAS => alubus_s <= abus_s(15 downto 8) & dasbus_s;-- Used for DAS Instruction
when ALU_AAD => alubus_s <= aadbus_s; -- Used for AAD Instruction
when ALU_AAM => alubus_s <= quotient_s(7 downto 0) & remainder_s(7 downto 0); -- Used for AAM Instruction
when ALU_ROL | ALU_ROL1 | ALU_ROR | ALU_ROR1 | ALU_RCL | ALU_RCL1 | ALU_RCR | ALU_RCR1 |
ALU_SHL | ALU_SHL1 | ALU_SHR | ALU_SHR1 | ALU_SAR | ALU_SAR1 | ALU_REGL
=> alubus_s <= alureg_s(15 downto 0); -- alu_inbusb to output
when ALU_REGH => alubus_s <= alureg_s(31 downto 16); -- alu_inbusa to output
when ALU_PASSA => alubus_s <= abus_s;
--when ALU_PASSB => alubus_s <= bbus_s;
when ALU_TEMP => alubus_s <= alu_temp_s;
when others => alubus_s <= DONTCARE(15 downto 0);
end case;
end process;
alubus <= alubus_s; -- Connect to entity
----------------------------------------------------------------------------
-- Processor Status Register (Flags)
-- bit Flag
-- 15 Reserved
-- 14 Reserved
-- 13 Reserved Set to 1?
-- 12 Reserved Set to 1?
-- 11 Overflow Flag OF
-- 10 Direction Flag DF
-- 9 Interrupt Flag IF
-- 8 Trace Flag TF
-- 7 Sign Flag SF
-- 6 Zero Flag ZF
-- 5 Reserved
-- 4 Auxiliary Carry AF
-- 3 Reserved
-- 2 Parity Flag PF
-- 1 Reserved Set to 1 ????
-- 0 Carry Flag
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
psrreg_s <= "1111000000000010";
elsif rising_edge(clk) then
if (wrcc='1') then
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_INC =>
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= bit4_s;
PFLAG <= parityflag_s;
CFLAG <= cout_s;
when ALU_DEC => -- Same as for ALU_SUB exclusing the CFLAG :-(
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= not bit4_s;
PFLAG <= parityflag_s;
when ALU_SUB | ALU_SUB_SE | ALU_SBB | ALU_SBB_SE | ALU_CMP |
ALU_CMP_SE | ALU_CMPS | ALU_SCAS | ALU_NEG =>
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= not bit4_s;
PFLAG <= parityflag_s;
CFLAG <= not cout_s;
when ALU_OR | ALU_OR_SE | ALU_AND | ALU_AND_SE | ALU_XOR | ALU_XOR_SE | ALU_TEST0 | ALU_TEST1 | ALU_TEST2 =>
OFLAG <= '0';
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= '0'; -- None defined, set to 0 to be compatible with debug
PFLAG <= parityflag_s;
CFLAG <= '0';
when ALU_SHL | ALU_SHR | ALU_SAR |
ALU_SHR1 | ALU_SAR1 | ALU_SHL1 =>
OFLAG <= overflow_s;
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
CFLAG <= alucout_s;
when ALU_CLC =>
CFLAG <= '0';
when ALU_CMC =>
CFLAG <= not CFLAG;
when ALU_STC =>
CFLAG <= '1';
when ALU_CLD =>
DFLAG <= '0';
when ALU_STD =>
DFLAG <= '1';
when ALU_CLI =>
IFLAG <= '0';
when ALU_STI =>
IFLAG <= '1';
when ALU_POP => -- Note only POPF executes a WRCC command, thus save for other pops
psrreg_s <= "1111" & alu_inbusa(11 downto 0);
when ALU_SAHF => -- Write all AH bits (not compatible!)
psrreg_s(7 downto 0) <= alu_inbusa(7 downto 6) & '0' & alu_inbusa(4) & '0' &
alu_inbusa(2) & '0' & alu_inbusa(0);-- SAHF only writes bits 7,6,4,2,0
when ALU_AAS =>
AFLAG <= setaas_s; -- set or clear CF/AF flag
CFLAG <= setaas_s;
SFLAG <= '0';
when ALU_AAA =>
AFLAG <= setaaa_s; -- set or clear CF/AF flag
CFLAG <= setaaa_s;
when ALU_DAA =>
AFLAG <= setdaa_s(0); -- set or clear CF/AF flag
CFLAG <= setdaa_s(1);
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
when ALU_AAD =>
SFLAG <= alubus_s(7); --signflag_s;
PFLAG <= parityflag_s;
ZFLAG <= zeroflag_s;
when ALU_AAM =>
SFLAG <= signflag_s;
PFLAG <= parityflag_s;
ZFLAG <= not(zflaglow_s); -- signflag on AL only
when ALU_DAS =>
AFLAG <= setdas_s(0); -- set or clear CF/AF flag
CFLAG <= setdas_s(1);
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
-- Shift Rotate Instructions
when ALU_ROL | ALU_ROR | ALU_RCL | ALU_RCR |
ALU_ROL1 | ALU_RCL1 | ALU_ROR1 | ALU_RCR1 =>
CFLAG <= alucout_s;
OFLAG <= overflow_s;
when ALU_MUL | ALU_MUL2 | ALU_IMUL | ALU_IMUL2 => -- Multiply affects CF&OF only
CFLAG <= overflow_s;
OFLAG <= overflow_s;
when ALU_CLRTIF => -- Clear TF and IF flag
IFLAG <= '0';
TFLAG <= '0';
when others =>
psrreg_s <= psrreg_s;
end case;
end if;
end if;
end process;
ccbus <= psrreg_s; -- Connect to entity
-- Latch Divide by 0 error flag & latched divresult.
-- Requires a MCP from all registers to these endpoint registers!
process (clk, reset)
begin
if reset='1' then
div_err <= '0';
divresult_s <= (others => '0');
elsif rising_edge(clk) then
if done_s='1' then -- Latched pulse generated by serial divider
div_err <= div_err_s; -- Divide Overflow
-- pragma synthesis_off
assert div_err_s='0' report "**** Divide Overflow ***" severity note;
-- pragma synthesis_on
if wl_s='1' then -- Latched version required?
divresult_s <= remainder_s & quotient_s;
else
divresult_s <= remainder_s & remainder_s(7 downto 0) & quotient_s(7 downto 0);
end if;
else
div_err <= '0';
end if;
end if;
end process;
end rtl;
|
-------------------------------------------------------------------------------
-- CPU86 - VHDL CPU8088 IP core --
-- Copyright (C) 2002-2008 HT-LAB --
-- --
-- Contact/bugs : http://www.ht-lab.com/misc/feedback.html --
-- Web : http://www.ht-lab.com --
-- --
-- CPU86 is released as open-source under the GNU GPL license. This means --
-- that designs based on CPU86 must be distributed in full source code --
-- under the same license. Contact HT-Lab for commercial applications where --
-- source-code distribution is not desirable. --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-- Ver 0.82 Fixed RCR X,CL --
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_arith.ALL;
USE work.cpu86pack.ALL;
ENTITY ALU IS
PORT(
alu_inbusa : IN std_logic_vector (15 DOWNTO 0);
alu_inbusb : IN std_logic_vector (15 DOWNTO 0);
aluopr : IN std_logic_vector (6 DOWNTO 0);
ax_s : IN std_logic_vector (15 DOWNTO 0);
clk : IN std_logic;
cx_s : IN std_logic_vector (15 DOWNTO 0);
dx_s : IN std_logic_vector (15 DOWNTO 0);
reset : IN std_logic;
w : IN std_logic;
wralu : IN std_logic;
wrcc : IN std_logic;
wrtemp : IN std_logic;
alubus : OUT std_logic_vector (15 DOWNTO 0);
ccbus : OUT std_logic_vector (15 DOWNTO 0);
div_err : OUT std_logic
);
END ALU ;
architecture rtl of alu is
component divider is -- Generic Divider
generic(
WIDTH_DIVID : Integer := 32; -- Width Dividend
WIDTH_DIVIS : Integer := 16; -- Width Divisor
WIDTH_SHORT : Integer := 8); -- Check Overflow against short Byte/Word
port(
clk : in std_logic; -- System Clock, not used in this architecture
reset : in std_logic; -- Active high, not used in this architecture
dividend : in std_logic_vector (WIDTH_DIVID-1 DOWNTO 0);
divisor : in std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0);
quotient : out std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0); -- changed to 16 bits!! (S not D)
remainder : out std_logic_vector (WIDTH_DIVIS-1 DOWNTO 0);
twocomp : in std_logic; -- '1' = 2's Complement, '0' = Unsigned
w : in std_logic; -- '0'=byte, '1'=word (cpu processor)
overflow : out std_logic; -- '1' if div by 0 or overflow
start : in std_logic; -- not used in this architecture
done : out std_logic); -- not used in this architecture
end component divider;
component multiplier is -- Generic Multiplier
generic (WIDTH : integer := 16);
port (multiplicant : in std_logic_vector (WIDTH-1 downto 0);
multiplier : in std_logic_vector (WIDTH-1 downto 0);
product : out std_logic_vector (WIDTH+WIDTH-1 downto 0);-- result
twocomp : in std_logic);
end component multiplier;
signal product_s : std_logic_vector(31 downto 0); -- result multiplier
signal dividend_s : std_logic_vector(31 downto 0); -- Input divider
signal remainder_s : std_logic_vector(15 downto 0); -- Divider result
signal quotient_s : std_logic_vector(15 downto 0); -- Divider result
signal divresult_s : std_logic_vector(31 DOWNTO 0); -- Output divider to alubus
signal div_err_s : std_logic; -- Divide by 0
signal twocomp_s : std_logic; -- Sign Extend for IMUL and IDIV
signal wl_s : std_logic; -- Latched w signal, used for muliplier/divider
signal alubus_s : std_logic_vector (15 DOWNTO 0);
signal abus_s : std_logic_vector(15 downto 0);
signal bbus_s : std_logic_vector(15 downto 0);
signal dxbus_s : std_logic_vector(15 downto 0); -- DX register
signal addbbus_s : std_logic_vector(15 downto 0); -- bbus connected to full adder
signal cbus_s : std_logic_vector(16 downto 0); -- Carry Bus
signal outbus_s : std_logic_vector(15 downto 0); -- outbus=abus+bbus
signal sign16a_s : std_logic_vector(15 downto 0); -- sign extended alu_busa(7 downto 0)
signal sign16b_s : std_logic_vector(15 downto 0); -- sign extended alu_busb(7 downto 0)
signal sign32a_s : std_logic_vector(15 downto 0); -- 16 bits alu_busa(15) vector (CWD)
signal aasbus_s : std_logic_vector(15 downto 0); -- used for AAS instruction
signal aas1bus_s : std_logic_vector(15 downto 0);
signal daabus_s : std_logic_vector(7 downto 0); -- used for DAA instruction
signal dasbus_s : std_logic_vector(7 downto 0); -- used for DAS instruction
signal aaabus_s : std_logic_vector(15 downto 0); -- used for AAA instruction
signal aaa1bus_s : std_logic_vector(15 downto 0);
signal aadbus_s : std_logic_vector(15 downto 0); -- used for AAD instruction
signal aad1bus_s : std_logic_vector(10 downto 0);
signal aad2bus_s : std_logic_vector(10 downto 0);
signal setaas_s : std_logic; -- '1' set CF & AF else both 0
signal setaaa_s : std_logic; -- '1' set CF & AF else both 0
signal setdaa_s : std_logic_vector(1 downto 0); -- "11" set CF & AF
signal setdas_s : std_logic_vector(1 downto 0); -- "11" set CF & AF
signal bit4_s : std_logic; -- used for AF flag
signal cout_s : std_logic;
signal psrreg_s : std_logic_vector(15 downto 0); -- 16 bits flag register
signal zflaglow_s : std_logic; -- low byte zero flag (w=0)
signal zflaghigh_s : std_logic; -- high byte zero flag (w=1)
signal zeroflag_s : std_logic; -- zero flag, asserted when zero
signal c1flag_s : std_logic; -- Asserted when CX=1(w=1) or CL=1(w=0)
signal zflagdx_s : std_logic; -- Result (DX) zero flag, asserted when not zero (used for mul/imul)
signal zflagah_s : std_logic; -- '1' if IMUL(15..8)/=0
signal hflagah_s : std_logic; -- Used for IMUL
signal hflagdx_s : std_logic; -- Used for IMUL
signal overflow_s : std_logic;
signal parityflag_s: std_logic;
signal signflag_s : std_logic;
alias OFLAG : std_logic is psrreg_s(11);
alias DFLAG : std_logic is psrreg_s(10);
alias IFLAG : std_logic is psrreg_s(9);
alias TFLAG : std_logic is psrreg_s(8);
alias SFLAG : std_logic is psrreg_s(7);
alias ZFLAG : std_logic is psrreg_s(6);
alias AFLAG : std_logic is psrreg_s(4);
alias PFLAG : std_logic is psrreg_s(2);
alias CFLAG : std_logic is psrreg_s(0);
signal alureg_s : std_logic_vector(31 downto 0); -- 31 bits temp register for alu_inbusa & alu_inbusb
signal alucout_s : std_logic; -- ALUREG Carry Out signal
signal alu_temp_s : std_logic_vector(15 downto 0); -- Temp/scratchpad register, use ALU_TEMP to select
signal done_s : std_logic; -- Serial divider conversion done
signal startdiv_s : std_logic; -- Serial divider start pulse
begin
ALUU1 : divider
generic map (WIDTH_DIVID => 32, WIDTH_DIVIS => 16, WIDTH_SHORT => 8)
port map (clk => clk,
reset => reset,
dividend => dividend_s, -- DX:AX
divisor => alureg_s(15 downto 0), -- 0&byte/word
--divisor => bbus_s, -- byte/word
quotient => quotient_s, -- 16 bits
remainder => remainder_s, -- 16 bits
twocomp => twocomp_s,
w => wl_s, -- Byte/Word
overflow => div_err_s, -- Divider Overflow. generate int0
start => startdiv_s, -- start conversion, generated by proc
done => done_s); -- conversion done, latch results
ALUU2 : multiplier
generic map (WIDTH => 16) -- Result is 2*WIDTH bits
port map (multiplicant=> alureg_s(31 downto 16),
multiplier => alureg_s(15 downto 0),
product => product_s, -- 32 bits!
twocomp => twocomp_s);
dividend_s <= X"000000"&alureg_s(23 downto 16) when aluopr=ALU_AAM else dxbus_s & alureg_s(31 downto 16);-- DX is sign extended for byte IDIV
-- start serial divider 1 cycle after wralu pulse received. The reason is that the dividend is loaded into the
-- accumulator thus the data must be valid when this happens.
process (clk, reset)
begin
if reset='1' then
startdiv_s <= '0';
elsif rising_edge(clk) then
if (wralu='1' and (aluopr=ALU_DIV or aluopr=ALU_IDIV OR aluopr=ALU_AAM)) then
startdiv_s <= '1';
else
startdiv_s <= '0';
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Create Full adder
----------------------------------------------------------------------------
fulladd: for bit_nr in 0 to 15 generate
outbus_s(bit_nr) <= abus_s(bit_nr) xor addbbus_s(bit_nr) xor cbus_s(bit_nr);
cbus_s(bit_nr+1) <= (abus_s(bit_nr) and addbbus_s(bit_nr)) or
(abus_s(bit_nr) and cbus_s(bit_nr)) or
(addbbus_s(bit_nr) and cbus_s(bit_nr));
end generate fulladd;
bit4_s <= cbus_s(4);
sign16a_s <= alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7)&alu_inbusa(7)&
alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7) &alu_inbusa(7 downto 0);
sign16b_s <= alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7)&alu_inbusb(7)&
alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7) &alu_inbusb(7 downto 0);
sign32a_s <= alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&alu_inbusa(15)&
alu_inbusa(15);
-- Invert bus for subtract instructions
addbbus_s <= not bbus_s when ((aluopr=ALU_CMP) or (aluopr=ALU_CMP_SE) or (aluopr=ALU_CMPS) or (aluopr=ALU_DEC)
or (aluopr=ALU_SBB) or (aluopr=ALU_SBB_SE) or (aluopr=ALU_PUSH) or (aluopr=ALU_SUB)
or (aluopr=ALU_SUB_SE) or (aluopr=ALU_SCAS)) else bbus_s;
-- sign extend for IDIV and IMUL instructions
twocomp_s <= '1' when ((aluopr=ALU_IDIV) or (aluopr=ALU_IMUL) or
(aluopr=ALU_IDIV2)or (aluopr=ALU_IMUL2)) else '0';
----------------------------------------------------------------------------
-- Sign Extend Logic abus & bbus & dxbus
----------------------------------------------------------------------------
process (w, alu_inbusa, alu_inbusb, sign16a_s, sign16b_s, aluopr, ax_s, alureg_s)
begin
if (w='1') then -- Word, no sign extend, unless signextend is specified
case aluopr is
when ALU_CMPS =>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= alureg_s(15 downto 0); -- previous read ES:[DI]
when ALU_NEG | ALU_NOT =>
abus_s <= not(alu_inbusa); -- NEG instruction, not(operand)+1
bbus_s <= alu_inbusb; -- 0001 (0000 for NOT)
when ALU_ADD_SE | ALU_ADC_SE | ALU_SBB_SE | ALU_SUB_SE | ALU_CMP_SE |
ALU_OR_SE | ALU_AND_SE | ALU_XOR_SE=>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= sign16b_s; -- Sign extend on 8 bits immediate values (see O80I2RM)
when others =>
abus_s <= alu_inbusa; -- no sign extend
bbus_s <= alu_inbusb;
end case;
else
case aluopr is
when ALU_CMPS =>
abus_s <= alu_inbusa;
bbus_s <= alureg_s(15 downto 0);
when ALU_DIV | ALU_DIV2 =>
abus_s <= ax_s;
bbus_s <= alu_inbusb;
when ALU_IDIV| ALU_IDIV2 =>
abus_s <= ax_s;
bbus_s <= sign16b_s;
when ALU_MUL | ALU_MUL2 | ALU_SCAS =>
abus_s <= alu_inbusa;
bbus_s <= alu_inbusb;
when ALU_NEG | ALU_NOT =>
abus_s <= not(alu_inbusa); -- NEG instruction, not(operand)+1
bbus_s <= alu_inbusb; -- 0001 (0000 for NOT)
when others =>
abus_s <= sign16a_s;
bbus_s <= sign16b_s;
end case;
end if;
end process;
process (wl_s, aluopr, dx_s, alu_inbusa) -- dxbus for DIV/IDIV only
begin
if (wl_s='1') then -- Word, no sign extend
dxbus_s <= dx_s;
else -- Byte
if (((aluopr=ALU_IDIV) or (aluopr=ALU_IDIV2)) and (alu_inbusa(15)='1')) then -- signed DX<-SE(AX)/bbus<-SE(byte)
dxbus_s <= X"FFFF"; -- DX=FFFF (ignored for mul)
else
dxbus_s <= X"0000"; -- DX=0000 (ignored for mul)
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Carry In logic
----------------------------------------------------------------------------
process (aluopr, psrreg_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_INC | ALU_POP | ALU_NEG | ALU_NOT
=> cbus_s(0) <= '0';
when ALU_SBB | ALU_SBB_SE
=> cbus_s(0) <= not CFLAG;
when ALU_SUB | ALU_SUB_SE | ALU_DEC | ALU_PUSH | ALU_CMP | ALU_CMP_SE
| ALU_CMPS | ALU_SCAS
=> cbus_s(0) <= '1';
when others => cbus_s(0) <= CFLAG; -- ALU_ADC, ALU_SUB, ALU_SBB
end case;
end process;
----------------------------------------------------------------------------
-- Carry Out logic
-- cout is inverted for ALU_SUB and ALU_SBB before written to psrreg_s
----------------------------------------------------------------------------
process (aluopr, w, psrreg_s, cbus_s, alu_inbusa)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_SUB | ALU_SUB_SE | ALU_SBB | ALU_SBB_SE |
ALU_CMP | ALU_CMP_SE | ALU_CMPS| ALU_SCAS =>
if (w='1') then cout_s <= cbus_s(16);
else cout_s <= cbus_s(8);
end if;
when ALU_NEG => -- CF=0 if operand=0, else 1
if (alu_inbusa=X"0000") then
cout_s <= '1'; -- Note CFLAG=NOT(cout_s)
else
cout_s <= '0'; -- Note CFLAG=NOT(cout_s)
end if;
when others =>
cout_s <= CFLAG; -- Keep previous value
end case;
end process;
----------------------------------------------------------------------------
-- Overflow Logic
----------------------------------------------------------------------------
process (aluopr, w, psrreg_s, cbus_s, alureg_s, alucout_s, zflaghigh_s, zflagdx_s,hflagdx_s,zflagah_s,
hflagah_s, wl_s, product_s, c1flag_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_INC | ALU_DEC | ALU_SUB | ALU_SUB_SE |
ALU_SBB | ALU_SBB_SE | ALU_CMP | ALU_CMP_SE | ALU_CMPS | ALU_SCAS | ALU_NEG =>
if w='1' then -- 16 bits
overflow_s <= cbus_s(16) xor cbus_s(15);
else
overflow_s <= cbus_s(8) xor cbus_s(7);
end if;
when ALU_ROL1 | ALU_RCL1 | ALU_SHL1 => -- count=1 using constants as in rcl bx,1
if (((w='1') and (alureg_s(15)/=alucout_s)) or
((w='0') and (alureg_s(7) /=alucout_s))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROL | ALU_RCL | ALU_SHL => -- cl/cx=1
if (( c1flag_s='1' and w='1' and (alureg_s(15)/=alucout_s)) or
( c1flag_s='1' and w='0' and (alureg_s(7) /=alucout_s))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROR1 | ALU_RCR1 | ALU_SHR1 | ALU_SAR1 =>
if (((w='1') and (alureg_s(15)/=alureg_s(14))) or
((w='0') and (alureg_s(7) /=alureg_s(6)))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_ROR | ALU_RCR | ALU_SHR | ALU_SAR => -- if cl/cx=1
if ((c1flag_s='1' and w='1' and (alureg_s(15)/=alureg_s(14))) or
(c1flag_s='1' and w='0' and (alureg_s(7) /=alureg_s(6)))) then
overflow_s <= '1';
else
overflow_s <= '0';
end if;
when ALU_MUL | ALU_MUL2 =>
if (wl_s='0') then
overflow_s <= zflaghigh_s;
else
overflow_s <= zflagdx_s; -- MSW multiply/divide result
end if;
when ALU_IMUL | ALU_IMUL2 => -- if MSbit(1)='1' & AH=FF/DX=FFFF
if ((wl_s='0' and product_s(7)='1' and hflagah_s='1') or
(wl_s='0' and product_s(7)='0' and zflagah_s='0') or
(wl_s='1' and product_s(15)='1' and hflagdx_s='1') or
(wl_s='1' and product_s(15)='0' and zflagdx_s='0')) then
overflow_s <= '0';
else
overflow_s <= '1';
end if;
when others =>
overflow_s <= OFLAG; -- Keep previous value
end case;
end process;
----------------------------------------------------------------------------
-- Zeroflag set if result=0, zflagdx_s=1 when dx/=0, zflagah_s=1 when ah/=0
----------------------------------------------------------------------------
zflaglow_s <= alubus_s(7) or alubus_s(6) or alubus_s(5) or alubus_s(4) or
alubus_s(3) or alubus_s(2) or alubus_s(1) or alubus_s(0);
zflaghigh_s <= alubus_s(15) or alubus_s(14) or alubus_s(13) or alubus_s(12) or
alubus_s(11) or alubus_s(10) or alubus_s(9) or alubus_s(8);
zeroflag_s <= not(zflaghigh_s or zflaglow_s) when w='1' else not(zflaglow_s);
zflagdx_s <= product_s(31) or product_s(30) or product_s(29) or product_s(28) or
product_s(27) or product_s(26) or product_s(25) or product_s(24) or
product_s(23) or product_s(22) or product_s(21) or product_s(20) or
product_s(19) or product_s(18) or product_s(17) or product_s(16);
zflagah_s <= product_s(15) or product_s(14) or product_s(13) or product_s(12) or
product_s(11) or product_s(10) or product_s(09) or product_s(08);
----------------------------------------------------------------------------
-- hflag set if IMUL result AH=FF or DX=FFFF
----------------------------------------------------------------------------
hflagah_s <= product_s(15) and product_s(14) and product_s(13) and product_s(12) and
product_s(11) and product_s(10) and product_s(9) and product_s(8);
hflagdx_s <= product_s(31) and product_s(30) and product_s(29) and product_s(28) and
product_s(27) and product_s(26) and product_s(25) and product_s(24) and
product_s(23) and product_s(22) and product_s(21) and product_s(20) and
product_s(19) and product_s(18) and product_s(17) and product_s(16);
----------------------------------------------------------------------------
-- Parity flag set if even number of bits in LSB
----------------------------------------------------------------------------
parityflag_s <=not(alubus_s(7) xor alubus_s(6) xor alubus_s(5) xor alubus_s(4) xor
alubus_s(3) xor alubus_s(2) xor alubus_s(1) xor alubus_s(0));
----------------------------------------------------------------------------
-- Sign flag
----------------------------------------------------------------------------
signflag_s <= alubus_s(15) when w='1' else alubus_s(7);
----------------------------------------------------------------------------
-- c1flag asserted if CL or CX=1, used to update the OF flags during
-- rotate/shift instructions
----------------------------------------------------------------------------
c1flag_s <= '1' when (cx_s=X"0001" and w='1') OR (cx_s(7 downto 0)=X"01" and w='0') else '0';
----------------------------------------------------------------------------
-- Temp/ScratchPad Register
-- alureg_s can also be used as temp storage
-- temp<=bbus;
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
alu_temp_s<= (others => '0');
elsif rising_edge(clk) then
if (wrtemp='1') then
alu_temp_s <= bbus_s;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- ALU Register used for xchg and rotate/shift instruction
-- latch Carry Out alucout_s signal
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
alureg_s <= (others => '0');
alucout_s<= '0';
wl_s <= '0';
elsif rising_edge(clk) then
if (wralu='1') then
alureg_s(31 downto 16) <= abus_s; -- alu_inbusa;
wl_s <= w; -- Latched w version
if w='1' then -- word operation
case aluopr is
when ALU_ROL | ALU_ROL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & alureg_s(15);
alucout_s<= alureg_s(15);
when ALU_ROR | ALU_ROR1 => alureg_s(15 downto 0) <= alureg_s(0) & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_RCL | ALU_RCL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & alucout_s; -- shift carry in
alucout_s<= alureg_s(15);
when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_SHL | ALU_SHL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 0) & '0';
alucout_s<= alureg_s(15);
when ALU_SHR | ALU_SHR1 => alureg_s(15 downto 0) <= '0' & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_SAR | ALU_SAR1 => alureg_s(15 downto 0) <= alureg_s(15) & alureg_s(15 downto 1);
alucout_s<= alureg_s(0);
when ALU_TEMP => alureg_s(15 downto 0) <= bbus_s;
alucout_s<= '-'; -- Don't care!
when ALU_AAM => alureg_s(15 downto 0) <= X"000A";
alucout_s<= '-'; -- Don't care!
when others => alureg_s(15 downto 0) <= bbus_s ;--alu_inbusb; -- ALU_PASSB
alucout_s<= CFLAG;
end case;
else
case aluopr is -- To aid resource sharing add MSB byte as above
when ALU_ROL | ALU_ROL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & alureg_s(7));
alucout_s<= alureg_s(7);
when ALU_ROR | ALU_ROR1 => alureg_s(15 downto 0) <= alureg_s(0) & alureg_s(15 downto 9) & (alureg_s(0) & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_RCL | ALU_RCL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & alucout_s); -- shift carry in
alucout_s<= alureg_s(7);
-- when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 9) & (psrreg_s(0) & alureg_s(7 downto 1));
when ALU_RCR | ALU_RCR1 => alureg_s(15 downto 0) <= alucout_s & alureg_s(15 downto 9) & (alucout_s & alureg_s(7 downto 1)); -- Ver 0.82
alucout_s<= alureg_s(0);
when ALU_SHL | ALU_SHL1 => alureg_s(15 downto 0) <= alureg_s(14 downto 7) & (alureg_s(6 downto 0) & '0');
alucout_s<= alureg_s(7);
when ALU_SHR | ALU_SHR1 => alureg_s(15 downto 0) <= '0' & alureg_s(15 downto 9) & ('0' & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_SAR | ALU_SAR1 => alureg_s(15 downto 0) <= alureg_s(15) & alureg_s(15 downto 9)& (alureg_s(7) & alureg_s(7 downto 1));
alucout_s<= alureg_s(0);
when ALU_TEMP => alureg_s(15 downto 0) <= bbus_s;
alucout_s<= '-'; -- Don't care!
when ALU_AAM => alureg_s(15 downto 0) <= X"000A";
alucout_s<= '-'; -- Don't care!
when others => alureg_s(15 downto 0) <= bbus_s ;--alu_inbusb -- ALU_PASSB
alucout_s<= CFLAG;
end case;
end if;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- AAS Instruction 3F
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,aas1bus_s)
begin
aas1bus_s<=alu_inbusa-X"0106";
if ((alu_inbusa(3 downto 0) > "1001") or (psrreg_s(4)='1')) then
aasbus_s <= aas1bus_s(15 downto 8)&X"0"&aas1bus_s(3 downto 0);
setaas_s <= '1'; -- Set CF and AF flag
else
aasbus_s(7 downto 0) <= X"0"&(alu_inbusa(3 downto 0)); -- AL=AL&0Fh
aasbus_s(15 downto 8)<= alu_inbusa(15 downto 8); -- leave AH unchanged
setaas_s <= '0'; -- Clear CF and AF flag
end if;
end process;
----------------------------------------------------------------------------
-- AAA Instruction 37
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,aaa1bus_s)
begin
aaa1bus_s<=alu_inbusa+X"0106";
if ((alu_inbusa(3 downto 0) > "1001") or (psrreg_s(4)='1')) then
aaabus_s <= aaa1bus_s(15 downto 8)&X"0"&aaa1bus_s(3 downto 0);
setaaa_s <= '1'; -- Set CF and AF flag
else
aaabus_s(7 downto 0) <= X"0"&alu_inbusa(3 downto 0); -- AL=AL&0Fh
aaabus_s(15 downto 8)<= alu_inbusa(15 downto 8); -- AH Unchanged
setaaa_s <= '0'; -- Clear CF and AF flag
end if;
end process;
----------------------------------------------------------------------------
-- DAA Instruction 27
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,setdaa_s)
begin
if ((alu_inbusa(3 downto 0) > X"9") or (psrreg_s(4)='1')) then
setdaa_s(0) <= '1'; -- set AF
else
setdaa_s(0) <= '0'; -- clr AF
end if;
if ((alu_inbusa(7 downto 0) > X"9F") or (psrreg_s(0)='1') or (alu_inbusa(7 downto 0) > X"99")) then
setdaa_s(1) <= '1'; -- set CF
else
setdaa_s(1) <= '0'; -- clr CF
end if;
case setdaa_s is
when "00" => daabus_s <= alu_inbusa(7 downto 0);
when "01" => daabus_s <= alu_inbusa(7 downto 0) + X"06";
when "10" => daabus_s <= alu_inbusa(7 downto 0) + X"60";
when others => daabus_s <= alu_inbusa(7 downto 0) + X"66";
end case;
end process;
----------------------------------------------------------------------------
-- DAS Instruction 2F
----------------------------------------------------------------------------
process (alu_inbusa,psrreg_s,setdas_s)
begin
if ((alu_inbusa(3 downto 0) > X"9") or (psrreg_s(4)='1')) then
setdas_s(0) <= '1'; -- set AF
else
setdas_s(0) <= '0'; -- clr AF
end if;
if ((alu_inbusa(7 downto 0) > X"9F") or (psrreg_s(0)='1') or (alu_inbusa(7 downto 0) > X"99")) then
setdas_s(1) <= '1'; -- set CF
else
setdas_s(1) <= '0'; -- clr CF
end if;
case setdas_s is
when "00" => dasbus_s <= alu_inbusa(7 downto 0);
when "01" => dasbus_s <= alu_inbusa(7 downto 0) - X"06";
when "10" => dasbus_s <= alu_inbusa(7 downto 0) - X"60";
when others => dasbus_s <= alu_inbusa(7 downto 0) - X"66";
end case;
end process;
----------------------------------------------------------------------------
-- AAD Instruction 5D 0A
----------------------------------------------------------------------------
process (alu_inbusa,aad1bus_s,aad2bus_s)
begin
aad1bus_s <= ("00" & alu_inbusa(15 downto 8) & '0') + (alu_inbusa(15 downto 8) & "000"); -- AH*2 + AH*8
aad2bus_s <= aad1bus_s + ("000" & alu_inbusa(7 downto 0)); -- + AL
aadbus_s<= "00000000" & aad2bus_s(7 downto 0);
end process;
----------------------------------------------------------------------------
-- ALU Operation
----------------------------------------------------------------------------
process (aluopr,abus_s,bbus_s,outbus_s,psrreg_s,alureg_s,aasbus_s,aaabus_s,daabus_s,sign16a_s,
sign16b_s,sign32a_s,dasbus_s,product_s,divresult_s,alu_temp_s,aadbus_s,quotient_s,remainder_s)
begin
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_INC | ALU_POP | ALU_SUB | ALU_SUB_SE | ALU_DEC | ALU_PUSH | ALU_CMP | ALU_CMP_SE |
ALU_CMPS | ALU_ADC | ALU_ADC_SE | ALU_SBB | ALU_SBB_SE | ALU_SCAS | ALU_NEG | ALU_NOT
=> alubus_s <= outbus_s;
when ALU_OR | ALU_OR_SE
=> alubus_s <= abus_s OR bbus_s;
when ALU_AND | ALU_AND_SE | ALU_TEST0 | ALU_TEST1 | ALU_TEST2
=> alubus_s <= abus_s AND bbus_s;
when ALU_XOR | ALU_XOR_SE
=> alubus_s <= abus_s XOR bbus_s;
when ALU_LAHF => alubus_s <= psrreg_s(15 downto 2)&'1'&psrreg_s(0);-- flags onto ALUBUS, note reserved bit1=1
when ALU_MUL | ALU_IMUL
=> alubus_s <= product_s(15 downto 0); -- AX of Multiplier
when ALU_MUL2| ALU_IMUL2
=> alubus_s <= product_s(31 downto 16); -- DX of Multiplier
when ALU_DIV | ALU_IDIV
=> alubus_s <= divresult_s(15 downto 0);-- AX of Divider (quotient)
when ALU_DIV2| ALU_IDIV2
=> alubus_s <= divresult_s(31 downto 16);-- DX of Divider (remainder)
when ALU_SEXT => alubus_s <= sign16a_s; -- Used for CBW Instruction
when ALU_SEXTW => alubus_s <= sign32a_s; -- Used for CWD Instruction
when ALU_AAS => alubus_s <= aasbus_s; -- Used for AAS Instruction
when ALU_AAA => alubus_s <= aaabus_s; -- Used for AAA Instruction
when ALU_DAA => alubus_s <= abus_s(15 downto 8) & daabus_s;-- Used for DAA Instruction
when ALU_DAS => alubus_s <= abus_s(15 downto 8) & dasbus_s;-- Used for DAS Instruction
when ALU_AAD => alubus_s <= aadbus_s; -- Used for AAD Instruction
when ALU_AAM => alubus_s <= quotient_s(7 downto 0) & remainder_s(7 downto 0); -- Used for AAM Instruction
when ALU_ROL | ALU_ROL1 | ALU_ROR | ALU_ROR1 | ALU_RCL | ALU_RCL1 | ALU_RCR | ALU_RCR1 |
ALU_SHL | ALU_SHL1 | ALU_SHR | ALU_SHR1 | ALU_SAR | ALU_SAR1 | ALU_REGL
=> alubus_s <= alureg_s(15 downto 0); -- alu_inbusb to output
when ALU_REGH => alubus_s <= alureg_s(31 downto 16); -- alu_inbusa to output
when ALU_PASSA => alubus_s <= abus_s;
--when ALU_PASSB => alubus_s <= bbus_s;
when ALU_TEMP => alubus_s <= alu_temp_s;
when others => alubus_s <= DONTCARE(15 downto 0);
end case;
end process;
alubus <= alubus_s; -- Connect to entity
----------------------------------------------------------------------------
-- Processor Status Register (Flags)
-- bit Flag
-- 15 Reserved
-- 14 Reserved
-- 13 Reserved Set to 1?
-- 12 Reserved Set to 1?
-- 11 Overflow Flag OF
-- 10 Direction Flag DF
-- 9 Interrupt Flag IF
-- 8 Trace Flag TF
-- 7 Sign Flag SF
-- 6 Zero Flag ZF
-- 5 Reserved
-- 4 Auxiliary Carry AF
-- 3 Reserved
-- 2 Parity Flag PF
-- 1 Reserved Set to 1 ????
-- 0 Carry Flag
----------------------------------------------------------------------------
process (clk, reset)
begin
if reset='1' then
psrreg_s <= "1111000000000010";
elsif rising_edge(clk) then
if (wrcc='1') then
case aluopr is
when ALU_ADD | ALU_ADD_SE | ALU_ADC | ALU_ADC_SE | ALU_INC =>
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= bit4_s;
PFLAG <= parityflag_s;
CFLAG <= cout_s;
when ALU_DEC => -- Same as for ALU_SUB exclusing the CFLAG :-(
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= not bit4_s;
PFLAG <= parityflag_s;
when ALU_SUB | ALU_SUB_SE | ALU_SBB | ALU_SBB_SE | ALU_CMP |
ALU_CMP_SE | ALU_CMPS | ALU_SCAS | ALU_NEG =>
OFLAG <= overflow_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= not bit4_s;
PFLAG <= parityflag_s;
CFLAG <= not cout_s;
when ALU_OR | ALU_OR_SE | ALU_AND | ALU_AND_SE | ALU_XOR | ALU_XOR_SE | ALU_TEST0 | ALU_TEST1 | ALU_TEST2 =>
OFLAG <= '0';
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
AFLAG <= '0'; -- None defined, set to 0 to be compatible with debug
PFLAG <= parityflag_s;
CFLAG <= '0';
when ALU_SHL | ALU_SHR | ALU_SAR |
ALU_SHR1 | ALU_SAR1 | ALU_SHL1 =>
OFLAG <= overflow_s;
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
CFLAG <= alucout_s;
when ALU_CLC =>
CFLAG <= '0';
when ALU_CMC =>
CFLAG <= not CFLAG;
when ALU_STC =>
CFLAG <= '1';
when ALU_CLD =>
DFLAG <= '0';
when ALU_STD =>
DFLAG <= '1';
when ALU_CLI =>
IFLAG <= '0';
when ALU_STI =>
IFLAG <= '1';
when ALU_POP => -- Note only POPF executes a WRCC command, thus save for other pops
psrreg_s <= "1111" & alu_inbusa(11 downto 0);
when ALU_SAHF => -- Write all AH bits (not compatible!)
psrreg_s(7 downto 0) <= alu_inbusa(7 downto 6) & '0' & alu_inbusa(4) & '0' &
alu_inbusa(2) & '0' & alu_inbusa(0);-- SAHF only writes bits 7,6,4,2,0
when ALU_AAS =>
AFLAG <= setaas_s; -- set or clear CF/AF flag
CFLAG <= setaas_s;
SFLAG <= '0';
when ALU_AAA =>
AFLAG <= setaaa_s; -- set or clear CF/AF flag
CFLAG <= setaaa_s;
when ALU_DAA =>
AFLAG <= setdaa_s(0); -- set or clear CF/AF flag
CFLAG <= setdaa_s(1);
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
when ALU_AAD =>
SFLAG <= alubus_s(7); --signflag_s;
PFLAG <= parityflag_s;
ZFLAG <= zeroflag_s;
when ALU_AAM =>
SFLAG <= signflag_s;
PFLAG <= parityflag_s;
ZFLAG <= not(zflaglow_s); -- signflag on AL only
when ALU_DAS =>
AFLAG <= setdas_s(0); -- set or clear CF/AF flag
CFLAG <= setdas_s(1);
PFLAG <= parityflag_s;
SFLAG <= signflag_s;
ZFLAG <= zeroflag_s;
-- Shift Rotate Instructions
when ALU_ROL | ALU_ROR | ALU_RCL | ALU_RCR |
ALU_ROL1 | ALU_RCL1 | ALU_ROR1 | ALU_RCR1 =>
CFLAG <= alucout_s;
OFLAG <= overflow_s;
when ALU_MUL | ALU_MUL2 | ALU_IMUL | ALU_IMUL2 => -- Multiply affects CF&OF only
CFLAG <= overflow_s;
OFLAG <= overflow_s;
when ALU_CLRTIF => -- Clear TF and IF flag
IFLAG <= '0';
TFLAG <= '0';
when others =>
psrreg_s <= psrreg_s;
end case;
end if;
end if;
end process;
ccbus <= psrreg_s; -- Connect to entity
-- Latch Divide by 0 error flag & latched divresult.
-- Requires a MCP from all registers to these endpoint registers!
process (clk, reset)
begin
if reset='1' then
div_err <= '0';
divresult_s <= (others => '0');
elsif rising_edge(clk) then
if done_s='1' then -- Latched pulse generated by serial divider
div_err <= div_err_s; -- Divide Overflow
-- pragma synthesis_off
assert div_err_s='0' report "**** Divide Overflow ***" severity note;
-- pragma synthesis_on
if wl_s='1' then -- Latched version required?
divresult_s <= remainder_s & quotient_s;
else
divresult_s <= remainder_s & remainder_s(7 downto 0) & quotient_s(7 downto 0);
end if;
else
div_err <= '0';
end if;
end if;
end process;
end rtl;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun Apr 09 07:03:52 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0_1/system_ov7670_controller_1_0_sim_netlist.vhdl
-- Design : system_ov7670_controller_1_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_1_0_i2c_sender is
port (
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sioc : out STD_LOGIC;
p_0_in : out STD_LOGIC;
\busy_sr_reg[1]_0\ : out STD_LOGIC;
siod : out STD_LOGIC;
\busy_sr_reg[31]_0\ : in STD_LOGIC;
clk : in STD_LOGIC;
p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
DOADO : in STD_LOGIC_VECTOR ( 15 downto 0 );
\busy_sr_reg[31]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_controller_1_0_i2c_sender : entity is "i2c_sender";
end system_ov7670_controller_1_0_i2c_sender;
architecture STRUCTURE of system_ov7670_controller_1_0_i2c_sender is
signal busy_sr0 : STD_LOGIC;
signal \busy_sr[0]_i_3_n_0\ : STD_LOGIC;
signal \busy_sr[0]_i_5_n_0\ : STD_LOGIC;
signal \busy_sr[10]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[11]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[12]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[13]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[14]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[15]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[16]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[17]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[18]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[19]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[1]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[20]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[21]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[22]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[23]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[24]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[25]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[26]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[27]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[28]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[29]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[2]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[30]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[31]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[31]_i_2_n_0\ : STD_LOGIC;
signal \busy_sr[3]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[4]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[5]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[6]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[7]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[8]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[9]_i_1_n_0\ : STD_LOGIC;
signal \^busy_sr_reg[1]_0\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[0]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[11]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[12]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[13]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[14]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[15]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[16]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[17]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[21]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[22]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[23]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[24]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[25]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[26]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[27]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[28]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[29]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[2]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[30]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[3]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[4]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[5]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[6]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[7]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[8]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[9]\ : STD_LOGIC;
signal \data_sr[10]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[12]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[13]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[14]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[15]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[16]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[17]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[18]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[19]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[22]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[27]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[30]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[31]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[31]_i_2_n_0\ : STD_LOGIC;
signal \data_sr[3]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[4]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[5]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[6]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[7]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[8]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[9]_i_1_n_0\ : STD_LOGIC;
signal \data_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[11]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[12]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[13]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[14]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[15]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[16]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[17]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[19]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[20]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[21]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[22]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[23]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[24]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[25]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[26]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[27]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[28]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[29]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[2]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[30]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[31]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[3]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[4]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[5]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[6]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[7]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[8]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[9]\ : STD_LOGIC;
signal \divider_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 6 );
signal \divider_reg__1\ : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \^p_0_in\ : STD_LOGIC;
signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_1_in_0 : STD_LOGIC_VECTOR ( 1 downto 0 );
signal sioc_i_1_n_0 : STD_LOGIC;
signal sioc_i_2_n_0 : STD_LOGIC;
signal sioc_i_3_n_0 : STD_LOGIC;
signal sioc_i_4_n_0 : STD_LOGIC;
signal sioc_i_5_n_0 : STD_LOGIC;
signal siod_INST_0_i_1_n_0 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \busy_sr[0]_i_4\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \busy_sr[0]_i_5\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \busy_sr[10]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \busy_sr[11]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \busy_sr[12]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \busy_sr[13]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \busy_sr[14]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \busy_sr[15]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \busy_sr[16]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \busy_sr[17]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \busy_sr[18]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \busy_sr[19]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \busy_sr[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \busy_sr[20]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \busy_sr[21]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \busy_sr[22]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \busy_sr[23]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \busy_sr[24]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \busy_sr[25]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \busy_sr[26]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \busy_sr[27]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \busy_sr[28]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \busy_sr[29]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \busy_sr[2]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \busy_sr[30]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \busy_sr[31]_i_2\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \busy_sr[3]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \busy_sr[4]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \busy_sr[5]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \busy_sr[6]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \busy_sr[7]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \busy_sr[8]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \busy_sr[9]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \data_sr[10]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \data_sr[12]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \data_sr[13]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \data_sr[14]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \data_sr[15]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \data_sr[16]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \data_sr[17]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \data_sr[18]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \data_sr[19]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \data_sr[31]_i_2\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \data_sr[3]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \data_sr[4]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \data_sr[5]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \data_sr[6]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \data_sr[7]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \data_sr[8]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \data_sr[9]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \divider[0]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \divider[1]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \divider[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \divider[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \divider[4]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \divider[6]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \divider[7]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of sioc_i_3 : label is "soft_lutpair4";
attribute SOFT_HLUTNM of sioc_i_4 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of sioc_i_5 : label is "soft_lutpair3";
begin
\busy_sr_reg[1]_0\ <= \^busy_sr_reg[1]_0\;
p_0_in <= \^p_0_in\;
\busy_sr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"4000FFFF40004000"
)
port map (
I0 => \busy_sr[0]_i_3_n_0\,
I1 => \divider_reg__0\(6),
I2 => \divider_reg__0\(7),
I3 => \^p_0_in\,
I4 => \^busy_sr_reg[1]_0\,
I5 => p_1_in(0),
O => busy_sr0
);
\busy_sr[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \divider_reg__1\(4),
I1 => \divider_reg__1\(2),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(1),
I4 => \divider_reg__1\(3),
I5 => \divider_reg__1\(5),
O => \busy_sr[0]_i_3_n_0\
);
\busy_sr[0]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \divider_reg__1\(2),
I1 => \divider_reg__1\(3),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(1),
I4 => \busy_sr[0]_i_5_n_0\,
O => \^busy_sr_reg[1]_0\
);
\busy_sr[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \divider_reg__1\(5),
I1 => \divider_reg__1\(4),
I2 => \divider_reg__0\(7),
I3 => \divider_reg__0\(6),
O => \busy_sr[0]_i_5_n_0\
);
\busy_sr[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[9]\,
I1 => \^p_0_in\,
O => \busy_sr[10]_i_1_n_0\
);
\busy_sr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[10]\,
I1 => \^p_0_in\,
O => \busy_sr[11]_i_1_n_0\
);
\busy_sr[12]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[11]\,
I1 => \^p_0_in\,
O => \busy_sr[12]_i_1_n_0\
);
\busy_sr[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[12]\,
I1 => \^p_0_in\,
O => \busy_sr[13]_i_1_n_0\
);
\busy_sr[14]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[13]\,
I1 => \^p_0_in\,
O => \busy_sr[14]_i_1_n_0\
);
\busy_sr[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[14]\,
I1 => \^p_0_in\,
O => \busy_sr[15]_i_1_n_0\
);
\busy_sr[16]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[15]\,
I1 => \^p_0_in\,
O => \busy_sr[16]_i_1_n_0\
);
\busy_sr[17]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[16]\,
I1 => \^p_0_in\,
O => \busy_sr[17]_i_1_n_0\
);
\busy_sr[18]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[17]\,
I1 => \^p_0_in\,
O => \busy_sr[18]_i_1_n_0\
);
\busy_sr[19]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[18]\,
I1 => \^p_0_in\,
O => \busy_sr[19]_i_1_n_0\
);
\busy_sr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[0]\,
I1 => \^p_0_in\,
O => \busy_sr[1]_i_1_n_0\
);
\busy_sr[20]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_1_in_0(0),
I1 => \^p_0_in\,
O => \busy_sr[20]_i_1_n_0\
);
\busy_sr[21]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_1_in_0(1),
I1 => \^p_0_in\,
O => \busy_sr[21]_i_1_n_0\
);
\busy_sr[22]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[21]\,
I1 => \^p_0_in\,
O => \busy_sr[22]_i_1_n_0\
);
\busy_sr[23]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[22]\,
I1 => \^p_0_in\,
O => \busy_sr[23]_i_1_n_0\
);
\busy_sr[24]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[23]\,
I1 => \^p_0_in\,
O => \busy_sr[24]_i_1_n_0\
);
\busy_sr[25]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[24]\,
I1 => \^p_0_in\,
O => \busy_sr[25]_i_1_n_0\
);
\busy_sr[26]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[25]\,
I1 => \^p_0_in\,
O => \busy_sr[26]_i_1_n_0\
);
\busy_sr[27]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[26]\,
I1 => \^p_0_in\,
O => \busy_sr[27]_i_1_n_0\
);
\busy_sr[28]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[27]\,
I1 => \^p_0_in\,
O => \busy_sr[28]_i_1_n_0\
);
\busy_sr[29]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[28]\,
I1 => \^p_0_in\,
O => \busy_sr[29]_i_1_n_0\
);
\busy_sr[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[1]\,
I1 => \^p_0_in\,
O => \busy_sr[2]_i_1_n_0\
);
\busy_sr[30]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[29]\,
I1 => \^p_0_in\,
O => \busy_sr[30]_i_1_n_0\
);
\busy_sr[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"22222222A2222222"
)
port map (
I0 => p_1_in(0),
I1 => \^busy_sr_reg[1]_0\,
I2 => \^p_0_in\,
I3 => \divider_reg__0\(7),
I4 => \divider_reg__0\(6),
I5 => \busy_sr[0]_i_3_n_0\,
O => \busy_sr[31]_i_1_n_0\
);
\busy_sr[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^p_0_in\,
I1 => \busy_sr_reg_n_0_[30]\,
O => \busy_sr[31]_i_2_n_0\
);
\busy_sr[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[2]\,
I1 => \^p_0_in\,
O => \busy_sr[3]_i_1_n_0\
);
\busy_sr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[3]\,
I1 => \^p_0_in\,
O => \busy_sr[4]_i_1_n_0\
);
\busy_sr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[4]\,
I1 => \^p_0_in\,
O => \busy_sr[5]_i_1_n_0\
);
\busy_sr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[5]\,
I1 => \^p_0_in\,
O => \busy_sr[6]_i_1_n_0\
);
\busy_sr[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[6]\,
I1 => \^p_0_in\,
O => \busy_sr[7]_i_1_n_0\
);
\busy_sr[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[7]\,
I1 => \^p_0_in\,
O => \busy_sr[8]_i_1_n_0\
);
\busy_sr[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[8]\,
I1 => \^p_0_in\,
O => \busy_sr[9]_i_1_n_0\
);
\busy_sr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => p_1_in(0),
Q => \busy_sr_reg_n_0_[0]\,
R => '0'
);
\busy_sr_reg[10]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[10]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[10]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[11]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[11]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[11]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[12]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[12]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[12]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[13]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[13]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[13]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[14]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[14]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[14]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[15]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[15]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[15]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[16]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[16]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[16]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[17]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[17]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[17]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[18]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[18]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[18]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[19]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[19]_i_1_n_0\,
Q => p_1_in_0(0),
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[1]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[1]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[20]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[20]_i_1_n_0\,
Q => p_1_in_0(1),
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[21]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[21]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[21]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[22]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[22]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[22]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[23]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[23]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[23]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[24]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[24]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[24]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[25]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[25]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[25]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[26]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[26]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[26]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[27]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[27]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[27]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[28]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[28]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[28]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[29]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[29]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[29]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[2]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[2]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[30]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[30]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[30]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[31]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[31]_i_2_n_0\,
Q => \^p_0_in\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[3]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[3]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[4]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[4]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[5]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[5]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[5]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[6]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[6]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[6]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[7]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[7]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[7]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[8]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[8]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[8]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[9]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[9]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[9]\,
S => \busy_sr[31]_i_1_n_0\
);
\data_sr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[9]\,
I1 => \^p_0_in\,
I2 => DOADO(7),
O => \data_sr[10]_i_1_n_0\
);
\data_sr[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[11]\,
I1 => \^p_0_in\,
I2 => DOADO(8),
O => \data_sr[12]_i_1_n_0\
);
\data_sr[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[12]\,
I1 => \^p_0_in\,
I2 => DOADO(9),
O => \data_sr[13]_i_1_n_0\
);
\data_sr[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[13]\,
I1 => \^p_0_in\,
I2 => DOADO(10),
O => \data_sr[14]_i_1_n_0\
);
\data_sr[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[14]\,
I1 => \^p_0_in\,
I2 => DOADO(11),
O => \data_sr[15]_i_1_n_0\
);
\data_sr[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[15]\,
I1 => \^p_0_in\,
I2 => DOADO(12),
O => \data_sr[16]_i_1_n_0\
);
\data_sr[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[16]\,
I1 => \^p_0_in\,
I2 => DOADO(13),
O => \data_sr[17]_i_1_n_0\
);
\data_sr[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[17]\,
I1 => \^p_0_in\,
I2 => DOADO(14),
O => \data_sr[18]_i_1_n_0\
);
\data_sr[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[18]\,
I1 => \^p_0_in\,
I2 => DOADO(15),
O => \data_sr[19]_i_1_n_0\
);
\data_sr[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCFCFCFAACAAAAA"
)
port map (
I0 => \data_sr_reg_n_0_[22]\,
I1 => \data_sr_reg_n_0_[21]\,
I2 => \^p_0_in\,
I3 => \data_sr[31]_i_2_n_0\,
I4 => \divider_reg__0\(7),
I5 => \busy_sr_reg[31]_0\,
O => \data_sr[22]_i_1_n_0\
);
\data_sr[27]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCFCFCFAACAAAAA"
)
port map (
I0 => \data_sr_reg_n_0_[27]\,
I1 => \data_sr_reg_n_0_[26]\,
I2 => \^p_0_in\,
I3 => \data_sr[31]_i_2_n_0\,
I4 => \divider_reg__0\(7),
I5 => \busy_sr_reg[31]_0\,
O => \data_sr[27]_i_1_n_0\
);
\data_sr[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => p_1_in(0),
I1 => \^busy_sr_reg[1]_0\,
I2 => \^p_0_in\,
O => \data_sr[30]_i_1_n_0\
);
\data_sr[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCFCFCFAACAAAAA"
)
port map (
I0 => \data_sr_reg_n_0_[31]\,
I1 => \data_sr_reg_n_0_[30]\,
I2 => \^p_0_in\,
I3 => \data_sr[31]_i_2_n_0\,
I4 => \divider_reg__0\(7),
I5 => \busy_sr_reg[31]_0\,
O => \data_sr[31]_i_1_n_0\
);
\data_sr[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \busy_sr[0]_i_3_n_0\,
I1 => \divider_reg__0\(6),
O => \data_sr[31]_i_2_n_0\
);
\data_sr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[2]\,
I1 => \^p_0_in\,
I2 => DOADO(0),
O => \data_sr[3]_i_1_n_0\
);
\data_sr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[3]\,
I1 => \^p_0_in\,
I2 => DOADO(1),
O => \data_sr[4]_i_1_n_0\
);
\data_sr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[4]\,
I1 => \^p_0_in\,
I2 => DOADO(2),
O => \data_sr[5]_i_1_n_0\
);
\data_sr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[5]\,
I1 => \^p_0_in\,
I2 => DOADO(3),
O => \data_sr[6]_i_1_n_0\
);
\data_sr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[6]\,
I1 => \^p_0_in\,
I2 => DOADO(4),
O => \data_sr[7]_i_1_n_0\
);
\data_sr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[7]\,
I1 => \^p_0_in\,
I2 => DOADO(5),
O => \data_sr[8]_i_1_n_0\
);
\data_sr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[8]\,
I1 => \^p_0_in\,
I2 => DOADO(6),
O => \data_sr[9]_i_1_n_0\
);
\data_sr_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[10]_i_1_n_0\,
Q => \data_sr_reg_n_0_[10]\,
R => '0'
);
\data_sr_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[10]\,
Q => \data_sr_reg_n_0_[11]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[12]_i_1_n_0\,
Q => \data_sr_reg_n_0_[12]\,
R => '0'
);
\data_sr_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[13]_i_1_n_0\,
Q => \data_sr_reg_n_0_[13]\,
R => '0'
);
\data_sr_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[14]_i_1_n_0\,
Q => \data_sr_reg_n_0_[14]\,
R => '0'
);
\data_sr_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[15]_i_1_n_0\,
Q => \data_sr_reg_n_0_[15]\,
R => '0'
);
\data_sr_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[16]_i_1_n_0\,
Q => \data_sr_reg_n_0_[16]\,
R => '0'
);
\data_sr_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[17]_i_1_n_0\,
Q => \data_sr_reg_n_0_[17]\,
R => '0'
);
\data_sr_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[18]_i_1_n_0\,
Q => \data_sr_reg_n_0_[18]\,
R => '0'
);
\data_sr_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[19]_i_1_n_0\,
Q => \data_sr_reg_n_0_[19]\,
R => '0'
);
\data_sr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \^p_0_in\,
Q => \data_sr_reg_n_0_[1]\,
R => '0'
);
\data_sr_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[19]\,
Q => \data_sr_reg_n_0_[20]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[20]\,
Q => \data_sr_reg_n_0_[21]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \data_sr[22]_i_1_n_0\,
Q => \data_sr_reg_n_0_[22]\,
R => '0'
);
\data_sr_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[22]\,
Q => \data_sr_reg_n_0_[23]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[23]\,
Q => \data_sr_reg_n_0_[24]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[24]\,
Q => \data_sr_reg_n_0_[25]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[25]\,
Q => \data_sr_reg_n_0_[26]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \data_sr[27]_i_1_n_0\,
Q => \data_sr_reg_n_0_[27]\,
R => '0'
);
\data_sr_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[27]\,
Q => \data_sr_reg_n_0_[28]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[28]\,
Q => \data_sr_reg_n_0_[29]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[1]\,
Q => \data_sr_reg_n_0_[2]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[29]\,
Q => \data_sr_reg_n_0_[30]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \data_sr[31]_i_1_n_0\,
Q => \data_sr_reg_n_0_[31]\,
R => '0'
);
\data_sr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[3]_i_1_n_0\,
Q => \data_sr_reg_n_0_[3]\,
R => '0'
);
\data_sr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[4]_i_1_n_0\,
Q => \data_sr_reg_n_0_[4]\,
R => '0'
);
\data_sr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[5]_i_1_n_0\,
Q => \data_sr_reg_n_0_[5]\,
R => '0'
);
\data_sr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[6]_i_1_n_0\,
Q => \data_sr_reg_n_0_[6]\,
R => '0'
);
\data_sr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[7]_i_1_n_0\,
Q => \data_sr_reg_n_0_[7]\,
R => '0'
);
\data_sr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[8]_i_1_n_0\,
Q => \data_sr_reg_n_0_[8]\,
R => '0'
);
\data_sr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[9]_i_1_n_0\,
Q => \data_sr_reg_n_0_[9]\,
R => '0'
);
\divider[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \divider_reg__1\(0),
O => \p_0_in__0\(0)
);
\divider[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \divider_reg__1\(0),
I1 => \divider_reg__1\(1),
O => \p_0_in__0\(1)
);
\divider[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \divider_reg__1\(1),
I1 => \divider_reg__1\(0),
I2 => \divider_reg__1\(2),
O => \p_0_in__0\(2)
);
\divider[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \divider_reg__1\(2),
I1 => \divider_reg__1\(0),
I2 => \divider_reg__1\(1),
I3 => \divider_reg__1\(3),
O => \p_0_in__0\(3)
);
\divider[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \divider_reg__1\(3),
I1 => \divider_reg__1\(1),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(2),
I4 => \divider_reg__1\(4),
O => \p_0_in__0\(4)
);
\divider[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \divider_reg__1\(4),
I1 => \divider_reg__1\(2),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(1),
I4 => \divider_reg__1\(3),
I5 => \divider_reg__1\(5),
O => \p_0_in__0\(5)
);
\divider[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \busy_sr[0]_i_3_n_0\,
I1 => \divider_reg__0\(6),
O => \p_0_in__0\(6)
);
\divider[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D2"
)
port map (
I0 => \divider_reg__0\(6),
I1 => \busy_sr[0]_i_3_n_0\,
I2 => \divider_reg__0\(7),
O => \p_0_in__0\(7)
);
\divider_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(0),
Q => \divider_reg__1\(0),
R => '0'
);
\divider_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(1),
Q => \divider_reg__1\(1),
R => '0'
);
\divider_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(2),
Q => \divider_reg__1\(2),
R => '0'
);
\divider_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(3),
Q => \divider_reg__1\(3),
R => '0'
);
\divider_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(4),
Q => \divider_reg__1\(4),
R => '0'
);
\divider_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(5),
Q => \divider_reg__1\(5),
R => '0'
);
\divider_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(6),
Q => \divider_reg__0\(6),
R => '0'
);
\divider_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(7),
Q => \divider_reg__0\(7),
R => '0'
);
sioc_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFCFFF8FFFFFFFF"
)
port map (
I0 => \busy_sr_reg_n_0_[0]\,
I1 => sioc_i_2_n_0,
I2 => sioc_i_3_n_0,
I3 => \busy_sr_reg_n_0_[1]\,
I4 => sioc_i_4_n_0,
I5 => \^p_0_in\,
O => sioc_i_1_n_0
);
sioc_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \divider_reg__0\(6),
I1 => \divider_reg__0\(7),
O => sioc_i_2_n_0
);
sioc_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"A222"
)
port map (
I0 => sioc_i_5_n_0,
I1 => \busy_sr_reg_n_0_[30]\,
I2 => \divider_reg__0\(6),
I3 => \^p_0_in\,
O => sioc_i_3_n_0
);
sioc_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \busy_sr_reg_n_0_[29]\,
I1 => \busy_sr_reg_n_0_[2]\,
I2 => \^p_0_in\,
I3 => \busy_sr_reg_n_0_[30]\,
O => sioc_i_4_n_0
);
sioc_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \busy_sr_reg_n_0_[0]\,
I1 => \busy_sr_reg_n_0_[1]\,
I2 => \busy_sr_reg_n_0_[29]\,
I3 => \busy_sr_reg_n_0_[2]\,
O => sioc_i_5_n_0
);
sioc_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => sioc_i_1_n_0,
Q => sioc,
R => '0'
);
siod_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \data_sr_reg_n_0_[31]\,
I1 => siod_INST_0_i_1_n_0,
O => siod
);
siod_INST_0_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"B0BBB0BB0000B0BB"
)
port map (
I0 => \busy_sr_reg_n_0_[28]\,
I1 => \busy_sr_reg_n_0_[29]\,
I2 => p_1_in_0(0),
I3 => p_1_in_0(1),
I4 => \busy_sr_reg_n_0_[11]\,
I5 => \busy_sr_reg_n_0_[10]\,
O => siod_INST_0_i_1_n_0
);
taken_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \busy_sr_reg[31]_0\,
Q => E(0),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_1_0_ov7670_registers is
port (
DOADO : out STD_LOGIC_VECTOR ( 15 downto 0 );
\divider_reg[7]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
config_finished : out STD_LOGIC;
taken_reg : out STD_LOGIC;
p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
\divider_reg[2]\ : in STD_LOGIC;
p_0_in : in STD_LOGIC;
resend : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_controller_1_0_ov7670_registers : entity is "ov7670_registers";
end system_ov7670_controller_1_0_ov7670_registers;
architecture STRUCTURE of system_ov7670_controller_1_0_ov7670_registers is
signal \^doado\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal address : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \address_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \address_rep[0]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[1]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[2]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[3]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[4]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[5]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[6]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[7]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[7]_i_2_n_0\ : STD_LOGIC;
signal config_finished_INST_0_i_1_n_0 : STD_LOGIC;
signal config_finished_INST_0_i_2_n_0 : STD_LOGIC;
signal config_finished_INST_0_i_3_n_0 : STD_LOGIC;
signal config_finished_INST_0_i_4_n_0 : STD_LOGIC;
signal NLW_sreg_reg_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_sreg_reg_DOPADOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_sreg_reg_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \address_reg[0]\ : label is "no";
attribute equivalent_register_removal of \address_reg[1]\ : label is "no";
attribute equivalent_register_removal of \address_reg[2]\ : label is "no";
attribute equivalent_register_removal of \address_reg[3]\ : label is "no";
attribute equivalent_register_removal of \address_reg[4]\ : label is "no";
attribute equivalent_register_removal of \address_reg[5]\ : label is "no";
attribute equivalent_register_removal of \address_reg[6]\ : label is "no";
attribute equivalent_register_removal of \address_reg[7]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[0]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[1]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[2]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[3]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[4]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[5]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[6]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[7]\ : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \address_rep[1]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \address_rep[2]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \address_rep[3]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \address_rep[4]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \address_rep[6]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \address_rep[7]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \busy_sr[0]_i_2\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of config_finished_INST_0 : label is "soft_lutpair30";
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of sreg_reg : label is "INDEPENDENT";
attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string;
attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of sreg_reg : label is "p0_d16";
attribute METHODOLOGY_DRC_VIOS : string;
attribute METHODOLOGY_DRC_VIOS of sreg_reg : label is "{SYNTH-6 {cell *THIS*}}";
attribute RTL_RAM_BITS : integer;
attribute RTL_RAM_BITS of sreg_reg : label is 4096;
attribute RTL_RAM_NAME : string;
attribute RTL_RAM_NAME of sreg_reg : label is "U0/Inst_ov7670_registers/sreg";
attribute bram_addr_begin : integer;
attribute bram_addr_begin of sreg_reg : label is 0;
attribute bram_addr_end : integer;
attribute bram_addr_end of sreg_reg : label is 1023;
attribute bram_slice_begin : integer;
attribute bram_slice_begin of sreg_reg : label is 0;
attribute bram_slice_end : integer;
attribute bram_slice_end of sreg_reg : label is 15;
begin
DOADO(15 downto 0) <= \^doado\(15 downto 0);
\address_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[0]_i_1_n_0\,
Q => \address_reg__0\(0),
R => resend
);
\address_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[1]_i_1_n_0\,
Q => \address_reg__0\(1),
R => resend
);
\address_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[2]_i_1_n_0\,
Q => \address_reg__0\(2),
R => resend
);
\address_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[3]_i_1_n_0\,
Q => \address_reg__0\(3),
R => resend
);
\address_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[4]_i_1_n_0\,
Q => \address_reg__0\(4),
R => resend
);
\address_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[5]_i_1_n_0\,
Q => \address_reg__0\(5),
R => resend
);
\address_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[6]_i_1_n_0\,
Q => \address_reg__0\(6),
R => resend
);
\address_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[7]_i_1_n_0\,
Q => \address_reg__0\(7),
R => resend
);
\address_reg_rep[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[0]_i_1_n_0\,
Q => address(0),
R => resend
);
\address_reg_rep[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[1]_i_1_n_0\,
Q => address(1),
R => resend
);
\address_reg_rep[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[2]_i_1_n_0\,
Q => address(2),
R => resend
);
\address_reg_rep[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[3]_i_1_n_0\,
Q => address(3),
R => resend
);
\address_reg_rep[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[4]_i_1_n_0\,
Q => address(4),
R => resend
);
\address_reg_rep[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[5]_i_1_n_0\,
Q => address(5),
R => resend
);
\address_reg_rep[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[6]_i_1_n_0\,
Q => address(6),
R => resend
);
\address_reg_rep[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[7]_i_1_n_0\,
Q => address(7),
R => resend
);
\address_rep[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \address_reg__0\(0),
O => \address_rep[0]_i_1_n_0\
);
\address_rep[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \address_reg__0\(0),
I1 => \address_reg__0\(1),
O => \address_rep[1]_i_1_n_0\
);
\address_rep[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \address_reg__0\(1),
I1 => \address_reg__0\(0),
I2 => \address_reg__0\(2),
O => \address_rep[2]_i_1_n_0\
);
\address_rep[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \address_reg__0\(2),
I1 => \address_reg__0\(0),
I2 => \address_reg__0\(1),
I3 => \address_reg__0\(3),
O => \address_rep[3]_i_1_n_0\
);
\address_rep[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \address_reg__0\(3),
I1 => \address_reg__0\(1),
I2 => \address_reg__0\(0),
I3 => \address_reg__0\(2),
I4 => \address_reg__0\(4),
O => \address_rep[4]_i_1_n_0\
);
\address_rep[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \address_reg__0\(4),
I1 => \address_reg__0\(2),
I2 => \address_reg__0\(0),
I3 => \address_reg__0\(1),
I4 => \address_reg__0\(3),
I5 => \address_reg__0\(5),
O => \address_rep[5]_i_1_n_0\
);
\address_rep[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \address_rep[7]_i_2_n_0\,
I1 => \address_reg__0\(6),
O => \address_rep[6]_i_1_n_0\
);
\address_rep[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D2"
)
port map (
I0 => \address_reg__0\(6),
I1 => \address_rep[7]_i_2_n_0\,
I2 => \address_reg__0\(7),
O => \address_rep[7]_i_1_n_0\
);
\address_rep[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \address_reg__0\(4),
I1 => \address_reg__0\(2),
I2 => \address_reg__0\(0),
I3 => \address_reg__0\(1),
I4 => \address_reg__0\(3),
I5 => \address_reg__0\(5),
O => \address_rep[7]_i_2_n_0\
);
\busy_sr[0]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FFFE"
)
port map (
I0 => config_finished_INST_0_i_4_n_0,
I1 => config_finished_INST_0_i_3_n_0,
I2 => config_finished_INST_0_i_2_n_0,
I3 => config_finished_INST_0_i_1_n_0,
I4 => p_0_in,
O => p_1_in(0)
);
config_finished_INST_0: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => config_finished_INST_0_i_1_n_0,
I1 => config_finished_INST_0_i_2_n_0,
I2 => config_finished_INST_0_i_3_n_0,
I3 => config_finished_INST_0_i_4_n_0,
O => config_finished
);
config_finished_INST_0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(5),
I1 => \^doado\(4),
I2 => \^doado\(7),
I3 => \^doado\(6),
O => config_finished_INST_0_i_1_n_0
);
config_finished_INST_0_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(1),
I1 => \^doado\(0),
I2 => \^doado\(3),
I3 => \^doado\(2),
O => config_finished_INST_0_i_2_n_0
);
config_finished_INST_0_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(13),
I1 => \^doado\(12),
I2 => \^doado\(15),
I3 => \^doado\(14),
O => config_finished_INST_0_i_3_n_0
);
config_finished_INST_0_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(9),
I1 => \^doado\(8),
I2 => \^doado\(11),
I3 => \^doado\(10),
O => config_finished_INST_0_i_4_n_0
);
\divider[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFE0000"
)
port map (
I0 => config_finished_INST_0_i_1_n_0,
I1 => config_finished_INST_0_i_2_n_0,
I2 => config_finished_INST_0_i_3_n_0,
I3 => config_finished_INST_0_i_4_n_0,
I4 => \divider_reg[2]\,
I5 => p_0_in,
O => \divider_reg[7]\(0)
);
sreg_reg: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"53295217510C50344F4014383A04401004008C003E000C001100120412801280",
INIT_01 => X"229121021E3716020F4B0E61030A1A7B190332A41861171111003DC0581E5440",
INIT_02 => X"90008F008E008D4F74106B4A69004E204D403C78392A3871371D350B330B2907",
INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB80AB382B20EB10CB0849A0096009100",
INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "PERFORMANCE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "RSTREG",
RSTREG_PRIORITY_B => "RSTREG",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 0
)
port map (
ADDRARDADDR(13 downto 12) => B"00",
ADDRARDADDR(11 downto 4) => address(7 downto 0),
ADDRARDADDR(3 downto 0) => B"0000",
ADDRBWRADDR(13 downto 0) => B"11111111111111",
CLKARDCLK => clk,
CLKBWRCLK => '0',
DIADI(15 downto 0) => B"1111111111111111",
DIBDI(15 downto 0) => B"1111111111111111",
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"11",
DOADO(15 downto 0) => \^doado\(15 downto 0),
DOBDO(15 downto 0) => NLW_sreg_reg_DOBDO_UNCONNECTED(15 downto 0),
DOPADOP(1 downto 0) => NLW_sreg_reg_DOPADOP_UNCONNECTED(1 downto 0),
DOPBDOP(1 downto 0) => NLW_sreg_reg_DOPBDOP_UNCONNECTED(1 downto 0),
ENARDEN => '1',
ENBWREN => '0',
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1 downto 0) => B"00",
WEBWE(3 downto 0) => B"0000"
);
taken_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000055555554"
)
port map (
I0 => p_0_in,
I1 => config_finished_INST_0_i_1_n_0,
I2 => config_finished_INST_0_i_2_n_0,
I3 => config_finished_INST_0_i_3_n_0,
I4 => config_finished_INST_0_i_4_n_0,
I5 => \divider_reg[2]\,
O => taken_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_1_0_ov7670_controller is
port (
config_finished : out STD_LOGIC;
siod : out STD_LOGIC;
xclk : out STD_LOGIC;
sioc : out STD_LOGIC;
resend : in STD_LOGIC;
clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_controller_1_0_ov7670_controller : entity is "ov7670_controller";
end system_ov7670_controller_1_0_ov7670_controller;
architecture STRUCTURE of system_ov7670_controller_1_0_ov7670_controller is
signal Inst_i2c_sender_n_3 : STD_LOGIC;
signal Inst_ov7670_registers_n_16 : STD_LOGIC;
signal Inst_ov7670_registers_n_18 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 );
signal sreg_reg : STD_LOGIC_VECTOR ( 15 downto 0 );
signal sys_clk_i_1_n_0 : STD_LOGIC;
signal taken : STD_LOGIC;
signal \^xclk\ : STD_LOGIC;
begin
xclk <= \^xclk\;
Inst_i2c_sender: entity work.system_ov7670_controller_1_0_i2c_sender
port map (
DOADO(15 downto 0) => sreg_reg(15 downto 0),
E(0) => taken,
\busy_sr_reg[1]_0\ => Inst_i2c_sender_n_3,
\busy_sr_reg[31]_0\ => Inst_ov7670_registers_n_18,
\busy_sr_reg[31]_1\(0) => Inst_ov7670_registers_n_16,
clk => clk,
p_0_in => p_0_in,
p_1_in(0) => p_1_in(0),
sioc => sioc,
siod => siod
);
Inst_ov7670_registers: entity work.system_ov7670_controller_1_0_ov7670_registers
port map (
DOADO(15 downto 0) => sreg_reg(15 downto 0),
E(0) => taken,
clk => clk,
config_finished => config_finished,
\divider_reg[2]\ => Inst_i2c_sender_n_3,
\divider_reg[7]\(0) => Inst_ov7670_registers_n_16,
p_0_in => p_0_in,
p_1_in(0) => p_1_in(0),
resend => resend,
taken_reg => Inst_ov7670_registers_n_18
);
sys_clk_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^xclk\,
O => sys_clk_i_1_n_0
);
sys_clk_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => sys_clk_i_1_n_0,
Q => \^xclk\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_1_0 is
port (
clk : in STD_LOGIC;
resend : in STD_LOGIC;
config_finished : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
reset : out STD_LOGIC;
pwdn : out STD_LOGIC;
xclk : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_ov7670_controller_1_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_ov7670_controller_1_0 : entity is "system_ov7670_controller_1_0,ov7670_controller,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_ov7670_controller_1_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_ov7670_controller_1_0 : entity is "ov7670_controller,Vivado 2016.4";
end system_ov7670_controller_1_0;
architecture STRUCTURE of system_ov7670_controller_1_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
pwdn <= \<const0>\;
reset <= \<const1>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.system_ov7670_controller_1_0_ov7670_controller
port map (
clk => clk,
config_finished => config_finished,
resend => resend,
sioc => sioc,
siod => siod,
xclk => xclk
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
|
----------------------------------------------------------------------------------
-- ESQUEMA LEDS 8 SEGMENTOS:
--
-- A
-- ---
-- F | | B
-- -G-
-- E | | C
-- --- . DP
-- D
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity binToSeg is
port (
bin: in std_logic_vector(3 downto 0);
displaySeg: out std_logic_vector(7 downto 0) -- 7 = A / 6 = B / ... / 0 = H
);
end binToSeg;
architecture Converter of binToSeg is
begin
with bin select
displaySeg <= "11111100" when "0000", -- 0 => Leds: A,B,C,D,E,F
"01100000" when "0001", -- 1 => Leds: B,C
"11011010" when "0010", -- 2 => Leds: A,B,G,E,D
"11110010" when "0011", -- 3 => Leds: A,B,C,D,G
"01100110" when "0100", -- 4 => Leds: B,C,F,G
"10110110" when "0101", -- 5 => Leds: A,C,D,F,G
"10111110" when "0110", -- 6 => Leds: A,C,D,E,F,G
"11100000" when "0111", -- 7 => Leds: A,B,C
"11111110" when "1000", -- 8 => Leds: A,B,C,D,E,F,G
"11110110" when "1001", -- 9 => Leds: A,B,C,D,F,G
"11101110" when "1010", -- A(10) => Leds: A,B,C,E,F,G
"00111110" when "1011", -- B(11) => Leds: A,B,C,D,E,F,G
"10011100" when "1100", -- C(12) => Leds: A,D,E,F
"01111010" when "1101", -- D(13) => Leds: A,B,C,D,E,F
"10011110" when "1110", -- E(14) => Leds: A,D,E,F,G
"10001110" when "1111", -- F(15) => Leds: A,E,F,G
"00000001" when others; -- En cualquier otro caso encendemos el "."
end Converter;
|
----------------------------------------------------------------------------------
-- ESQUEMA LEDS 8 SEGMENTOS:
--
-- A
-- ---
-- F | | B
-- -G-
-- E | | C
-- --- . DP
-- D
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity binToSeg is
port (
bin: in std_logic_vector(3 downto 0);
displaySeg: out std_logic_vector(7 downto 0) -- 7 = A / 6 = B / ... / 0 = H
);
end binToSeg;
architecture Converter of binToSeg is
begin
with bin select
displaySeg <= "11111100" when "0000", -- 0 => Leds: A,B,C,D,E,F
"01100000" when "0001", -- 1 => Leds: B,C
"11011010" when "0010", -- 2 => Leds: A,B,G,E,D
"11110010" when "0011", -- 3 => Leds: A,B,C,D,G
"01100110" when "0100", -- 4 => Leds: B,C,F,G
"10110110" when "0101", -- 5 => Leds: A,C,D,F,G
"10111110" when "0110", -- 6 => Leds: A,C,D,E,F,G
"11100000" when "0111", -- 7 => Leds: A,B,C
"11111110" when "1000", -- 8 => Leds: A,B,C,D,E,F,G
"11110110" when "1001", -- 9 => Leds: A,B,C,D,F,G
"11101110" when "1010", -- A(10) => Leds: A,B,C,E,F,G
"00111110" when "1011", -- B(11) => Leds: A,B,C,D,E,F,G
"10011100" when "1100", -- C(12) => Leds: A,D,E,F
"01111010" when "1101", -- D(13) => Leds: A,B,C,D,E,F
"10011110" when "1110", -- E(14) => Leds: A,D,E,F,G
"10001110" when "1111", -- F(15) => Leds: A,E,F,G
"00000001" when others; -- En cualquier otro caso encendemos el "."
end Converter;
|
----------------------------------------------------------------------------------
-- ESQUEMA LEDS 8 SEGMENTOS:
--
-- A
-- ---
-- F | | B
-- -G-
-- E | | C
-- --- . DP
-- D
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity binToSeg is
port (
bin: in std_logic_vector(3 downto 0);
displaySeg: out std_logic_vector(7 downto 0) -- 7 = A / 6 = B / ... / 0 = H
);
end binToSeg;
architecture Converter of binToSeg is
begin
with bin select
displaySeg <= "11111100" when "0000", -- 0 => Leds: A,B,C,D,E,F
"01100000" when "0001", -- 1 => Leds: B,C
"11011010" when "0010", -- 2 => Leds: A,B,G,E,D
"11110010" when "0011", -- 3 => Leds: A,B,C,D,G
"01100110" when "0100", -- 4 => Leds: B,C,F,G
"10110110" when "0101", -- 5 => Leds: A,C,D,F,G
"10111110" when "0110", -- 6 => Leds: A,C,D,E,F,G
"11100000" when "0111", -- 7 => Leds: A,B,C
"11111110" when "1000", -- 8 => Leds: A,B,C,D,E,F,G
"11110110" when "1001", -- 9 => Leds: A,B,C,D,F,G
"11101110" when "1010", -- A(10) => Leds: A,B,C,E,F,G
"00111110" when "1011", -- B(11) => Leds: A,B,C,D,E,F,G
"10011100" when "1100", -- C(12) => Leds: A,D,E,F
"01111010" when "1101", -- D(13) => Leds: A,B,C,D,E,F
"10011110" when "1110", -- E(14) => Leds: A,D,E,F,G
"10001110" when "1111", -- F(15) => Leds: A,E,F,G
"00000001" when others; -- En cualquier otro caso encendemos el "."
end Converter;
|
----------------------------------------------------------------------------------
-- ESQUEMA LEDS 8 SEGMENTOS:
--
-- A
-- ---
-- F | | B
-- -G-
-- E | | C
-- --- . DP
-- D
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity binToSeg is
port (
bin: in std_logic_vector(3 downto 0);
displaySeg: out std_logic_vector(7 downto 0) -- 7 = A / 6 = B / ... / 0 = H
);
end binToSeg;
architecture Converter of binToSeg is
begin
with bin select
displaySeg <= "11111100" when "0000", -- 0 => Leds: A,B,C,D,E,F
"01100000" when "0001", -- 1 => Leds: B,C
"11011010" when "0010", -- 2 => Leds: A,B,G,E,D
"11110010" when "0011", -- 3 => Leds: A,B,C,D,G
"01100110" when "0100", -- 4 => Leds: B,C,F,G
"10110110" when "0101", -- 5 => Leds: A,C,D,F,G
"10111110" when "0110", -- 6 => Leds: A,C,D,E,F,G
"11100000" when "0111", -- 7 => Leds: A,B,C
"11111110" when "1000", -- 8 => Leds: A,B,C,D,E,F,G
"11110110" when "1001", -- 9 => Leds: A,B,C,D,F,G
"11101110" when "1010", -- A(10) => Leds: A,B,C,E,F,G
"00111110" when "1011", -- B(11) => Leds: A,B,C,D,E,F,G
"10011100" when "1100", -- C(12) => Leds: A,D,E,F
"01111010" when "1101", -- D(13) => Leds: A,B,C,D,E,F
"10011110" when "1110", -- E(14) => Leds: A,D,E,F,G
"10001110" when "1111", -- F(15) => Leds: A,E,F,G
"00000001" when others; -- En cualquier otro caso encendemos el "."
end Converter;
|
----------------------------------------------------------------------------------
-- ESQUEMA LEDS 8 SEGMENTOS:
--
-- A
-- ---
-- F | | B
-- -G-
-- E | | C
-- --- . DP
-- D
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity binToSeg is
port (
bin: in std_logic_vector(3 downto 0);
displaySeg: out std_logic_vector(7 downto 0) -- 7 = A / 6 = B / ... / 0 = H
);
end binToSeg;
architecture Converter of binToSeg is
begin
with bin select
displaySeg <= "11111100" when "0000", -- 0 => Leds: A,B,C,D,E,F
"01100000" when "0001", -- 1 => Leds: B,C
"11011010" when "0010", -- 2 => Leds: A,B,G,E,D
"11110010" when "0011", -- 3 => Leds: A,B,C,D,G
"01100110" when "0100", -- 4 => Leds: B,C,F,G
"10110110" when "0101", -- 5 => Leds: A,C,D,F,G
"10111110" when "0110", -- 6 => Leds: A,C,D,E,F,G
"11100000" when "0111", -- 7 => Leds: A,B,C
"11111110" when "1000", -- 8 => Leds: A,B,C,D,E,F,G
"11110110" when "1001", -- 9 => Leds: A,B,C,D,F,G
"11101110" when "1010", -- A(10) => Leds: A,B,C,E,F,G
"00111110" when "1011", -- B(11) => Leds: A,B,C,D,E,F,G
"10011100" when "1100", -- C(12) => Leds: A,D,E,F
"01111010" when "1101", -- D(13) => Leds: A,B,C,D,E,F
"10011110" when "1110", -- E(14) => Leds: A,D,E,F,G
"10001110" when "1111", -- F(15) => Leds: A,E,F,G
"00000001" when others; -- En cualquier otro caso encendemos el "."
end Converter;
|
entity tb_dff06 is
end tb_dff06;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_dff06 is
signal clk : std_logic;
signal en1 : std_logic;
signal en2 : std_logic;
signal din : std_logic;
signal dout : std_logic;
begin
dut: entity work.dff06
port map (
q => dout,
d => din,
en1 => en1,
en2 => en2,
clk => clk);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
en1 <= '1';
en2 <= '1';
din <= '0';
pulse;
assert dout = '0' severity failure;
din <= '1';
pulse;
assert dout = '1' severity failure;
en1 <= '0';
din <= '0';
pulse;
assert dout = '1' severity failure;
en1 <= '1';
din <= '0';
pulse;
assert dout = '0' severity failure;
en2 <= '0';
din <= '1';
pulse;
assert dout = '0' severity failure;
en2 <= '1';
din <= '1';
pulse;
assert dout = '1' severity failure;
wait;
end process;
end behav;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--
-- This module connects all other modules
-- for testing.
--
entity disp is
port(
clk: in std_logic;
bcd: in std_logic_vector(3 downto 0);
en: out std_logic_vector(3 downto 0);
sseg: out std_logic_vector(7 downto 0)
);
end disp ;
architecture disp_arch of disp is
signal b0, b1, b2, b3: std_logic_vector(3 downto 0);
signal d0, d1, d2, d3: std_logic_vector(7 downto 0);
begin
b0 <= bcd + 4;
b1 <= bcd + 3;
b2 <= bcd + 2;
b3 <= bcd + 1;
conv0: entity work.bcd_to_sseg(convert)
port map(bcd => b0, sseg => d0, dp => '0');
conv1: entity work.bcd_to_sseg(convert)
port map(bcd => b1, sseg => d1, dp => '0');
conv2: entity work.bcd_to_sseg(convert)
port map(bcd => b2, sseg => d2, dp => '0');
conv3: entity work.bcd_to_sseg(convert)
port map(bcd => b3, sseg => d3, dp => '0');
disp: entity work.sseg_mux(mux_arch)
port map(
clk => clk, reset => '0',
in0 => d0, in1 => d1, in2 => d2, in3 => d3,
en => en,
sseg => sseg
);
end disp_arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Design Name:
-- Module Name: tx_Transact - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision 1.30 - Memory buffer applied and structure regulated for DPR. 25.03.2008
--
-- Revision 1.20 - Literal assignments rewritten. 02.08.2007
--
-- Revision 1.10 - x4 timing constraints met. 02.02.2007
--
-- Revision 1.06 - BRAM output and FIFO output both registered. 01.02.2007
--
-- Revision 1.04 - Timing improved. 17.01.2007
--
-- Revision 1.02 - FIFO added. 20.12.2006
--
-- Revision 1.00 - first release. 14.12.2006
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
library work;
use work.abb64Package.all;
use work.genram_pkg.all;
entity tx_Transact is
port (
-- Common ports
user_clk : in std_logic;
user_reset : in std_logic;
user_lnk_up : in std_logic;
-- Transaction
s_axis_tx_tlast : out std_logic;
s_axis_tx_tdata : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tkeep : out std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
s_axis_tx_terrfwd : out std_logic;
s_axis_tx_tvalid : out std_logic;
s_axis_tx_tready : in std_logic;
s_axis_tx_tdsc : out std_logic;
tx_buf_av : in std_logic_vector(C_TBUF_AWIDTH-1 downto 0);
-- Upstream DMA transferred bytes count up
us_DMA_Bytes_Add : out std_logic;
us_DMA_Bytes : out std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0);
-- Wishbone Read interface
wb_rdc_sof : out std_logic;
wb_rdc_v : out std_logic;
wb_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_full : in std_logic;
-- Wisbbone Buffer read port
wb_FIFO_re : out std_logic;
wb_FIFO_empty : in std_logic;
wb_FIFO_qout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Read interface for Tx port
Regs_RdAddr : out std_logic_vector(C_EP_AWIDTH-1 downto 0);
Regs_RdQout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Irpt Channel
Irpt_Req : in std_logic;
Irpt_RE : out std_logic;
Irpt_Qout : in std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- PIO MRd Channel
pioCplD_Req : in std_logic;
pioCplD_RE : out std_logic;
pioCplD_Qout : in std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- downstream MRd Channel
dsMRd_Req : in std_logic;
dsMRd_RE : out std_logic;
dsMRd_Qout : in std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- upstream MWr/MRd Channel
usTlp_Req : in std_logic;
usTlp_RE : out std_logic;
usTlp_Qout : in std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
us_FC_stop : out std_logic;
us_Last_sof : out std_logic;
us_Last_eof : out std_logic;
-- Message routing method
Msg_Routing : in std_logic_vector(C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT downto 0);
-- DDR read port
DDR_rdc_sof : out std_logic;
DDR_rdc_eof : out std_logic;
DDR_rdc_v : out std_logic;
DDR_rdc_Shift : out std_logic;
DDR_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : in std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : out std_logic;
DDR_FIFO_Empty : in std_logic;
DDR_FIFO_RdQout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Additional
Tx_TimeOut : out std_logic;
Tx_wb_TimeOut : out std_logic;
Tx_Reset : in std_logic;
localID : in std_logic_vector(C_ID_WIDTH-1 downto 0)
);
end tx_Transact;
architecture Behavioral of tx_Transact is
type TxTrnStates is (St_TxIdle, -- Idle
St_d_CmdReq, -- Issue the read command to MemReader
St_d_CmdAck, -- Wait for the read command ACK from MemReader
St_d_Header0, -- 1st Header for TLP with payload
St_d_Header2, -- 2nd Header for TLP with payload
-- St_d_HeaderPlus, -- Extra Header for TLP4 with payload
St_d_1st_Data, -- Last Header for TLP3/4 with payload
St_d_Payload, -- Data for TLP with payload
St_d_Payload_used, -- Data flow from memory buffer discontinued
St_d_Tail, -- Last data for TLP with payload
St_d_Tail_chk, -- Last data extended for TLP with payload
St_nd_Prepare, -- Prepare for 1st Header of TLP without payload
-- St_nd_Header1, -- 1st Header for TLP without payload
St_nd_Header2, -- 2nd Header for TLP without payload
-- St_nd_HeaderPlus, -- Extra Header for TLP4 without payload
St_nd_HeaderLast, -- Tail processing for the last dword of TLP w/o payload
St_nd_Arbitration -- One extra cycle for arbitration
);
-- State variables
signal TxTrn_State : TxTrnStates;
-- Signals with the arbitrator
signal take_an_Arbitration : std_logic;
signal Req_Bundle : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Read_a_Buffer : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Ack_Indice : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal b1_Tx_Indicator : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal vec_ChQout_Valid : std_logic_vector (C_CHANNEL_NUMBER-1 downto 0);
signal Tx_Busy : std_logic;
-- Channel buffer output token bits
signal usTLP_is_MWr : std_logic;
signal TLP_is_CplD : std_logic;
-- Bit information, telling whether the outgoing TLP has payload
signal ChBuf_has_Payload : std_logic;
signal ChBuf_No_Payload : std_logic;
-- Channel buffers output OR'ed and registered
signal Trn_Qout_wire : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal Trn_Qout_reg : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
-- Addresses from different channel buffer
signal wbaddr_piocpld : std_logic_vector(C_WB_AWIDTH-1 downto 0);
signal mAddr_usTlp : std_logic_vector(C_PRAM_AWIDTH-1+2 downto 0);
signal DDRAddr_usTlp : std_logic_vector(C_DDR_IAWIDTH-1 downto 0);
signal WBAddr_usTlp : std_logic_vector(C_WB_AWIDTH-1 downto 0);
signal Regs_Addr_pioCplD : std_logic_vector(C_EP_AWIDTH-1 downto 0);
signal DDRAddr_pioCplD : std_logic_vector(C_DDR_IAWIDTH-1 downto 0);
-- BAR number
signal BAR_pioCplD : std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
signal BAR_usTlp : std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
-- Misc. info.
signal AInc_usTlp : std_logic;
signal pioCplD_is_0Leng : std_logic;
-- Delay for requests from Channel Buffers
signal Irpt_Req_r1 : std_logic;
signal pioCplD_Req_r1 : std_logic;
signal dsMRd_Req_r1 : std_logic;
signal usTlp_Req_r1 : std_logic;
-- Registered channel buffer outputs
signal Irpt_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal pioCplD_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal dsMRd_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal usTlp_Qout_to_TLP : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0);
signal pioCplD_Req_Min_Leng : std_logic;
signal pioCplD_Req_2DW_Leng : std_logic;
signal usTlp_Req_Min_Leng : std_logic;
signal usTlp_Req_2DW_Leng : std_logic;
-- Channel buffer read enables
signal Irpt_RE_i : std_logic;
signal pioCplD_RE_i : std_logic;
signal dsMRd_RE_i : std_logic;
signal usTlp_RE_i : std_logic;
-- Flow controls
signal us_FC_stop_i : std_logic;
-- Local reset for tx
signal trn_tx_Reset_n : std_logic;
-- Alias for transaction interface signals
signal s_axis_tx_tdata_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal s_axis_tx_tkeep_i : std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
signal s_axis_tx_tlast_i : std_logic;
signal s_axis_tx_tvalid_i : std_logic;
signal s_axis_tx_tdsc_i : std_logic;
signal s_axis_tx_terrfwd_i : std_logic;
signal s_axis_tx_tready_i : std_logic;
signal tx_buf_av_i : std_logic_vector(C_TBUF_AWIDTH-1 downto 0);
signal trn_tsof_n_i : std_logic;
-- Upstream DMA transferred bytes count up
signal us_DMA_Bytes_Add_i : std_logic;
signal us_DMA_Bytes_i : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0);
--------------------- Memory Reader -----------------------------
---
--- Memory reader is the interface to access all sorts of memories
--- BRAM, FIFO, Registers, as well as possible DDR SDRAM
---
-------------------------------------------------------------------
component
tx_Mem_Reader
port(
DDR_rdc_sof : out std_logic;
DDR_rdc_eof : out std_logic;
DDR_rdc_v : out std_logic;
DDR_rdc_Shift : out std_logic;
DDR_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : in std_logic;
DDR_FIFO_RdEn : out std_logic;
DDR_FIFO_Empty : in std_logic;
DDR_FIFO_RdQout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Wishbone Read interface
wb_rdc_sof : out std_logic;
wb_rdc_v : out std_logic;
wb_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_full : in std_logic;
-- Wisbbone Buffer read port
wb_FIFO_re : out std_logic;
wb_FIFO_empty : in std_logic;
wb_FIFO_qout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Regs_RdAddr : out std_logic_vector(C_EP_AWIDTH-1 downto 0);
Regs_RdQout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
RdNumber : in std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG-1 downto 0);
RdNumber_eq_One : in std_logic;
RdNumber_eq_Two : in std_logic;
StartAddr : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Shift_1st_QWord : in std_logic;
is_CplD : in std_logic;
BAR_value : in std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
RdCmd_Req : in std_logic;
RdCmd_Ack : out std_logic;
mbuf_WE : out std_logic;
mbuf_Din : out std_logic_vector(C_DBUS_WIDTH*9/8-1 downto 0);
mbuf_Full : in std_logic;
mbuf_aFull : in std_logic;
Tx_TimeOut : out std_logic;
Tx_wb_TimeOut : out std_logic;
mReader_Rst_n : in std_logic;
user_clk : in std_logic
);
end component;
signal RdNumber : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG-1 downto 0);
signal RdNumber_eq_One : std_logic;
signal RdNumber_eq_Two : std_logic;
signal StartAddr : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal Shift_1st_QWord : std_logic;
signal is_CplD : std_logic;
signal BAR_value : std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
signal RdCmd_Req : std_logic;
signal RdCmd_Ack : std_logic;
signal mbuf_reset_n : std_logic;
signal mbuf_WE : std_logic;
signal mbuf_Din : std_logic_vector(C_DBUS_WIDTH*9/8-1 downto 0);
signal mbuf_Full : std_logic;
signal mbuf_aFull : std_logic;
signal mbuf_RE : std_logic;
signal mbuf_Qout : std_logic_vector(C_DBUS_WIDTH*9/8-1 downto 0);
signal mbuf_Empty : std_logic;
-- Calculated infomation
signal mbuf_RE_ok : std_logic;
signal mbuf_Qvalid : std_logic;
--------------------- Output arbitration ------------------------
---
--- For sake of fairness, the priorities are cycled every time
--- a service is done, after which the priority of the request
--- just serviced is set to the lowest and other lower priorities
--- increased and higher stay.
---
-------------------------------------------------------------------
component
Tx_Output_Arbitor
port(
rst_n : in std_logic;
clk : in std_logic;
arbtake : in std_logic;
Req : in std_logic_vector(C_ARBITRATE_WIDTH-1 downto 0);
bufread : out std_logic_vector(C_ARBITRATE_WIDTH-1 downto 0);
Ack : out std_logic_vector(C_ARBITRATE_WIDTH-1 downto 0)
);
end component;
begin
-- Connect outputs
s_axis_tx_tdata <= s_axis_tx_tdata_i;
s_axis_tx_tkeep <= s_axis_tx_tkeep_i;
s_axis_tx_tlast <= s_axis_tx_tlast_i;
s_axis_tx_tvalid <= s_axis_tx_tvalid_i;
s_axis_tx_tdsc <= s_axis_tx_tdsc_i;
s_axis_tx_terrfwd <= s_axis_tx_terrfwd_i;
us_Last_sof <= usTLP_is_MWr and not trn_tsof_n_i;
us_Last_eof <= usTLP_is_MWr and not s_axis_tx_tlast_i;
-- Connect inputs
s_axis_tx_tready_i <= s_axis_tx_tready;
tx_buf_av_i <= tx_buf_av;
-- Always deasserted
s_axis_tx_tdsc_i <= '0';
s_axis_tx_terrfwd_i <= '0';
-- Upstream DMA transferred bytes counting up
us_DMA_Bytes_Add <= us_DMA_Bytes_Add_i;
us_DMA_Bytes <= us_DMA_Bytes_i;
-- Flow controls
us_FC_stop <= us_FC_stop_i;
---------------------------------------------------------------------------------
-- Synchronous Calculation: us_FC_stop, pio_FC_stop
--
Synch_Calc_FC_stop :
process (user_clk, Tx_Reset)
begin
if Tx_Reset = '1' then
us_FC_stop_i <= '1';
elsif user_clk'event and user_clk = '1' then
if tx_buf_av_i(C_TBUF_AWIDTH-1 downto 1) /= C_ALL_ZEROS(C_TBUF_AWIDTH-1 downto 1) then
us_FC_stop_i <= '0';
else
us_FC_stop_i <= '1';
end if;
end if;
end process;
-- Channel buffer read enable
Irpt_RE <= Irpt_RE_i;
pioCplD_RE <= pioCplD_RE_i;
dsMRd_RE <= dsMRd_RE_i;
usTlp_RE <= usTlp_RE_i;
-- -----------------------------------
-- Synchronized Local reset
--
Syn_Local_Reset :
process (user_clk, user_reset)
begin
if user_reset = '1' then
trn_tx_Reset_n <= '0';
elsif user_clk'event and user_clk = '1' then
trn_tx_Reset_n <= not Tx_Reset;
end if;
end process;
------------------------------------------------------------
--- Memory reader
------------------------------------------------------------
ABB_Tx_MReader :
tx_Mem_Reader
port map(
DDR_rdc_sof => DDR_rdc_sof , -- OUT std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- OUT std_logic;
DDR_rdc_v => DDR_rdc_v , -- OUT std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- OUT std_logic;
DDR_rdc_din => DDR_rdc_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- IN std_logic;
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- OUT std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_sof => wb_rdc_sof, -- : out std_logic;
wb_rdc_v => wb_rdc_v, -- : out std_logic;
wb_rdc_din => wb_rdc_din, -- : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_full => wb_rdc_full, --: in std_logic;
wb_FIFO_re => wb_FIFO_re , -- OUT std_logic;
wb_FIFO_empty => wb_FIFO_empty , -- IN std_logic;
wb_FIFO_qout => wb_FIFO_qout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Regs_RdAddr => Regs_RdAddr , -- OUT std_logic_vector(C_EP_AWIDTH-1 downto 0);
Regs_RdQout => Regs_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
RdNumber => RdNumber , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
RdNumber_eq_One => RdNumber_eq_One , -- IN std_logic;
RdNumber_eq_Two => RdNumber_eq_Two , -- IN std_logic;
StartAddr => StartAddr , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
Shift_1st_QWord => Shift_1st_QWord , -- IN std_logic;
is_CplD => is_CplD , -- IN std_logic;
BAR_value => BAR_value , -- IN std_logic_vector(C_ENCODE_BAR_NUMBER-1 downto 0);
RdCmd_Req => RdCmd_Req , -- IN std_logic;
RdCmd_Ack => RdCmd_Ack , -- OUT std_logic;
mbuf_WE => mbuf_WE , -- OUT std_logic;
mbuf_Din => mbuf_Din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
mbuf_Full => mbuf_Full , -- IN std_logic;
mbuf_aFull => mbuf_aFull , -- IN std_logic;
Tx_TimeOut => Tx_TimeOut , -- OUT std_logic;
Tx_wb_TimeOut => Tx_wb_TimeOut , -- OUT std_logic;
mReader_Rst_n => trn_tx_Reset_n , -- IN std_logic;
user_clk => user_clk -- IN std_logic
);
--------------------- Memory Buffer -----------------------------
---
--- A unified memory buffer holding the payload for the next tx TLP
--- 34 bits wide, wherein 2 additional framing bits
--- temporarily 64 data depth, possibly deepened.
---
-------------------------------------------------------------------
ABB_Tx_MBuffer :
generic_sync_fifo
generic map (
g_data_width => 72,
g_size => 128,
g_show_ahead => false,
g_with_empty => true,
g_with_full => true,
g_with_almost_empty => false,
g_with_almost_full => true,
g_with_count => false,
g_almost_full_threshold => 125)
port map(
rst_n_i => mbuf_reset_n,
clk_i => user_clk,
d_i => mbuf_din,
we_i => mbuf_we,
q_o => mbuf_qout,
rd_i => mbuf_re,
empty_o => mbuf_empty,
full_o => mbuf_full,
almost_empty_o => open,
almost_full_o => mbuf_afull,
count_o => open);
mbuf_RE <= mbuf_RE_ok and (s_axis_tx_tready_i or not s_axis_tx_tvalid_i);
---------------------------------------------------------------------------------
-- Synchronous Delay: mbuf_Qout Valid
--
Synchron_Delay_mbuf_Qvalid :
process (user_clk, Tx_Reset)
begin
if Tx_Reset = '1' then
mbuf_Qvalid <= '0';
elsif user_clk'event and user_clk = '1' then
if mbuf_Qvalid = '0' and mbuf_RE = '1' and mbuf_Empty = '0' then -- a valid data is going out
mbuf_Qvalid <= '1';
elsif mbuf_Qvalid = '1' and mbuf_RE = '1' and mbuf_Empty = '1' then -- an invalid data is going out
mbuf_Qvalid <= '0';
else -- state stays
mbuf_Qvalid <= mbuf_Qvalid;
end if;
end if;
end process;
------------------------------------------------------------
--- Output arbitration
------------------------------------------------------------
O_Arbitration :
Tx_Output_Arbitor
port map(
rst_n => trn_tx_Reset_n,
clk => user_clk,
arbtake => take_an_Arbitration,
Req => Req_Bundle,
bufread => Read_a_Buffer,
Ack => Ack_Indice
);
-----------------------------------------------------
-- Synchronous Delay: Channel Requests
--
Synchron_Delay_ChRequests :
process (user_clk)
begin
if user_clk'event and user_clk = '1' then
Irpt_Req_r1 <= Irpt_Req;
pioCplD_Req_r1 <= pioCplD_Req;
dsMRd_Req_r1 <= dsMRd_Req;
usTlp_Req_r1 <= usTlp_Req;
end if;
end process;
-----------------------------------------------------
-- Synchronous Delay: Tx_Busy
--
Synchron_Delay_Tx_Busy :
process (user_clk)
begin
if user_clk'event and user_clk = '1' then
Tx_Busy <= (b1_Tx_Indicator(C_CHAN_INDEX_IRPT) and vec_ChQout_Valid(C_CHAN_INDEX_IRPT))
or (b1_Tx_Indicator(C_CHAN_INDEX_MRD) and vec_ChQout_Valid(C_CHAN_INDEX_MRD))
or (b1_Tx_Indicator(C_CHAN_INDEX_DMA_DS) and vec_ChQout_Valid(C_CHAN_INDEX_DMA_DS))
or (b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) and vec_ChQout_Valid(C_CHAN_INDEX_DMA_US));
end if;
end process;
-- ---------------------------------------------
-- Reg : Channel Buffer Qout has Payload
--
Reg_ChBuf_with_Payload :
process (user_clk)
begin
if user_clk'event and user_clk = '1' then
ChBuf_has_Payload <= (b1_Tx_Indicator(C_CHAN_INDEX_MRD) and TLP_is_CplD and vec_ChQout_Valid(C_CHAN_INDEX_MRD))
or (b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) and usTLP_is_MWr and vec_ChQout_Valid(C_CHAN_INDEX_DMA_US));
end if;
end process;
-- ---------------------------------------------
-- Channel Buffer Qout has no Payload
-- (! subordinate to ChBuf_has_Payload ! )
--
ChBuf_No_Payload <= Tx_Busy;
-- Arbitrator inputs
Req_Bundle(C_CHAN_INDEX_IRPT) <= Irpt_Req_r1;
Req_Bundle(C_CHAN_INDEX_MRD) <= pioCplD_Req_r1;
Req_Bundle(C_CHAN_INDEX_DMA_DS) <= dsMRd_Req_r1;
Req_Bundle(C_CHAN_INDEX_DMA_US) <= usTlp_Req_r1;
-- Arbitrator outputs
b1_Tx_Indicator(C_CHAN_INDEX_IRPT) <= Ack_Indice(C_CHAN_INDEX_IRPT);
b1_Tx_Indicator(C_CHAN_INDEX_MRD) <= Ack_Indice(C_CHAN_INDEX_MRD);
b1_Tx_Indicator(C_CHAN_INDEX_DMA_DS) <= Ack_Indice(C_CHAN_INDEX_DMA_DS);
b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) <= Ack_Indice(C_CHAN_INDEX_DMA_US);
-- Arbitrator reads channel buffers
Irpt_RE_i <= Read_a_Buffer(C_CHAN_INDEX_IRPT);
pioCplD_RE_i <= Read_a_Buffer(C_CHAN_INDEX_MRD);
dsMRd_RE_i <= Read_a_Buffer(C_CHAN_INDEX_DMA_DS);
usTlp_RE_i <= Read_a_Buffer(C_CHAN_INDEX_DMA_US);
-- determine whether the upstream TLP is an MWr or an MRd.
usTLP_is_MWr <= usTlp_Qout (C_CHBUF_FMT_BIT_TOP);
TLP_is_CplD <= pioCplD_Qout(C_CHBUF_FMT_BIT_TOP);
-- check if the Channel buffer output is valid
vec_ChQout_Valid(C_CHAN_INDEX_IRPT) <= Irpt_Qout (C_CHBUF_QVALID_BIT);
vec_ChQout_Valid(C_CHAN_INDEX_MRD) <= pioCplD_Qout(C_CHBUF_QVALID_BIT);
vec_ChQout_Valid(C_CHAN_INDEX_DMA_DS) <= dsMRd_Qout (C_CHBUF_QVALID_BIT);
vec_ChQout_Valid(C_CHAN_INDEX_DMA_US) <= usTlp_Qout (C_CHBUF_QVALID_BIT);
-- -----------------------------------
-- Delay : Channel_Buffer_Qout
-- Bit-mapping is done
--
Delay_Channel_Buffer_Qout :
process (user_clk, trn_tx_Reset_n)
begin
if trn_tx_Reset_n = '0' then
Irpt_Qout_to_TLP <= (others => '0');
pioCplD_Qout_to_TLP <= (others => '0');
dsMRd_Qout_to_TLP <= (others => '0');
usTlp_Qout_to_TLP <= (others => '0');
pioCplD_Req_Min_Leng <= '0';
pioCplD_Req_2DW_Leng <= '0';
usTlp_Req_Min_Leng <= '0';
usTlp_Req_2DW_Leng <= '0';
Regs_Addr_pioCplD <= (others => '1');
wbaddr_piocpld <= (others => '1');
mAddr_usTlp <= (others => '1');
AInc_usTlp <= '1';
BAR_pioCplD <= (others => '1');
BAR_usTlp <= (others => '1');
pioCplD_is_0Leng <= '0';
elsif user_clk'event and user_clk = '1' then
if b1_Tx_Indicator(C_CHAN_INDEX_IRPT) = '1' then
Irpt_Qout_to_TLP <= (others => '0'); -- must be 1st argument
-- 1st header Hi
Irpt_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= Irpt_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
-- Irpt_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_TYPE_OF_MSG; --Irpt_Qout(C_CHBUF_MSGTYPE_BIT_TOP downto C_CHBUF_MSGTYPE_BIT_BOT);
Irpt_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_TYPE_OF_MSG(C_TLP_TYPE_BIT_TOP
downto C_TLP_TYPE_BIT_BOT+1+C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT)
& Msg_Routing;
Irpt_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= Irpt_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
Irpt_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= Irpt_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header Lo
Irpt_Qout_to_TLP(C_TLP_REQID_BIT_TOP downto C_TLP_REQID_BIT_BOT) <= localID;
Irpt_Qout_to_TLP(C_TLP_TAG_BIT_TOP downto C_TLP_TAG_BIT_BOT) <= Irpt_Qout(C_CHBUF_TAG_BIT_TOP downto C_CHBUF_TAG_BIT_BOT);
Irpt_Qout_to_TLP(C_MSG_CODE_BIT_TOP downto C_MSG_CODE_BIT_BOT) <= Irpt_Qout(C_CHBUF_MSG_CODE_BIT_TOP downto C_CHBUF_MSG_CODE_BIT_BOT);
-- 2nd headers all zero
-- ...
else
Irpt_Qout_to_TLP <= (others => '0');
end if;
if b1_Tx_Indicator(C_CHAN_INDEX_MRD) = '1' then
pioCplD_Qout_to_TLP <= (others => '0'); -- must be 1st argument
-- 1st header Hi
pioCplD_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= pioCplD_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_TYPE_COMPLETION; --pioCplD_Qout(C_CHBUF_TYPE_BIT_TOP downto C_CHBUF_TYPE_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= pioCplD_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_ATTR_BIT_TOP downto C_TLP_ATTR_BIT_BOT) <= pioCplD_Qout(C_CHBUF_ATTR_BIT_TOP downto C_CHBUF_ATTR_BIT_BOT);
pioCplD_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= pioCplD_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header Lo
pioCplD_Qout_to_TLP(C_CPLD_CPLT_ID_BIT_TOP downto C_CPLD_CPLT_ID_BIT_BOT) <= localID;
pioCplD_Qout_to_TLP(C_CPLD_CS_BIT_TOP downto C_CPLD_CS_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_CS_BIT_TOP downto C_CHBUF_CPLD_CS_BIT_BOT);
pioCplD_Qout_to_TLP(C_CPLD_BC_BIT_TOP downto C_CPLD_BC_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_BC_BIT_TOP downto C_CHBUF_CPLD_BC_BIT_BOT);
-- 2nd header Hi
pioCplD_Qout_to_TLP(C_DBUS_WIDTH+C_CPLD_REQID_BIT_TOP downto C_DBUS_WIDTH+C_CPLD_REQID_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_REQID_BIT_TOP downto C_CHBUF_CPLD_REQID_BIT_BOT);
pioCplD_Qout_to_TLP(C_DBUS_WIDTH+C_CPLD_TAG_BIT_TOP downto C_DBUS_WIDTH+C_CPLD_TAG_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_TAG_BIT_TOP downto C_CHBUF_CPLD_TAG_BIT_BOT);
pioCplD_Qout_to_TLP(C_DBUS_WIDTH+C_CPLD_LA_BIT_TOP downto C_DBUS_WIDTH+C_CPLD_LA_BIT_BOT) <= pioCplD_Qout(C_CHBUF_CPLD_LA_BIT_TOP downto C_CHBUF_CPLD_LA_BIT_BOT);
-- no 2nd header Lo
if pioCplD_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(1, C_TLP_FLD_WIDTH_OF_LENG)
then
pioCplD_Req_Min_Leng <= '1';
else
pioCplD_Req_Min_Leng <= '0';
end if;
if pioCplD_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(2, C_TLP_FLD_WIDTH_OF_LENG)
then
pioCplD_Req_2DW_Leng <= '1';
else
pioCplD_Req_2DW_Leng <= '0';
end if;
-- Misc
Regs_Addr_pioCplD <= pioCplD_Qout(C_CHBUF_PA_BIT_TOP downto C_CHBUF_PA_BIT_BOT);
wbaddr_piocpld <= pioCplD_Qout(C_CHBUF_WB_BIT_TOP downto C_CHBUF_WB_BIT_BOT);
DDRAddr_pioCplD <= pioCplD_Qout(C_CHBUF_DDA_BIT_TOP downto C_CHBUF_DDA_BIT_BOT);
BAR_pioCplD <= pioCplD_Qout(C_CHBUF_CPLD_BAR_BIT_TOP downto C_CHBUF_CPLD_BAR_BIT_BOT);
pioCplD_is_0Leng <= pioCplD_Qout(C_CHBUF_0LENG_BIT);
else
pioCplD_Req_Min_Leng <= '0';
pioCplD_Req_2DW_Leng <= '0';
pioCplD_Qout_to_TLP <= (others => '0');
Regs_Addr_pioCplD <= (others => '1');
wbaddr_piocpld <= (others => '1');
DDRAddr_pioCplD <= (others => '1');
BAR_pioCplD <= (others => '1');
pioCplD_is_0Leng <= '0';
end if;
if b1_Tx_Indicator(C_CHAN_INDEX_DMA_US) = '1' then
usTlp_Qout_to_TLP <= (others => '0'); -- must be 1st argument
-- 1st header HI
usTlp_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= usTlp_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_ALL_ZEROS(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= usTlp_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_ATTR_BIT_TOP downto C_TLP_ATTR_BIT_BOT) <= usTlp_Qout(C_CHBUF_ATTR_BIT_TOP downto C_CHBUF_ATTR_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= usTlp_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header LO
usTlp_Qout_to_TLP(C_TLP_REQID_BIT_TOP downto C_TLP_REQID_BIT_BOT) <= localID;
usTlp_Qout_to_TLP(C_TLP_TAG_BIT_TOP downto C_TLP_TAG_BIT_BOT) <= usTlp_Qout(C_CHBUF_TAG_BIT_TOP downto C_CHBUF_TAG_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT);
usTlp_Qout_to_TLP(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT);
-- 2nd header HI (Address)
-- usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP downto C_CHBUF_HA_BIT_BOT);
if usTlp_Qout(C_CHBUF_FMT_BIT_BOT) = '1' then -- 4DW MWr
usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH+32) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP downto C_CHBUF_HA_BIT_BOT+32);
else
usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH+32) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP-32 downto C_CHBUF_HA_BIT_BOT);
end if;
-- 2nd header LO (Address)
usTlp_Qout_to_TLP(2*C_DBUS_WIDTH-1-32 downto C_DBUS_WIDTH) <= usTlp_Qout(C_CHBUF_HA_BIT_TOP-32 downto C_CHBUF_HA_BIT_BOT);
--
if usTlp_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(1, C_TLP_FLD_WIDTH_OF_LENG)
then
usTlp_Req_Min_Leng <= '1';
else
usTlp_Req_Min_Leng <= '0';
end if;
if usTlp_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT)
= CONV_STD_LOGIC_VECTOR(2, C_TLP_FLD_WIDTH_OF_LENG)
then
usTlp_Req_2DW_Leng <= '1';
else
usTlp_Req_2DW_Leng <= '0';
end if;
-- Misc
DDRAddr_usTlp <= usTlp_Qout(C_CHBUF_DDA_BIT_TOP downto C_CHBUF_DDA_BIT_BOT);
WBAddr_usTlp <= usTlp_Qout(C_CHBUF_WB_BIT_TOP downto C_CHBUF_WB_BIT_BOT);
mAddr_usTlp <= usTlp_Qout(C_CHBUF_MA_BIT_TOP downto C_CHBUF_MA_BIT_BOT); -- !! C_CHBUF_MA_BIT_BOT);
AInc_usTlp <= usTlp_Qout(C_CHBUF_AINC_BIT);
BAR_usTlp <= usTlp_Qout(C_CHBUF_DMA_BAR_BIT_TOP downto C_CHBUF_DMA_BAR_BIT_BOT);
else
usTlp_Req_Min_Leng <= '0';
usTlp_Req_2DW_Leng <= '0';
usTlp_Qout_to_TLP <= (others => '0');
DDRAddr_usTlp <= (others => '1');
WBAddr_usTlp <= (others => '1');
mAddr_usTlp <= (others => '1');
AInc_usTlp <= '1';
BAR_usTlp <= (others => '1');
end if;
if b1_Tx_Indicator(C_CHAN_INDEX_DMA_DS) = '1' then
dsMRd_Qout_to_TLP <= (others => '0'); -- must be 1st argument
-- 1st header HI
dsMRd_Qout_to_TLP(C_TLP_FMT_BIT_TOP downto C_TLP_FMT_BIT_BOT) <= dsMRd_Qout(C_CHBUF_FMT_BIT_TOP downto C_CHBUF_FMT_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) <= C_ALL_ZEROS(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_TC_BIT_TOP downto C_TLP_TC_BIT_BOT) <= dsMRd_Qout(C_CHBUF_TC_BIT_TOP downto C_CHBUF_TC_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_ATTR_BIT_TOP downto C_TLP_ATTR_BIT_BOT) <= dsMRd_Qout(C_CHBUF_ATTR_BIT_TOP downto C_CHBUF_ATTR_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_LENG_BIT_TOP downto C_TLP_LENG_BIT_BOT) <= dsMRd_Qout(C_CHBUF_LENG_BIT_TOP downto C_CHBUF_LENG_BIT_BOT);
-- 1st header LO
dsMRd_Qout_to_TLP(C_TLP_REQID_BIT_TOP downto C_TLP_REQID_BIT_BOT) <= localID;
dsMRd_Qout_to_TLP(C_TLP_TAG_BIT_TOP downto C_TLP_TAG_BIT_BOT) <= dsMRd_Qout(C_CHBUF_TAG_BIT_TOP downto C_CHBUF_TAG_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_LAST_BE_BIT_TOP downto C_TLP_LAST_BE_BIT_BOT);
dsMRd_Qout_to_TLP(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT) <= C_ALL_ONES(C_TLP_1ST_BE_BIT_TOP downto C_TLP_1ST_BE_BIT_BOT);
-- 2nd header (Address)
dsMRd_Qout_to_TLP(2*C_DBUS_WIDTH-1 downto C_DBUS_WIDTH) <= dsMRd_Qout(C_CHBUF_HA_BIT_TOP downto C_CHBUF_HA_BIT_BOT);
else
dsMRd_Qout_to_TLP <= (others => '0');
end if;
end if;
end process;
-- OR-wired channel buffer outputs
Trn_Qout_wire <= Irpt_Qout_to_TLP
or pioCplD_Qout_to_TLP
or dsMRd_Qout_to_TLP
or usTlp_Qout_to_TLP;
-- ---------------------------------------------------
-- State Machine: Tx output control
--
TxFSM_OutputControl :
process (user_clk, trn_tx_Reset_n)
begin
if trn_tx_Reset_n = '0' then
take_an_Arbitration <= '0';
RdNumber <= (others => '0');
RdNumber_eq_One <= '0';
RdNumber_eq_Two <= '0';
StartAddr <= (others => '0');
Shift_1st_QWord <= '0';
is_CplD <= '0';
BAR_value <= (others => '0');
RdCmd_Req <= '0';
mbuf_reset_n <= '0';
mbuf_RE_ok <= '0';
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= (others => '0');
s_axis_tx_tkeep_i <= (others => '1');
TxTrn_State <= St_TxIdle;
Trn_Qout_reg <= (others => '0');
elsif user_clk'event and user_clk = '1' then
case TxTrn_State is
when St_TxIdle =>
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= (others => '0');
s_axis_tx_tkeep_i <= (others => '1');
mbuf_RE_ok <= '0';
take_an_Arbitration <= '0';
--ported from TRN to AXI, swap DWORDs
Trn_Qout_reg <= Trn_Qout_wire;
RdNumber <= Trn_Qout_wire (C_TLP_FLD_WIDTH_OF_LENG-1 downto 0);
RdNumber_eq_One <= pioCplD_Req_Min_Leng or usTlp_Req_Min_Leng;
RdNumber_eq_Two <= pioCplD_Req_2DW_Leng or usTlp_Req_2DW_Leng;
-- BAR_value <= BAR_pioCplD and BAR_usTlp;
RdCmd_Req <= ChBuf_has_Payload;
if pioCplD_is_0Leng = '1' then
BAR_value <= '0' & CONV_STD_LOGIC_VECTOR(CINT_REGS_SPACE_BAR, C_ENCODE_BAR_NUMBER-1);
StartAddr <= C_ALL_ONES(C_DBUS_WIDTH-1 downto 0);
Shift_1st_QWord <= '1';
is_CplD <= '0';
elsif BAR_pioCplD = CONV_STD_LOGIC_VECTOR(CINT_DDR_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= '0' & BAR_pioCplD(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= (C_ALL_ONES(C_DBUS_WIDTH-1 downto C_DDR_IAWIDTH) & DDRAddr_pioCplD);
Shift_1st_QWord <= '1';
is_CplD <= '1';
elsif BAR_pioCplD = CONV_STD_LOGIC_VECTOR(CINT_FIFO_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= BAR_pioCplD(C_ENCODE_BAR_NUMBER-1 downto 0);
StartAddr <= (C_ALL_ZEROS(C_DBUS_WIDTH-1 downto C_WB_AWIDTH) & wbaddr_piocpld);
Shift_1st_QWord <= '1';
is_CplD <= '1';
-- elsif BAR_usTlp=CONV_STD_LOGIC_VECTOR(CINT_FIFO_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
-- BAR_value <= '0' & BAR_usTlp(C_ENCODE_BAR_NUMBER-2 downto 0);
-- StartAddr <= C_ALL_ONES(C_DBUS_WIDTH-1 downto C_PRAM_AWIDTH+4) & mAddr_usTlp & "00";
elsif BAR_usTlp = CONV_STD_LOGIC_VECTOR(CINT_DDR_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= '0' & BAR_usTlp(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= C_ALL_ZEROS(C_DBUS_WIDTH-1 downto C_DDR_IAWIDTH) & DDRAddr_usTlp;
Shift_1st_QWord <= not usTlp_Qout_to_TLP(C_TLP_FMT_BIT_BOT);
is_CplD <= '0';
elsif BAR_usTlp = CONV_STD_LOGIC_VECTOR(CINT_FIFO_SPACE_BAR, C_ENCODE_BAR_NUMBER) then
BAR_value <= BAR_usTlp(C_ENCODE_BAR_NUMBER-1 downto 0);
StartAddr <= C_ALL_ZEROS(C_DBUS_WIDTH-1 downto C_WB_AWIDTH) & WBAddr_usTlp;
Shift_1st_QWord <= not usTlp_Qout_to_TLP(C_TLP_FMT_BIT_BOT);
is_CplD <= '0';
else
BAR_value <= '0' & BAR_pioCplD(C_ENCODE_BAR_NUMBER-2 downto 0);
StartAddr <= (C_ALL_ZEROS(C_DBUS_WIDTH-1 downto C_EP_AWIDTH) & Regs_Addr_pioCplD);
-- and (C_ALL_ONES(C_DBUS_WIDTH-1 downto C_PRAM_AWIDTH+2) & mAddr_usTlp);
Shift_1st_QWord <= '1';
is_CplD <= '0';
end if;
if ChBuf_has_Payload = '1' then
TxTrn_State <= St_d_CmdReq;
mbuf_reset_n <= '1';
elsif ChBuf_No_Payload = '1' then
TxTrn_State <= St_nd_Prepare;
mbuf_reset_n <= '1';
else
TxTrn_State <= St_TxIdle;
mbuf_reset_n <= mbuf_Empty; -- '1';
end if;
--- --- --- --- --- --- --- --- --- --- --- --- ---
--- --- --- --- --- --- --- --- --- --- --- --- ---
when St_nd_Prepare =>
s_axis_tx_tlast_i <= '0';
if s_axis_tx_tready_i = '1' then
TxTrn_State <= St_nd_Header2; -- St_nd_Header1
s_axis_tx_tvalid_i <= '1';
trn_tsof_n_i <= '0';
s_axis_tx_tdata_i <= Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
else
TxTrn_State <= St_nd_Prepare;
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tdata_i <= (others => '0');
end if;
when St_nd_Header2 =>
s_axis_tx_tvalid_i <= '1';
if s_axis_tx_tready_i = '0' then
TxTrn_State <= St_nd_Header2;
take_an_Arbitration <= '0';
trn_tsof_n_i <= trn_tsof_n_i;
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= s_axis_tx_tdata_i; -- Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
else -- 3DW header
TxTrn_State <= St_nd_HeaderLast;
take_an_Arbitration <= '1';
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= '1';
if Trn_Qout_reg (C_TLP_FMT_BIT_BOT) = '1' then -- 4DW header
s_axis_tx_tkeep_i <= X"FF";
s_axis_tx_tdata_i <= Trn_Qout_reg (C_DBUS_WIDTH*2-1 downto C_DBUS_WIDTH);
else
s_axis_tx_tkeep_i <= X"0F";
s_axis_tx_tdata_i <= X"00000000" & Trn_Qout_reg (C_DBUS_WIDTH-1+32 downto C_DBUS_WIDTH);
end if;
end if;
when St_nd_HeaderLast =>
trn_tsof_n_i <= '1';
take_an_Arbitration <= '0';
if s_axis_tx_tready_i = '0' then
TxTrn_State <= St_nd_HeaderLast;
s_axis_tx_tvalid_i <= '1';
s_axis_tx_tlast_i <= '1';
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
s_axis_tx_tkeep_i <= s_axis_tx_tkeep_i;
else
TxTrn_State <= St_nd_Arbitration; -- St_TxIdle;
s_axis_tx_tvalid_i <= '0';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
s_axis_tx_tkeep_i <= s_axis_tx_tkeep_i;
end if;
when St_nd_Arbitration =>
trn_tsof_n_i <= '1';
TxTrn_State <= St_TxIdle;
s_axis_tx_tvalid_i <= '0';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
s_axis_tx_tkeep_i <= (others => '1');
--- --- --- --- --- --- --- --- --- --- --- --- ---
--- --- --- --- --- --- --- --- --- --- --- --- ---
when St_d_CmdReq =>
if RdCmd_Ack = '1' then
RdCmd_Req <= '0';
TxTrn_State <= St_d_CmdAck;
else
RdCmd_Req <= '1';
TxTrn_State <= St_d_CmdReq;
end if;
when St_d_CmdAck =>
s_axis_tx_tlast_i <= '0';
if mbuf_Empty = '0' and s_axis_tx_tready_i = '1' then
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tdata_i <= (others => '0');
mbuf_RE_ok <= '1';
TxTrn_State <= St_d_Header0; -- St_d_Header1
else
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tdata_i <= (others => '0');
mbuf_RE_ok <= '0';
TxTrn_State <= St_d_CmdAck;
end if;
when St_d_Header0 =>
if s_axis_tx_tready_i = '1' then
take_an_Arbitration <= '1';
s_axis_tx_tvalid_i <= '1';
trn_tsof_n_i <= '0';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
mbuf_RE_ok <= not Trn_Qout_reg (C_TLP_FMT_BIT_BOT); -- '1'; -- 4DW
TxTrn_State <= St_d_Header2;
else
take_an_Arbitration <= '0';
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
mbuf_RE_ok <= '0';
TxTrn_State <= St_d_Header0;
end if;
when St_d_Header2 =>
s_axis_tx_tvalid_i <= '1';
s_axis_tx_tkeep_i <= (others => '1');
take_an_Arbitration <= '0';
if s_axis_tx_tready_i = '0' then
TxTrn_State <= St_d_Header2;
s_axis_tx_tdata_i <= Trn_Qout_reg (C_DBUS_WIDTH-1 downto 0);
trn_tsof_n_i <= '0';
s_axis_tx_tlast_i <= '0';
mbuf_RE_ok <= not Trn_Qout_reg (C_TLP_FMT_BIT_BOT);
elsif Trn_Qout_reg (C_TLP_FMT_BIT_BOT) = '1' then -- 4DW header
TxTrn_State <= St_d_1st_Data; -- St_d_HeaderPlus;
s_axis_tx_tdata_i <= Trn_Qout_reg (C_DBUS_WIDTH*2-1 downto C_DBUS_WIDTH);
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= '0';
mbuf_RE_ok <= '1';
else -- 3DW header
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 32)
& Trn_Qout_reg (C_DBUS_WIDTH+32-1 downto C_DBUS_WIDTH);
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= not(mbuf_Qout(C_DBUS_WIDTH));
mbuf_RE_ok <= s_axis_tx_tvalid_i and mbuf_Qout(C_DBUS_WIDTH);
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
else
TxTrn_State <= St_d_1st_Data;
end if;
end if;
when St_d_1st_Data =>
mbuf_RE_ok <= s_axis_tx_tvalid_i and mbuf_Qout(C_DBUS_WIDTH);
take_an_Arbitration <= '0';
if s_axis_tx_tready_i = '0' then
TxTrn_State <= St_d_1st_Data;
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
s_axis_tx_tvalid_i <= '1';
elsif mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
s_axis_tx_tlast_i <= '1';
s_axis_tx_tkeep_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tvalid_i <= mbuf_Qvalid; -- '0';
elsif mbuf_Qvalid = '0' then
TxTrn_State <= St_d_Payload_used;
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tvalid_i <= '0';
else
TxTrn_State <= St_d_Payload;
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tvalid_i <= '1';
end if;
when St_d_Payload =>
mbuf_RE_ok <= '1';
take_an_Arbitration <= '0';
if s_axis_tx_tready_i = '0' then
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
s_axis_tx_tlast_i <= s_axis_tx_tlast_i;
s_axis_tx_tkeep_i <= s_axis_tx_tkeep_i;
s_axis_tx_tvalid_i <= '1';
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail;
elsif mbuf_Qvalid = '1' then
TxTrn_State <= St_d_Payload;
else
TxTrn_State <= St_d_Payload_used;
end if;
else
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tlast_i <= not(mbuf_Qout(C_DBUS_WIDTH));
s_axis_tx_tvalid_i <= not(mbuf_Qout(C_DBUS_WIDTH)) or mbuf_Qvalid;
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
s_axis_tx_tkeep_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
elsif mbuf_Qvalid = '1' then
s_axis_tx_tkeep_i <= (others => '1');
TxTrn_State <= St_d_Payload;
else
s_axis_tx_tkeep_i <= (others => '1');
TxTrn_State <= St_d_Payload_used;
end if;
end if;
when St_d_Payload_used =>
mbuf_RE_ok <= '1';
take_an_Arbitration <= '0';
if s_axis_tx_tvalid_i = '1' then
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tvalid_i <= mbuf_Qvalid and s_axis_tx_tready_i;
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
s_axis_tx_tlast_i <= '1';
s_axis_tx_tkeep_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
else
s_axis_tx_tlast_i <= '0';
s_axis_tx_tkeep_i <= (others => '1');
end if;
if mbuf_Qvalid = '1' then
TxTrn_State <= St_d_Payload;
else
TxTrn_State <= St_d_Payload_used;
end if;
elsif mbuf_Qvalid = '1' then
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
s_axis_tx_tvalid_i <= '1';
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
s_axis_tx_tlast_i <= '1';
s_axis_tx_tkeep_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
else
s_axis_tx_tlast_i <= '0';
s_axis_tx_tkeep_i <= (others => '1');
end if;
if mbuf_Qout(C_DBUS_WIDTH) = '0' then
TxTrn_State <= St_d_Tail_chk;
else
TxTrn_State <= St_d_Payload;
end if;
else
TxTrn_State <= St_d_Payload_used;
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
s_axis_tx_tlast_i <= s_axis_tx_tlast_i;
s_axis_tx_tkeep_i <= s_axis_tx_tkeep_i;
s_axis_tx_tvalid_i <= '0';
end if;
when St_d_Tail =>
take_an_Arbitration <= '0';
mbuf_RE_ok <= '0';
s_axis_tx_tvalid_i <= '1';
if s_axis_tx_tready_i = '0' then
TxTrn_State <= St_d_Tail;
s_axis_tx_tlast_i <= s_axis_tx_tlast_i;
s_axis_tx_tkeep_i <= s_axis_tx_tkeep_i;
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
else
TxTrn_State <= St_d_Tail_chk;
s_axis_tx_tlast_i <= '1';
s_axis_tx_tkeep_i <= X"0" & mbuf_Qout(70) & mbuf_Qout(70)
& mbuf_Qout(70) & mbuf_Qout(70);
s_axis_tx_tdata_i <= mbuf_Qout(C_DBUS_WIDTH-1 downto 0);
end if;
when St_d_Tail_chk =>
take_an_Arbitration <= '0';
mbuf_RE_ok <= '0';
if s_axis_tx_tready_i = '0' then
s_axis_tx_tvalid_i <= '1';
s_axis_tx_tlast_i <= '1';
s_axis_tx_tkeep_i <= s_axis_tx_tkeep_i;
s_axis_tx_tdata_i <= s_axis_tx_tdata_i;
TxTrn_State <= St_d_Tail_chk;
else
s_axis_tx_tvalid_i <= '0';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= (others => '0');
s_axis_tx_tkeep_i <= (others => '1');
TxTrn_State <= St_TxIdle;
end if;
when others =>
take_an_Arbitration <= '0';
RdNumber <= (others => '0');
RdNumber_eq_One <= '0';
RdNumber_eq_Two <= '0';
StartAddr <= (others => '0');
BAR_value <= (others => '0');
RdCmd_Req <= '0';
mbuf_reset_n <= '1';
mbuf_RE_ok <= '0';
s_axis_tx_tvalid_i <= '0';
trn_tsof_n_i <= '1';
s_axis_tx_tlast_i <= '0';
s_axis_tx_tdata_i <= (others => '0');
s_axis_tx_tkeep_i <= (others => '1');
TxTrn_State <= St_TxIdle;
end case;
end if;
end process;
---------------------------------------------------------------------------------
-- Synchronous Accumulation: us_DMA_Bytes
--
Synch_Acc_us_DMA_Bytes :
process (user_clk)
begin
if user_clk'event and user_clk = '1' then
us_DMA_Bytes_i <= '0' & s_axis_tx_tdata_i(C_TLP_FLD_WIDTH_OF_LENG-1 downto 0) & "00";
if s_axis_tx_tdata_i(C_TLP_FMT_BIT_TOP) = '1'
and s_axis_tx_tdata_i(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT)
= C_ALL_ZEROS(C_TLP_TYPE_BIT_TOP downto C_TLP_TYPE_BIT_BOT) then
us_DMA_Bytes_Add_i <= not trn_tsof_n_i
and s_axis_tx_tvalid_i
and s_axis_tx_tready_i;
else
us_DMA_Bytes_Add_i <= '0';
end if;
end if;
end process;
end architecture Behavioral;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/ifft_16_bit/RADIX22FFT_SDNF1_3_block.vhd
-- Created: 2017-03-28 01:00:37
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_3_block
-- Source Path: ifft_16_bit/IFFT HDL Optimized/RADIX22FFT_SDNF1_3
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF1_3_block IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
twdlXdin_2_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_2_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_4_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_4_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_3_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_3_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_4_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_4_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_3_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_3_block;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3_block IS
-- Signals
SIGNAL twdlXdin_2_re_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL twdlXdin_2_im_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL twdlXdin_4_re_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL twdlXdin_4_im_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_3_re_tmp : signed(16 DOWNTO 0); -- sfix17
SIGNAL dout_3_im_tmp : signed(16 DOWNTO 0); -- sfix17
SIGNAL dout_4_re_tmp : signed(16 DOWNTO 0); -- sfix17
SIGNAL dout_4_im_tmp : signed(16 DOWNTO 0); -- sfix17
BEGIN
twdlXdin_2_re_signed <= signed(twdlXdin_2_re);
twdlXdin_2_im_signed <= signed(twdlXdin_2_im);
twdlXdin_4_re_signed <= signed(twdlXdin_4_re);
twdlXdin_4_im_signed <= signed(twdlXdin_4_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_2_re_signed,
twdlXdin_2_im_signed, twdlXdin_4_re_signed, twdlXdin_4_im_signed,
twdlXdin_1_vld)
VARIABLE sra_temp : signed(17 DOWNTO 0);
VARIABLE sra_temp_0 : signed(17 DOWNTO 0);
VARIABLE sra_temp_1 : signed(17 DOWNTO 0);
VARIABLE sra_temp_2 : signed(17 DOWNTO 0);
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg_next <= resize(twdlXdin_2_re_signed, 18) + resize(twdlXdin_4_re_signed, 18);
Radix22ButterflyG1_NF_btf2_re_reg_next <= resize(twdlXdin_2_re_signed, 18) - resize(twdlXdin_4_re_signed, 18);
Radix22ButterflyG1_NF_btf1_im_reg_next <= resize(twdlXdin_2_im_signed, 18) + resize(twdlXdin_4_im_signed, 18);
Radix22ButterflyG1_NF_btf2_im_reg_next <= resize(twdlXdin_2_im_signed, 18) - resize(twdlXdin_4_im_signed, 18);
END IF;
sra_temp := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_re_reg, 1);
dout_3_re_tmp <= sra_temp(16 DOWNTO 0);
sra_temp_0 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_im_reg, 1);
dout_3_im_tmp <= sra_temp_0(16 DOWNTO 0);
sra_temp_1 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_re_reg, 1);
dout_4_re_tmp <= sra_temp_1(16 DOWNTO 0);
sra_temp_2 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_im_reg, 1);
dout_4_im_tmp <= sra_temp_2(16 DOWNTO 0);
dout_3_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_3_re <= std_logic_vector(dout_3_re_tmp);
dout_3_im <= std_logic_vector(dout_3_im_tmp);
dout_4_re <= std_logic_vector(dout_4_re_tmp);
dout_4_im <= std_logic_vector(dout_4_im_tmp);
END rtl;
|
entity tb_repro3_1 is
end tb_repro3_1;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_repro3_1 is
signal clk : std_logic;
signal led : std_logic_vector(7 downto 0);
begin
dut: entity work.repro3_1
port map (clk, led);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
pulse;
assert led = x"01" severity failure;
pulse;
assert led = x"02" severity failure;
wait;
end process;
end behav;
|
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity temp_controller is
generic
(
CONV_D : natural;
CONV_CMD_D : natural;
OW_US_D : positive;
PWM_N : positive;
PWM_MIN_LVL : positive;
PWM_EN_ON_D : natural;
P_SHIFT_N : integer;
I_SHIFT_N : integer;
TEMP_SETPOINT : integer
);
port
(
clk : in std_logic;
reset : in std_logic;
ow_in : in std_logic;
enable_in : in std_logic;
ow_out : out std_logic;
temp_out : out signed(16 - 1 downto 0);
temp_out_f : out std_logic;
temp_error_out : out std_logic;
pwm_out : out std_logic;
ow_pullup_out : out std_logic
);
end entity;
architecture rtl of temp_controller is
signal reset_ow : std_logic;
signal data_in : std_logic_vector(8 - 1 downto 0);
signal data_in_f : std_logic;
signal receive_data_f : std_logic;
signal busy : std_logic;
signal data_out : std_logic_vector(8 - 1 downto 0);
signal data_out_f : std_logic;
signal err : std_logic;
signal err_id : unsigned(1 downto 0);
signal crc : std_logic_vector(8 - 1 downto 0);
signal pwm_enable : std_logic;
signal temp : signed(16 - 1 downto 0);
signal temp_f : std_logic;
signal pid_out : signed(temp'range);
signal mod_lvl : unsigned(PWM_N - 1 downto 0);
signal mod_lvl_f : std_logic;
signal conv : std_logic;
function trunc_to_unsigned(arg : signed) return unsigned is
begin
return unsigned(std_logic_vector(arg));
end function;
function clamp_to_unsigned(arg : signed) return unsigned is
variable res : unsigned(arg'high - 1 downto 0);
begin
-- Shift value so it is always positive
res := trunc_to_unsigned(resize(arg + to_signed(2**(arg'length - 1) - 1
, arg'length)
, res'length));
return res;
end function;
begin
temp_out <= temp;
temp_out_f <= temp_f;
-- Perform temperature reading at predefined intervals
conv_p: process(clk, reset)
variable timer : unsigned(ceil_log2(CONV_D) downto 0);
begin
if reset = '1' then
timer := to_unsigned(CONV_D, timer'length);
conv <= '0';
elsif rising_edge(clk) then
conv <= '0';
if timer < CONV_D then
timer := timer + 1;
else
conv <= '1';
timer := (others => '0');
end if;
end if;
end process;
ds18b20_p: entity work.ds18b20(rtl)
generic map
(
CONV_DELAY_VAL => CONV_CMD_D
)
port map
(
clk => clk,
reset => reset,
conv_in_f => conv,
data_in => data_out,
data_in_f => data_out_f,
busy_in => busy,
error_in => err,
error_id_in => err_id,
reset_ow_out => reset_ow,
data_out => data_in,
data_out_f => data_in_f,
receive_data_out_f => receive_data_f,
temp_out => temp,
temp_out_f => temp_f,
crc_in => crc,
temp_error_out => temp_error_out,
pullup_out => ow_pullup_out
);
ow_p: entity work.one_wire(rtl)
generic map
(
US_D => OW_US_D
)
port map
(
clk => clk,
reset => reset,
reset_ow => reset_ow,
ow_in => ow_in,
data_in => data_in,
data_in_f => data_in_f,
receive_data_f => receive_data_f,
ow_out => ow_out,
error_out => err,
error_id_out => err_id,
busy_out => busy,
data_out => data_out,
data_out_f => data_out_f,
crc_out => crc
);
pid_p: entity work.pid(rtl)
generic map
(
P_SHIFT_N => P_SHIFT_N,
I_SHIFT_N => I_SHIFT_N,
BITS_N => temp'length,
INIT_OUT_VAL => 0
)
port map
(
clk => clk,
reset => reset,
upd_clk_in => temp_f,
setpoint_in => to_signed(TEMP_SETPOINT, temp'length),
pid_in => temp,
pid_out => pid_out
);
-- Invert, clamp and scale PID output for PWM controller input
mod_lvl <= resize(shift_right(clamp_to_unsigned(-pid_out)
, pid_out'length - mod_lvl'length)
, mod_lvl'length);
pwm_p: entity work.pwm(rtl)
generic map
(
COUNTER_N => PWM_N,
MIN_MOD_LVL => PWM_MIN_LVL,
ENABLE_ON_D => PWM_EN_ON_D
)
port map
(
clk => clk,
reset => reset,
enable_in => enable_in,
mod_lvl_in => mod_lvl,
mod_lvl_f_in => temp_f,
pwm_out => pwm_out
);
end;
|
-----------------------------------------------
-- Module Name: HexDigSSegCntrl - control --
-----------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.Hex4Digs_2_SSeg_Package.all;
entity HexDigSSegCntrl is
port ( clock : in std_logic;
sw0 : in std_logic;
btns : in std_logic_vector (3 downto 0);
anodes : out std_logic_vector (3 downto 0);
cathodes : out std_logic_vector (7 downto 0));
end HexDigSSegCntrl;
architecture control of HexDigSSegCntrl is
begin
-- c5Hz: CDiv port map (clock, TC5Hz, clk5Hz);
---- c16Hz: CDiv port map (clock, TC16Hz, clk16Hz);
---- c20Hz: CDiv port map (clock, TC20Hz, clk20Hz);
---- c30Hz: CDiv port map (clock, TC30Hz, clk30Hz);
-- c3Hz: CDiv port map (clock, TC3Hz, clk3Hz);
HexDs: Hex4Digs_2_SSeg port map (clock, sw0, btns, anodes, cathodes);
-- process (sw1, clk5Hz, clk16Hz) -- control clocks
-- process (sw1, clk5Hz, clk20Hz) -- control clocks
-- process (sw1, clk5Hz, clk30Hz) -- control clocks
-- process (sw1, clk5Hz, clk3Hz) -- control clocks
-- begin
-- if (sw1 = '0') then
-- clk <= clk5Hz;
-- else
---- clk <= clk16Hz;
---- clk <= clk20Hz;
---- clk <= clk30Hz;
-- clk <= clk3Hz;
-- end if;
-- end process;
-- process (clk)
-- begin
-- if rising_edge(clk) then
-- en <= not en;
-- end if;
-- end process;
--
-- process (clk)
-- begin
-- if rising_edge(clk) then
-- if en = '1' then
-- c0 <= c0 + 1;
-- cntr(0) <= '1';
-- if (c0 = 15) then
-- c1 <= c1 + 1;
-- cntr(1) <= '1';
-- if (c1 = 15) then
-- c2 <= c2 + 1;
-- cntr(2) <= '1';
-- if (c2 = 15) then
-- c3 <= c3 + 1;
-- cntr(3) <= '1';
-- end if;
-- end if;
-- end if;
-- else
-- cntr <= "0000";
-- end if;
-- end if;
-- end process;
end control;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_b_e
--
-- Generated
-- by: wig
-- on: Wed Jul 19 05:44:57 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../../udc.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_b_e-rtl-a.vhd,v 1.2 2006/07/19 07:35:16 wig Exp $
-- $Date: 2006/07/19 07:35:16 $
-- $Log: inst_b_e-rtl-a.vhd,v $
-- Revision 1.2 2006/07/19 07:35:16 wig
-- Updated testcases.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.92 2006/07/12 15:23:40 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
HOOK: global text to add to head of architecture, here is %::inst%
--
--
-- Start of Generated Architecture rtl of inst_b_e
--
architecture rtl of inst_b_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component inst_xa_e -- mulitple instantiated
-- No Generated Generics
port (
-- Generated Port for Entity inst_xa_e
port_xa_i : in std_ulogic; -- signal test aa to ba
port_xa_o : out std_ulogic -- open signal to create port
-- End of Generated Port for Entity inst_xa_e
);
end component;
-- ---------
component inst_bb_e -- bb instance
-- No Generated Generics
port (
-- Generated Port for Entity inst_bb_e
port_bb_o : out std_ulogic_vector(7 downto 0) -- vector test bb to ab
-- End of Generated Port for Entity inst_bb_e
);
end component;
-- ---------
component inst_vb_e -- verilog udc
-- No Generated Generics
-- Generated Generics for Entity inst_vb_e
-- End of Generated Generics for Entity inst_vb_e
-- No Generated Port
end component;
-- ---------
component inst_be_i -- no verilog udc here
-- No Generated Generics
-- Generated Generics for Entity inst_be_i
-- End of Generated Generics for Entity inst_be_i
-- No Generated Port
end component;
-- ---------
--
-- Generated Signal List
--
signal signal_aa_ba : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal signal_bb_ab : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
udc: THIS GOES TO DECL of inst_b_i
begin
udc: THIS ARE TWO LINES in BODY of inst_b_i
SECOND LINE
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
signal_aa_ba <= p_mix_signal_aa_ba_gi; -- __I_I_BIT_PORT
p_mix_signal_bb_ab_go <= signal_bb_ab; -- __I_O_BUS_PORT
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for inst_ba_i
inst_ba_i: inst_xa_e -- mulitple instantiated
port map (
port_xa_i => signal_aa_ba, -- signal test aa to ba
port_xa_o => open -- open signal to create port
);
-- End of Generated Instance Port Map for inst_ba_i
-- Generated Instance Port Map for inst_bb_i
inst_bb_i: inst_bb_e -- bb instance
port map (
port_bb_o => signal_bb_ab -- vector test bb to ab
);
-- End of Generated Instance Port Map for inst_bb_i
-- Generated Instance Port Map for inst_bc_i
inst_bc_i: inst_vb_e -- verilog udc
;
-- End of Generated Instance Port Map for inst_bc_i
-- Generated Instance Port Map for inst_bd_i
inst_bd_i: inst_vb_e -- no verilog udc here, but multiple instantiations
;
-- End of Generated Instance Port Map for inst_bd_i
-- Generated Instance Port Map for inst_be_i
inst_be_i: inst_be_i -- no verilog udc here
;
-- End of Generated Instance Port Map for inst_be_i
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RusoJfeSkZwImUUNnBfzD66SqqZ8B5RirhPZXtdFBYhPByO1gjKJ5HsD7HQnZ8bn/KFwHwJTzUPV
w237YLdDmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gILYAIjgyMaPu7UFjtuoIHEzKQ4a3k2tEgCNMOsDuUW+Y+xwOjBq34KEsJ9mUYRZSRcpTIOKc1TD
Pcxve0zl4ktXsBKvPkCZ1xut8Zv27PgY61JLIfqL0UBaTc8j8lT+HDV5wN3dCJuMXVX7mvbg3ulz
cfxSm4KfocoJDorc5Fw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GDJLdufsnbTg5Jv+vyrZCs6q6uzkvVrwV7p/eLwmDQuDz1u/3spjNHzX3RLDTwUf4ZhV0cf5KDUQ
aYkPwRStMl37B7Ae6XGcSln+sYj/p5QXgNd/bnaY8PaJKFYIPcRSuQhNJuYakcCV9dR5xjkcGA/M
syiHtwUmejZNqCS68lotI37rULlp80Gvibhj0SI0k8Z7546g5TQjfNTJIFnLQ1pdkPGKUZCUwYP0
gkujL5MY+RRtoFsIP+6Jkgbao1wisn9klUitj8XZn2+FoAe0oGcB1kXgpvfZ/s+47sN/l2xjM6G1
0hIu1E/r/4eupvtcxVRsJeH2LlHYNS79sFaUMA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
v8gFTfca7cTU35FGRT0CALGZE/m4k33WOK3yIbLMViOXMN2bAWsFcg2kwYd+pZKdolAAH7Zhifdt
wz2QAA+u1qvhX1WBExa2xELl2eyy+VE3sO5BIhZtAhw+cSSb7Y+dxLJ6Oa/G9i6aj9LGKOt1JDVF
LTLh4O1VORLIi+Ez0Ug=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pwfidN48gsLfCkLqCfLfSS7Mb84brlpH2ggzvaPnTFcVPioEIPV6gHaPt7Wo8RxEjILrOhxf3CXh
miP4oaSjNlUzE2WiZVqX5UUECmGBvMAIO5aiqLf5oQtqDmI4IZjUpqk9NzF5ZrZldclMntBMPXHE
l/Zv1E8DP1SwHcvJRdAXqq1Ncu411d5tpWDkzzqeFPH3+F00ymVtZQaId6c7bjs8/h+rKpS9RpA+
ZaP/SShmQazUHjjKq8O55shrwsSesw1pI4jgTSNQuagGMaB/JpQb/EoqpKcSYb9hLksBKXt6gvj7
L8axq8nuukkAqi0fF9uvwZ00ycwX7WCaQIk/xA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 59584)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RusoJfeSkZwImUUNnBfzD66SqqZ8B5RirhPZXtdFBYhPByO1gjKJ5HsD7HQnZ8bn/KFwHwJTzUPV
w237YLdDmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gILYAIjgyMaPu7UFjtuoIHEzKQ4a3k2tEgCNMOsDuUW+Y+xwOjBq34KEsJ9mUYRZSRcpTIOKc1TD
Pcxve0zl4ktXsBKvPkCZ1xut8Zv27PgY61JLIfqL0UBaTc8j8lT+HDV5wN3dCJuMXVX7mvbg3ulz
cfxSm4KfocoJDorc5Fw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GDJLdufsnbTg5Jv+vyrZCs6q6uzkvVrwV7p/eLwmDQuDz1u/3spjNHzX3RLDTwUf4ZhV0cf5KDUQ
aYkPwRStMl37B7Ae6XGcSln+sYj/p5QXgNd/bnaY8PaJKFYIPcRSuQhNJuYakcCV9dR5xjkcGA/M
syiHtwUmejZNqCS68lotI37rULlp80Gvibhj0SI0k8Z7546g5TQjfNTJIFnLQ1pdkPGKUZCUwYP0
gkujL5MY+RRtoFsIP+6Jkgbao1wisn9klUitj8XZn2+FoAe0oGcB1kXgpvfZ/s+47sN/l2xjM6G1
0hIu1E/r/4eupvtcxVRsJeH2LlHYNS79sFaUMA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
v8gFTfca7cTU35FGRT0CALGZE/m4k33WOK3yIbLMViOXMN2bAWsFcg2kwYd+pZKdolAAH7Zhifdt
wz2QAA+u1qvhX1WBExa2xELl2eyy+VE3sO5BIhZtAhw+cSSb7Y+dxLJ6Oa/G9i6aj9LGKOt1JDVF
LTLh4O1VORLIi+Ez0Ug=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pwfidN48gsLfCkLqCfLfSS7Mb84brlpH2ggzvaPnTFcVPioEIPV6gHaPt7Wo8RxEjILrOhxf3CXh
miP4oaSjNlUzE2WiZVqX5UUECmGBvMAIO5aiqLf5oQtqDmI4IZjUpqk9NzF5ZrZldclMntBMPXHE
l/Zv1E8DP1SwHcvJRdAXqq1Ncu411d5tpWDkzzqeFPH3+F00ymVtZQaId6c7bjs8/h+rKpS9RpA+
ZaP/SShmQazUHjjKq8O55shrwsSesw1pI4jgTSNQuagGMaB/JpQb/EoqpKcSYb9hLksBKXt6gvj7
L8axq8nuukkAqi0fF9uvwZ00ycwX7WCaQIk/xA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 59584)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RusoJfeSkZwImUUNnBfzD66SqqZ8B5RirhPZXtdFBYhPByO1gjKJ5HsD7HQnZ8bn/KFwHwJTzUPV
w237YLdDmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gILYAIjgyMaPu7UFjtuoIHEzKQ4a3k2tEgCNMOsDuUW+Y+xwOjBq34KEsJ9mUYRZSRcpTIOKc1TD
Pcxve0zl4ktXsBKvPkCZ1xut8Zv27PgY61JLIfqL0UBaTc8j8lT+HDV5wN3dCJuMXVX7mvbg3ulz
cfxSm4KfocoJDorc5Fw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GDJLdufsnbTg5Jv+vyrZCs6q6uzkvVrwV7p/eLwmDQuDz1u/3spjNHzX3RLDTwUf4ZhV0cf5KDUQ
aYkPwRStMl37B7Ae6XGcSln+sYj/p5QXgNd/bnaY8PaJKFYIPcRSuQhNJuYakcCV9dR5xjkcGA/M
syiHtwUmejZNqCS68lotI37rULlp80Gvibhj0SI0k8Z7546g5TQjfNTJIFnLQ1pdkPGKUZCUwYP0
gkujL5MY+RRtoFsIP+6Jkgbao1wisn9klUitj8XZn2+FoAe0oGcB1kXgpvfZ/s+47sN/l2xjM6G1
0hIu1E/r/4eupvtcxVRsJeH2LlHYNS79sFaUMA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
v8gFTfca7cTU35FGRT0CALGZE/m4k33WOK3yIbLMViOXMN2bAWsFcg2kwYd+pZKdolAAH7Zhifdt
wz2QAA+u1qvhX1WBExa2xELl2eyy+VE3sO5BIhZtAhw+cSSb7Y+dxLJ6Oa/G9i6aj9LGKOt1JDVF
LTLh4O1VORLIi+Ez0Ug=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pwfidN48gsLfCkLqCfLfSS7Mb84brlpH2ggzvaPnTFcVPioEIPV6gHaPt7Wo8RxEjILrOhxf3CXh
miP4oaSjNlUzE2WiZVqX5UUECmGBvMAIO5aiqLf5oQtqDmI4IZjUpqk9NzF5ZrZldclMntBMPXHE
l/Zv1E8DP1SwHcvJRdAXqq1Ncu411d5tpWDkzzqeFPH3+F00ymVtZQaId6c7bjs8/h+rKpS9RpA+
ZaP/SShmQazUHjjKq8O55shrwsSesw1pI4jgTSNQuagGMaB/JpQb/EoqpKcSYb9hLksBKXt6gvj7
L8axq8nuukkAqi0fF9uvwZ00ycwX7WCaQIk/xA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 59584)
`protect data_block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`protect end_protected
|
package fifo_pkg is
signal sig1 : std_logic;
end package;
package fifo_pkg is
signal sig1 : std_logic;
end package;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity data_logger is
end entity data_logger;
-- code from book
architecture high_level of data_logger is
subtype byte is bit_vector(7 downto 0);
type byte_array is array (integer range <>) of byte;
function resolver ( bytes : byte_array ) return byte is
begin
if bytes'length > 0 then
return bytes( bytes'left );
else
return X"00";
end if;
end function resolver;
subtype resolved_byte is resolver byte;
procedure reg ( signal clock, out_enable : in bit;
signal d : in byte;
signal q : out resolved_byte ) is
variable stored_byte : byte;
begin
loop
if clock = '1' then
stored_byte := d;
end if;
if out_enable = '1' then
q <= stored_byte;
else
q <= null;
end if;
wait on clock, out_enable, d;
end loop;
end procedure reg;
signal data_bus : resolved_byte bus;
-- . . .
-- not in book
signal a_reg_clk, b_reg_clk, a_reg_read, b_reg_read : bit := '0';
signal port_a, port_b : byte := X"00";
-- end not in book
begin
a_reg : reg (a_reg_clk, a_reg_read, port_a, data_bus);
b_reg : reg (b_reg_clk, b_reg_read, port_b, data_bus);
-- . . .
-- not in book
stimulus : process is
begin
port_a <= X"11"; a_reg_clk <= '1', '0' after 5 ns; wait for 10 ns;
a_reg_read <= '1', '0' after 5 ns; wait for 10 ns;
port_b <= X"21"; b_reg_clk <= '1', '0' after 5 ns; wait for 10 ns;
b_reg_read <= '1', '0' after 5 ns; wait for 10 ns;
a_reg_read <= '1', '0' after 5 ns;
b_reg_read <= '1', '0' after 5 ns;
wait;
end process stimulus;
-- end not in book
end architecture high_level;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity data_logger is
end entity data_logger;
-- code from book
architecture high_level of data_logger is
subtype byte is bit_vector(7 downto 0);
type byte_array is array (integer range <>) of byte;
function resolver ( bytes : byte_array ) return byte is
begin
if bytes'length > 0 then
return bytes( bytes'left );
else
return X"00";
end if;
end function resolver;
subtype resolved_byte is resolver byte;
procedure reg ( signal clock, out_enable : in bit;
signal d : in byte;
signal q : out resolved_byte ) is
variable stored_byte : byte;
begin
loop
if clock = '1' then
stored_byte := d;
end if;
if out_enable = '1' then
q <= stored_byte;
else
q <= null;
end if;
wait on clock, out_enable, d;
end loop;
end procedure reg;
signal data_bus : resolved_byte bus;
-- . . .
-- not in book
signal a_reg_clk, b_reg_clk, a_reg_read, b_reg_read : bit := '0';
signal port_a, port_b : byte := X"00";
-- end not in book
begin
a_reg : reg (a_reg_clk, a_reg_read, port_a, data_bus);
b_reg : reg (b_reg_clk, b_reg_read, port_b, data_bus);
-- . . .
-- not in book
stimulus : process is
begin
port_a <= X"11"; a_reg_clk <= '1', '0' after 5 ns; wait for 10 ns;
a_reg_read <= '1', '0' after 5 ns; wait for 10 ns;
port_b <= X"21"; b_reg_clk <= '1', '0' after 5 ns; wait for 10 ns;
b_reg_read <= '1', '0' after 5 ns; wait for 10 ns;
a_reg_read <= '1', '0' after 5 ns;
b_reg_read <= '1', '0' after 5 ns;
wait;
end process stimulus;
-- end not in book
end architecture high_level;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity data_logger is
end entity data_logger;
-- code from book
architecture high_level of data_logger is
subtype byte is bit_vector(7 downto 0);
type byte_array is array (integer range <>) of byte;
function resolver ( bytes : byte_array ) return byte is
begin
if bytes'length > 0 then
return bytes( bytes'left );
else
return X"00";
end if;
end function resolver;
subtype resolved_byte is resolver byte;
procedure reg ( signal clock, out_enable : in bit;
signal d : in byte;
signal q : out resolved_byte ) is
variable stored_byte : byte;
begin
loop
if clock = '1' then
stored_byte := d;
end if;
if out_enable = '1' then
q <= stored_byte;
else
q <= null;
end if;
wait on clock, out_enable, d;
end loop;
end procedure reg;
signal data_bus : resolved_byte bus;
-- . . .
-- not in book
signal a_reg_clk, b_reg_clk, a_reg_read, b_reg_read : bit := '0';
signal port_a, port_b : byte := X"00";
-- end not in book
begin
a_reg : reg (a_reg_clk, a_reg_read, port_a, data_bus);
b_reg : reg (b_reg_clk, b_reg_read, port_b, data_bus);
-- . . .
-- not in book
stimulus : process is
begin
port_a <= X"11"; a_reg_clk <= '1', '0' after 5 ns; wait for 10 ns;
a_reg_read <= '1', '0' after 5 ns; wait for 10 ns;
port_b <= X"21"; b_reg_clk <= '1', '0' after 5 ns; wait for 10 ns;
b_reg_read <= '1', '0' after 5 ns; wait for 10 ns;
a_reg_read <= '1', '0' after 5 ns;
b_reg_read <= '1', '0' after 5 ns;
wait;
end process stimulus;
-- end not in book
end architecture high_level;
-- end code from book
|
--
-- my_fpga_test.vhdl
--
library ieee;
use ieee.std_logic_1164.all;
use work.tbmsgs.all;
entity my_fpga_test is
end entity;
architecture sim of my_fpga_test is
signal in1 : std_logic := '0';
signal in2 : std_logic := '0';
signal out1 : std_logic;
signal out2 : std_logic;
begin
uut : entity work.my_fpga port map (
in1 => in1,
in2 => in2,
out1 => out1,
out2 => out2
);
test : process
begin
testcase("my_fpga", 4);
wait for 1 us;
check(out1 = '0', "out1 should be '0'");
check(out2 = '0', "out2 should be '0'");
tested("inputs set to 00");
in1 <= '1';
wait for 1 us;
check(out1 = '1', "out1 should be '1'");
check(out2 = '0', "out2 should be '0'");
tested("inputs set to 01");
in2 <= '1';
wait for 1 us;
check(out1 = '1', "out1 should be '1'");
check(out2 = '1', "out2 should be '1'");
tested("inputs set to 11");
in1 <= '0';
wait for 1 us;
check(out1 = '1', "out1 should be '1'");
check(out2 = '0', "out2 should be '0'");
tested("inputs set to 10");
testcase_complete;
wait;
end process;
end;
|
--
-- my_fpga_test.vhdl
--
library ieee;
use ieee.std_logic_1164.all;
use work.tbmsgs.all;
entity my_fpga_test is
end entity;
architecture sim of my_fpga_test is
signal in1 : std_logic := '0';
signal in2 : std_logic := '0';
signal out1 : std_logic;
signal out2 : std_logic;
begin
uut : entity work.my_fpga port map (
in1 => in1,
in2 => in2,
out1 => out1,
out2 => out2
);
test : process
begin
testcase("my_fpga", 4);
wait for 1 us;
check(out1 = '0', "out1 should be '0'");
check(out2 = '0', "out2 should be '0'");
tested("inputs set to 00");
in1 <= '1';
wait for 1 us;
check(out1 = '1', "out1 should be '1'");
check(out2 = '0', "out2 should be '0'");
tested("inputs set to 01");
in2 <= '1';
wait for 1 us;
check(out1 = '1', "out1 should be '1'");
check(out2 = '1', "out2 should be '1'");
tested("inputs set to 11");
in1 <= '0';
wait for 1 us;
check(out1 = '1', "out1 should be '1'");
check(out2 = '0', "out2 should be '0'");
tested("inputs set to 10");
testcase_complete;
wait;
end process;
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Thu May 25 15:29:01 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/ZyboIP/examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_inverter_0_0/system_inverter_0_0_stub.vhdl
-- Design : system_inverter_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_inverter_0_0 is
Port (
x : in STD_LOGIC;
x_not : out STD_LOGIC
);
end system_inverter_0_0;
architecture stub of system_inverter_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "x,x_not";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "inverter,Vivado 2016.4";
begin
end;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/Complex3Multiply_block6.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: Complex3Multiply_block6
-- Source Path: fft_16_bit/FFT HDL Optimized/TWDLMULT_SDNF1_3/Complex3Multiply
-- Hierarchy Level: 3
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY Complex3Multiply_block6 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
din1_re_dly3 : IN std_logic_vector(19 DOWNTO 0); -- sfix20
din1_im_dly3 : IN std_logic_vector(19 DOWNTO 0); -- sfix20
din1_vld_dly3 : IN std_logic;
twdl_3_11_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15
twdl_3_11_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15
softReset : IN std_logic;
twdlXdin_11_re : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_11_im : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin1_vld : OUT std_logic
);
END Complex3Multiply_block6;
ARCHITECTURE rtl OF Complex3Multiply_block6 IS
-- Signals
SIGNAL din1_re_dly3_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL din_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL din1_im_dly3_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL din_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL din_sum : signed(20 DOWNTO 0); -- sfix21
SIGNAL twdl_3_11_re_signed : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twdl_re_reg : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twdl_3_11_im_signed : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twdl_im_reg : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL adder_add_cast : signed(17 DOWNTO 0); -- sfix18_En15
SIGNAL adder_add_cast_1 : signed(17 DOWNTO 0); -- sfix18_En15
SIGNAL twdl_sum : signed(17 DOWNTO 0); -- sfix18_En15
SIGNAL Complex3Multiply_din1_re_pipe1 : signed(19 DOWNTO 0); -- sfix20
SIGNAL Complex3Multiply_din1_im_pipe1 : signed(19 DOWNTO 0); -- sfix20
SIGNAL Complex3Multiply_din1_sum_pipe1 : signed(20 DOWNTO 0); -- sfix21
SIGNAL Complex3Multiply_prodOfRe_pipe1 : signed(36 DOWNTO 0); -- sfix37
SIGNAL Complex3Multiply_ProdOfIm_pipe1 : signed(36 DOWNTO 0); -- sfix37
SIGNAL Complex3Multiply_prodOfSum_pipe1 : signed(38 DOWNTO 0); -- sfix39
SIGNAL Complex3Multiply_twiddle_re_pipe1 : signed(16 DOWNTO 0); -- sfix17
SIGNAL Complex3Multiply_twiddle_im_pipe1 : signed(16 DOWNTO 0); -- sfix17
SIGNAL Complex3Multiply_twiddle_sum_pipe1 : signed(17 DOWNTO 0); -- sfix18
SIGNAL prodOfRe : signed(36 DOWNTO 0); -- sfix37_En15
SIGNAL prodOfIm : signed(36 DOWNTO 0); -- sfix37_En15
SIGNAL prodOfSum : signed(38 DOWNTO 0); -- sfix39_En15
SIGNAL din_vld_dly1 : std_logic;
SIGNAL din_vld_dly2 : std_logic;
SIGNAL din_vld_dly3 : std_logic;
SIGNAL prod_vld : std_logic;
SIGNAL Complex3Add_tmpResult_reg : signed(38 DOWNTO 0); -- sfix39
SIGNAL Complex3Add_multRes_re_reg1 : signed(37 DOWNTO 0); -- sfix38
SIGNAL Complex3Add_multRes_re_reg2 : signed(37 DOWNTO 0); -- sfix38
SIGNAL Complex3Add_multRes_im_reg : signed(39 DOWNTO 0); -- sfix40
SIGNAL Complex3Add_prod_vld_reg1 : std_logic;
SIGNAL Complex3Add_prod_vld_reg2 : std_logic;
SIGNAL Complex3Add_prodOfSum_reg : signed(38 DOWNTO 0); -- sfix39
SIGNAL Complex3Add_tmpResult_reg_next : signed(38 DOWNTO 0); -- sfix39_En15
SIGNAL Complex3Add_multRes_re_reg1_next : signed(37 DOWNTO 0); -- sfix38_En15
SIGNAL Complex3Add_multRes_re_reg2_next : signed(37 DOWNTO 0); -- sfix38_En15
SIGNAL Complex3Add_multRes_im_reg_next : signed(39 DOWNTO 0); -- sfix40_En15
SIGNAL Complex3Add_prod_vld_reg1_next : std_logic;
SIGNAL Complex3Add_prod_vld_reg2_next : std_logic;
SIGNAL Complex3Add_prodOfSum_reg_next : signed(38 DOWNTO 0); -- sfix39_En15
SIGNAL multResFP_re : signed(37 DOWNTO 0); -- sfix38_En15
SIGNAL multResFP_im : signed(39 DOWNTO 0); -- sfix40_En15
SIGNAL twdlXdin_11_re_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_11_im_tmp : signed(19 DOWNTO 0); -- sfix20
BEGIN
din1_re_dly3_signed <= signed(din1_re_dly3);
intdelay_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_re_reg <= to_signed(16#00000#, 20);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
IF softReset = '1' THEN
din_re_reg <= to_signed(16#00000#, 20);
ELSE
din_re_reg <= din1_re_dly3_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_process;
din1_im_dly3_signed <= signed(din1_im_dly3);
intdelay_1_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_im_reg <= to_signed(16#00000#, 20);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
IF softReset = '1' THEN
din_im_reg <= to_signed(16#00000#, 20);
ELSE
din_im_reg <= din1_im_dly3_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_1_process;
din_sum <= resize(din_re_reg, 21) + resize(din_im_reg, 21);
twdl_3_11_re_signed <= signed(twdl_3_11_re);
intdelay_2_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdl_re_reg <= to_signed(16#00000#, 17);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
IF softReset = '1' THEN
twdl_re_reg <= to_signed(16#00000#, 17);
ELSE
twdl_re_reg <= twdl_3_11_re_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_2_process;
twdl_3_11_im_signed <= signed(twdl_3_11_im);
intdelay_3_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdl_im_reg <= to_signed(16#00000#, 17);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
IF softReset = '1' THEN
twdl_im_reg <= to_signed(16#00000#, 17);
ELSE
twdl_im_reg <= twdl_3_11_im_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_3_process;
adder_add_cast <= resize(twdl_re_reg, 18);
adder_add_cast_1 <= resize(twdl_im_reg, 18);
twdl_sum <= adder_add_cast + adder_add_cast_1;
-- Complex3Multiply
Complex3Multiply_process : PROCESS (clk)
BEGIN
IF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
prodOfRe <= Complex3Multiply_prodOfRe_pipe1;
prodOfIm <= Complex3Multiply_ProdOfIm_pipe1;
prodOfSum <= Complex3Multiply_prodOfSum_pipe1;
Complex3Multiply_twiddle_re_pipe1 <= twdl_re_reg;
Complex3Multiply_twiddle_im_pipe1 <= twdl_im_reg;
Complex3Multiply_twiddle_sum_pipe1 <= twdl_sum;
Complex3Multiply_din1_re_pipe1 <= din_re_reg;
Complex3Multiply_din1_im_pipe1 <= din_im_reg;
Complex3Multiply_din1_sum_pipe1 <= din_sum;
Complex3Multiply_prodOfRe_pipe1 <= Complex3Multiply_din1_re_pipe1 * Complex3Multiply_twiddle_re_pipe1;
Complex3Multiply_ProdOfIm_pipe1 <= Complex3Multiply_din1_im_pipe1 * Complex3Multiply_twiddle_im_pipe1;
Complex3Multiply_prodOfSum_pipe1 <= Complex3Multiply_din1_sum_pipe1 * Complex3Multiply_twiddle_sum_pipe1;
END IF;
END IF;
END PROCESS Complex3Multiply_process;
intdelay_4_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
din_vld_dly1 <= din1_vld_dly3;
END IF;
END IF;
END PROCESS intdelay_4_process;
intdelay_5_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_vld_dly2 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
din_vld_dly2 <= din_vld_dly1;
END IF;
END IF;
END PROCESS intdelay_5_process;
intdelay_6_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_vld_dly3 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
din_vld_dly3 <= din_vld_dly2;
END IF;
END IF;
END PROCESS intdelay_6_process;
intdelay_7_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
prod_vld <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
prod_vld <= din_vld_dly3;
END IF;
END IF;
END PROCESS intdelay_7_process;
-- Complex3Add
Complex3Add_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Complex3Add_prodOfSum_reg <= to_signed(0, 39);
Complex3Add_tmpResult_reg <= to_signed(0, 39);
Complex3Add_multRes_re_reg1 <= to_signed(0, 38);
Complex3Add_multRes_re_reg2 <= to_signed(0, 38);
Complex3Add_multRes_im_reg <= to_signed(0, 40);
Complex3Add_prod_vld_reg1 <= '0';
Complex3Add_prod_vld_reg2 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Complex3Add_tmpResult_reg <= Complex3Add_tmpResult_reg_next;
Complex3Add_multRes_re_reg1 <= Complex3Add_multRes_re_reg1_next;
Complex3Add_multRes_re_reg2 <= Complex3Add_multRes_re_reg2_next;
Complex3Add_multRes_im_reg <= Complex3Add_multRes_im_reg_next;
Complex3Add_prod_vld_reg1 <= Complex3Add_prod_vld_reg1_next;
Complex3Add_prod_vld_reg2 <= Complex3Add_prod_vld_reg2_next;
Complex3Add_prodOfSum_reg <= Complex3Add_prodOfSum_reg_next;
END IF;
END IF;
END PROCESS Complex3Add_process;
Complex3Add_output : PROCESS (Complex3Add_tmpResult_reg, Complex3Add_multRes_re_reg1,
Complex3Add_multRes_re_reg2, Complex3Add_multRes_im_reg,
Complex3Add_prod_vld_reg1, Complex3Add_prod_vld_reg2,
Complex3Add_prodOfSum_reg, prodOfRe, prodOfIm, prodOfSum, prod_vld)
VARIABLE sub_cast : signed(37 DOWNTO 0);
VARIABLE sub_cast_0 : signed(37 DOWNTO 0);
VARIABLE sub_cast_1 : signed(39 DOWNTO 0);
VARIABLE sub_cast_2 : signed(39 DOWNTO 0);
VARIABLE add_cast : signed(37 DOWNTO 0);
VARIABLE add_cast_0 : signed(37 DOWNTO 0);
VARIABLE add_temp : signed(37 DOWNTO 0);
BEGIN
Complex3Add_tmpResult_reg_next <= Complex3Add_tmpResult_reg;
Complex3Add_multRes_re_reg1_next <= Complex3Add_multRes_re_reg1;
Complex3Add_prodOfSum_reg_next <= Complex3Add_prodOfSum_reg;
Complex3Add_multRes_re_reg2_next <= Complex3Add_multRes_re_reg1;
IF prod_vld = '1' THEN
sub_cast := resize(prodOfRe, 38);
sub_cast_0 := resize(prodOfIm, 38);
Complex3Add_multRes_re_reg1_next <= sub_cast - sub_cast_0;
END IF;
sub_cast_1 := resize(Complex3Add_prodOfSum_reg, 40);
sub_cast_2 := resize(Complex3Add_tmpResult_reg, 40);
Complex3Add_multRes_im_reg_next <= sub_cast_1 - sub_cast_2;
IF prod_vld = '1' THEN
add_cast := resize(prodOfRe, 38);
add_cast_0 := resize(prodOfIm, 38);
add_temp := add_cast + add_cast_0;
Complex3Add_tmpResult_reg_next <= resize(add_temp, 39);
END IF;
IF prod_vld = '1' THEN
Complex3Add_prodOfSum_reg_next <= prodOfSum;
END IF;
Complex3Add_prod_vld_reg2_next <= Complex3Add_prod_vld_reg1;
Complex3Add_prod_vld_reg1_next <= prod_vld;
multResFP_re <= Complex3Add_multRes_re_reg2;
multResFP_im <= Complex3Add_multRes_im_reg;
twdlXdin1_vld <= Complex3Add_prod_vld_reg2;
END PROCESS Complex3Add_output;
twdlXdin_11_re_tmp <= multResFP_re(34 DOWNTO 15);
twdlXdin_11_re <= std_logic_vector(twdlXdin_11_re_tmp);
twdlXdin_11_im_tmp <= multResFP_im(34 DOWNTO 15);
twdlXdin_11_im <= std_logic_vector(twdlXdin_11_im_tmp);
END rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.numeric_std.ALL;
entity dp_sram is
port (
-- CLOCK
CLK : in std_logic; -- 32MHz
nRESET : in std_logic;
-- PORT A
DI_A : in STD_LOGIC_VECTOR(7 downto 0);
DO_A : inout STD_LOGIC_VECTOR(7 downto 0);
ADDR_A : in STD_LOGIC_VECTOR(17 downto 0);
nWE_A : in std_logic;
nCS_A : in std_logic;
nOE_A : in std_logic;
nWAIT_A : out std_logic;
-- PORT B
DI_B : in STD_LOGIC_VECTOR(31 downto 0);
DO_B : inout STD_LOGIC_VECTOR(31 downto 0);
ADDR_B : in STD_LOGIC_VECTOR(31 downto 2);
nWE_B : in std_logic;
nCS_B : in std_logic;
nOE_B : in std_logic;
WAIT_B : out std_logic;
MEM_MASK_B : in STD_LOGIC_VECTOR(3 downto 0);
-- SRAM
SRAM_A : out std_logic_vector(17 downto 0);
SRAM_D : inout std_logic_vector(15 downto 0);
SRAM_WE : out std_logic;
SRAM_OE : out std_logic;
SRAM_CE0 : out std_logic;
SRAM_CE1 : out std_logic;
SRAM_LB : out std_logic;
SRAM_UB : out std_logic
);
end dp_sram;
architecture dp_sram_arch of dp_sram is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "11";
-- FSM States
type STATE_TYPE is ( IDLE,
ST_READ1_A,
ST_WRITE1_A,
ST_READ1_B, ST_READ2_B,
ST_WRITE1_B, ST_WRITE2_B, ST_WRITE3_B );
signal STATE : STATE_TYPE := IDLE;
signal A_LOCK : std_logic;
signal B_LOCK : std_logic;
signal nWAIT_B : std_logic;
signal nCS_B_L : std_logic;
signal nWE_B_L : std_logic;
signal MEM_MASK_B_L : STD_LOGIC_VECTOR(3 downto 0);
signal DI_B_L : STD_LOGIC_VECTOR(31 downto 0);
signal ADDR_B_L : STD_LOGIC_VECTOR(31 downto 2);
begin
nWE_B_L <= nWE_B when falling_edge (nCS_B);
MEM_MASK_B_L <= MEM_MASK_B when falling_edge (nCS_B);
DI_B_L <= DI_B when falling_edge (nCS_B);
ADDR_B_L <= ADDR_B when falling_edge (nCS_B);
WAIT_B <= (not nCS_B) or (not nWAIT_B);
CS_B_LATCH: entity work.D_Flip_Flop
PORT MAP(
rst => not nCS_B,
pre => not nRESET,
ce => nWAIT_B,
d => '1',
q => nCS_B_L
);
process (CLK)
begin
if rising_edge(CLK) then
if nRESET = '0' then
STATE <= IDLE;
nWAIT_A <= '1';
nWAIT_B <= '1';
A_LOCK <= '0';
B_LOCK <= '0';
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
SRAM_D <= (OTHERS=>'Z');
else
if nCS_A = '1' then
A_LOCK <= '0';
end if;
if nCS_B = '1' then
B_LOCK <= '0';
end if;
if A_LOCK = '0' and nCS_A = '0' and STATE /= IDLE then
nWAIT_A <= '0';
end if;
if B_LOCK = '0' and nCS_B_L = '0' and (STATE /= IDLE or (STATE = IDLE and nCS_A = '0') ) then
nWAIT_B <= '0';
end if;
case STATE is
when IDLE =>
if A_LOCK = '0' and nCS_A = '0' then
nWAIT_A <= '0';
A_LOCK <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '0';
SRAM_LB <= '0';
SRAM_UB <= '1';
SRAM_A <= ADDR_A;
if nWE_A = '1' then
-- Read
SRAM_OE <= '0';
STATE <= ST_READ1_A;
else
-- Write
SRAM_WE <= '0';
SRAM_D(7 DOWNTO 0) <= DI_A;
STATE <= ST_WRITE1_A;
end if;
else
if nCS_B_L = '0' then
nWAIT_B <= '0';
B_LOCK <= '1';
SRAM_CE0 <= '0';
SRAM_CE1 <= '1';
SRAM_A <= ADDR_B_L(18 downto 2) & '0';
if nWE_B_L = '1' then
-- Read
SRAM_UB <= '0';
SRAM_LB <= '0';
SRAM_OE <= '0';
STATE <= ST_READ1_B;
else
-- Write
if (ENDIAN_MODE = "11" and (MEM_MASK_B_L(0) = '1' or MEM_MASK_B_L(1) = '1') )
or (ENDIAN_MODE = "00" and (MEM_MASK_B_L(2) = '1' or MEM_MASK_B_L(3) = '1') )then
if ENDIAN_MODE = "11" then
SRAM_D <= DI_B_L(15 downto 0);
SRAM_UB <= not MEM_MASK_B_L(1);
SRAM_LB <= not MEM_MASK_B_L(0);
else
SRAM_D <= DI_B_L(23 downto 16) & DI_B_L(31 downto 24);
SRAM_UB <= not MEM_MASK_B_L(2);
SRAM_LB <= not MEM_MASK_B_L(3);
end if;
SRAM_WE <= '0';
if (ENDIAN_MODE = "11" and (MEM_MASK_B_L(2) = '1' or MEM_MASK_B_L(3) = '1') )
or (ENDIAN_MODE = "00" and (MEM_MASK_B_L(0) = '1' or MEM_MASK_B_L(1) = '1') ) then
STATE <= ST_WRITE1_B;
else
STATE <= ST_WRITE3_B;
end if;
else
SRAM_A <= ADDR_B_L(18 downto 2) & '1';
if ENDIAN_MODE = "11" then
SRAM_D <= DI_B_L(31 downto 16);
SRAM_UB <= not MEM_MASK_B_L(3);
SRAM_LB <= not MEM_MASK_B_L(2);
else
SRAM_D <= DI_B_L(7 downto 0) & DI_B_L(15 downto 8);
SRAM_UB <= not MEM_MASK_B_L(0);
SRAM_LB <= not MEM_MASK_B_L(1);
end if;
SRAM_WE <= '0';
SRAM_CE0 <= '0';
SRAM_CE1 <= '1';
STATE <= ST_WRITE3_B;
end if;
end if;
end if;
end if;
when ST_READ1_A =>
DO_A <= SRAM_D(7 DOWNTO 0);
nWAIT_A <= '1';
SRAM_D <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
STATE <= IDLE;
when ST_WRITE1_A =>
nWAIT_A <= '1';
SRAM_D <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
STATE <= IDLE;
when ST_READ1_B =>
if ENDIAN_MODE = "11" then
DO_B(15 downto 0) <= SRAM_D;
else
DO_B(31 downto 16) <= SRAM_D(7 downto 0) & SRAM_D(15 downto 8);
end if;
SRAM_A <= ADDR_B(18 downto 2) & '1';
STATE <= ST_READ2_B;
when ST_READ2_B =>
if ENDIAN_MODE = "11" then
DO_B(31 downto 16) <= SRAM_D;
else
DO_B(15 downto 0) <= SRAM_D(7 downto 0) & SRAM_D(15 downto 8);
end if;
nWAIT_B <= '1';
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
SRAM_D <= (OTHERS=>'Z');
STATE <= IDLE;
when ST_WRITE1_B =>
SRAM_UB <= '1';
SRAM_LB <= '1';
SRAM_WE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
STATE <= ST_WRITE2_B;
when ST_WRITE2_B =>
SRAM_A <= ADDR_B_L(18 downto 2) & '1';
if ENDIAN_MODE = "11" then
SRAM_D <= DI_B_L(31 downto 16);
SRAM_UB <= not MEM_MASK_B_L(3);
SRAM_LB <= not MEM_MASK_B_L(2);
else
SRAM_D <= DI_B_L(7 downto 0) & DI_B_L(15 downto 8);
SRAM_UB <= not MEM_MASK_B_L(0);
SRAM_LB <= not MEM_MASK_B_L(1);
end if;
SRAM_WE <= '0';
SRAM_CE0 <= '0';
SRAM_CE1 <= '1';
STATE <= ST_WRITE3_B;
when ST_WRITE3_B =>
nWAIT_B <= '1';
SRAM_D <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
STATE <= IDLE;
when OTHERS =>
STATE <= IDLE;
end case;
end if;
end if;
end process;
end dp_sram_arch; |
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY RF_tb IS
END RF_tb;
ARCHITECTURE behavior OF RF_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT RF
PORT(
rs1 : IN std_logic_vector(5 downto 0);
rs2 : IN std_logic_vector(5 downto 0);
rd : IN std_logic_vector(5 downto 0);
DWR : IN std_logic_vector(31 downto 0);
rst : IN std_logic;
Crs1 : OUT std_logic_vector(31 downto 0);
Crs2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal rs1 : std_logic_vector(5 downto 0) := (others => '0');
signal rs2 : std_logic_vector(5 downto 0) := (others => '0');
signal rd : std_logic_vector(5 downto 0) := (others => '0');
signal DWR : std_logic_vector(31 downto 0) := (others => '0');
signal rst : std_logic := '0';
--Outputs
signal Crs1 : std_logic_vector(31 downto 0);
signal Crs2 : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: RF PORT MAP (
rs1 => rs1,
rs2 => rs2,
rd => rd,
DWR => DWR,
rst => rst,
Crs1 => Crs1,
Crs2 => Crs2
);
-- Stimulus process
stim_proc: process
begin
rst<='1';
wait for 20 ns;
rst<='0';
rs1<=(others=>'0');
rs2<="001000";
rd<="000001";
DWR<="00000000000000000000000000001000";
wait for 40 ns;
rs1<=(others=>'0');
rs2<="011001";
rd<="000010";
DWR<="11111111111111111111111111111001";
wait for 40 ns;
rs1<="000001";
rs2<="000010";
rd<="001000";
DWR<="00000000000001000101111011111111";
wait for 40 ns;
rd<="001001";
DWR<="00000000000000000000000000001000";
wait for 40 ns;
rd<="001010";
DWR<="00000000000000000000000000001001";
wait for 40 ns;
rd<="001011";
DWR<="00000000000000000000000000001010";
wait for 40 ns;
rd<="001100";
DWR<="00000000000000000000000000001011";
wait for 40 ns;
rst<='1';
rs1<="000001";
rs2<="000010";
rd<="001101";
DWR<="00000000000000000000000000001100";
wait;
end process;
END;
|
entity tb_dff13 is
end tb_dff13;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_dff13 is
signal clk : std_logic;
signal din : std_logic;
signal dout : std_logic;
begin
dut: entity work.dff13
port map (
q => dout,
d => din,
clk => clk);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
din <= '0';
pulse;
assert dout = '0' severity failure;
din <= '1';
pulse;
assert dout = '1' severity failure;
pulse;
assert dout = '1' severity failure;
din <= '0';
pulse;
assert dout = '0' severity failure;
wait;
end process;
end behav;
|
library ieee;
use ieee.std_logic_1164.all;
entity Signature is
generic (n:integer := 4);
port(
CLK: in std_logic;
RST: in std_logic;
Pin: in std_logic;
Pout: out std_logic_vector(0 to 2**n-1)
);
end Signature;
architecture behavior of Signature is
signal sreg: std_logic_vector(0 to 2**n-1);
signal sdat: std_logic_vector(0 to 2**n-1);
signal buf: std_logic;
Begin
Main: process (CLK, RST, sdat)
begin
if RST = '1' then
sreg <= (others => '0');
elsif rising_edge(CLK) then
sreg <= sdat;
end if;
end process;
Data: process (Pin, sreg)
begin
buf <= sreg(0) xor sreg(2**n-1);
sdat <= (sreg(2**n-1) xor PIn) & sreg(0 to 2**n-2);
sdat(2) <= buf;
end process;
Pout <= sreg;
End behavior; |
-------------------------------------------------------------------------------
--! @project Unrolled (6) hardware implementation of Asconv1286
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity OutputGenerator is
port(
In0 : in std_logic_vector(63 downto 0);
DataIn : in std_logic_vector(63 downto 0);
Size : in std_logic_vector(2 downto 0);
Activate : in std_logic;
Out0 : out std_logic_vector(63 downto 0);
DataOut : out std_logic_vector(63 downto 0));
end entity OutputGenerator;
architecture structural of OutputGenerator is
constant ALLZERO : std_logic_vector(63 downto 0) := (others => '0');
signal Temp0,Temp1,Temp2 : std_logic_vector(63 downto 0);
begin
Gen: process(In0,DataIn,Size,Activate,Temp0,Temp1,Temp2) is
-- Truncator0&1
procedure doTruncate0 ( -- Truncate block 0 and 1 together
signal Input : in std_logic_vector(63 downto 0);
signal Size : in std_logic_vector(2 downto 0);
signal Activate : in std_logic;
signal Output : out std_logic_vector(63 downto 0)) is
variable ActSize : std_logic_vector(3 downto 0);
begin
ActSize(3) := Activate;
ActSize(2 downto 0) := Size;
-- if inactive it lets everything trough, if active it lets the first blocksize bits trough
logic: case ActSize is
when "1001" =>
Output(63 downto 56) <= Input(63 downto 56);
Output(55) <= '1';
Output(54 downto 0) <= ALLZERO(54 downto 0);
when "1010" =>
Output(63 downto 48) <= Input(63 downto 48);
Output(47) <= '1';
Output(46 downto 0) <= ALLZERO(46 downto 0);
when "1011" =>
Output(63 downto 40) <= Input(63 downto 40);
Output(39) <= '1';
Output(38 downto 0) <= ALLZERO(38 downto 0);
when "1100" =>
Output(63 downto 32) <= Input(63 downto 32);
Output(31) <= '1';
Output(30 downto 0) <= ALLZERO(30 downto 0);
when "1101" =>
Output(63 downto 24) <= Input(63 downto 24);
Output(23) <= '1';
Output(22 downto 0) <= ALLZERO(22 downto 0);
when "1110" =>
Output(63 downto 16) <= Input(63 downto 16);
Output(15) <= '1';
Output(14 downto 0) <= ALLZERO(14 downto 0);
when "1111" =>
Output(63 downto 8) <= Input(63 downto 8);
Output(7) <= '1';
Output(6 downto 0) <= ALLZERO(6 downto 0);
when others => -- deactivate or blocksize max or invalid input (cas 0xxxx or 10000)
Output <= Input;
end case logic;
end procedure doTruncate0;
-- Truncator2
procedure doTruncate2 ( -- Truncate block 0 and 1 together
signal Input : in std_logic_vector(63 downto 0);
signal Size : in std_logic_vector(2 downto 0);
signal Activate : in std_logic;
signal Output : out std_logic_vector(63 downto 0)) is
variable ActSize : std_logic_vector(3 downto 0);
begin
ActSize(3) := Activate;
ActSize(2 downto 0) := Size;
-- if inactive it lets everything trough, if active it blocks the first blocksize bits
logic: case ActSize is
when "1000" =>
Output <= ALLZERO;
when "1001" =>
Output(63 downto 56) <= ALLZERO(63 downto 56);
Output(55 downto 0) <= Input(55 downto 0);
when "1010" =>
Output(63 downto 48) <= ALLZERO(63 downto 48);
Output(47 downto 0) <= Input(47 downto 0);
when "1011" =>
Output(63 downto 40) <= ALLZERO(63 downto 40);
Output(39 downto 0) <= Input(39 downto 0);
when "1100" =>
Output(63 downto 32) <= ALLZERO(63 downto 32);
Output(31 downto 0) <= Input(31 downto 0);
when "1101" =>
Output(63 downto 24) <= ALLZERO(63 downto 24);
Output(23 downto 0) <= Input(23 downto 0);
when "1110" =>
Output(63 downto 16) <= ALLZERO(63 downto 16);
Output(15 downto 0) <= Input(15 downto 0);
when "1111" =>
Output(63 downto 8) <= ALLZERO(63 downto 8);
Output(7 downto 0) <= Input(7 downto 0);
when others => -- deactivate or blocksize max or invalid input (cas 0xxxx or 10000)
Output <= Input;
end case logic;
end procedure doTruncate2;
begin
-- DataOut
DataOut <= In0 xor DataIn;
-- Stateupdate
doTruncate0(DataIn,Size,Activate,Temp0);
Temp1 <= In0;
doTruncate2(Temp1,Size,Activate,Temp2);
Out0 <= Temp0 xor Temp2;
end process Gen;
end architecture structural;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Rhody_CPU_pipelinev112 is
port ( clk : in std_logic;
rst : in std_logic;
MEM_ADR : out std_logic_vector(31 downto 0);
MEM_IN : in std_logic_vector(31 downto 0);
MEM_OUT : out std_logic_vector(31 downto 0);
mem_wr : out std_logic;
mem_rd : out std_logic;
key : in std_logic;
LEDR : out std_logic_vector(3 downto 0)
);
end;
architecture Structural of Rhody_CPU_pipelinev112 is
-- state machine: CPU_state
type State_type is (S1, S2);
signal update, stage1, stage2, stage3, stage4: State_type;
-- Register File: 8x32
type reg_file_type is array (0 to 7) of std_logic_vector(31 downto 0);
signal register_file : reg_file_type;
-- Internal registers
signal MDR_in, MDR_out, MAR, PSW: std_logic_vector(31 downto 0);
signal PC, SP: unsigned(31 downto 0); --unsigned for arithemtic operations
-- Internal control signals
signal operand0, operand1, ALU_out : std_logic_vector(31 downto 0);
signal carry, overflow, zero : std_logic;
-- Pipeline Istruction registers
signal stall: Boolean;
signal IR2, IR3, IR4: std_logic_vector(31 downto 0);
--Rhody Instruction Format
alias Opcode2: std_logic_vector(5 downto 0) is IR2(31 downto 26);
alias Opcode3: std_logic_vector(5 downto 0) is IR3(31 downto 26);
alias Opcode4: std_logic_vector(5 downto 0) is IR4(31 downto 26);
alias RX2 : std_logic_vector(2 downto 0) is IR2(25 downto 23);
alias RX3 : std_logic_vector(2 downto 0) is IR3(25 downto 23);
alias RX4 : std_logic_vector(2 downto 0) is IR4(25 downto 23);
alias RY2 : std_logic_vector(2 downto 0) is IR2(22 downto 20);
alias RY3 : std_logic_vector(2 downto 0) is IR3(22 downto 20);
alias RZ2 : std_logic_vector(2 downto 0) is IR2(19 downto 17);
alias RA2 : std_logic_vector(2 downto 0) is IR2(16 downto 14);
alias RB2 : std_logic_vector(2 downto 0) is IR2(13 downto 11);
alias RB3 : std_logic_vector(2 downto 0) is IR2(13 downto 11);
alias RC2 : std_logic_vector(2 downto 0) is IR2(10 downto 8);
alias RC3 : std_logic_vector(2 downto 0) is IR2(10 downto 8);
alias RD2 : std_logic_vector(2 downto 0) is IR2(7 downto 5);
alias RE2 : std_logic_vector(2 downto 0) is IR2(4 downto 2);
alias I2 : std_logic_vector(15 downto 0) is IR2(15 downto 0);
alias M2 : std_logic_vector(19 downto 0) is IR2(19 downto 0);
alias M3 : std_logic_vector(19 downto 0) is IR3(19 downto 0);
-- Temporary control signals
signal tmpx, tmpy, tmpz, tmpa: std_logic_vector(31 downto 0);
--Condition Codes
alias Z: std_logic is PSW(0);
alias C: std_logic is PSW(1);
alias S: std_logic is PSW(2);
alias V: std_logic is PSW(3);
--Instruction Opcodes
constant NOP : std_logic_vector(5 downto 0) := "000000";
--constant ADD64: std_logic_vector(5 downto 0) := "000001";
--constant T2 : std_logic_vector(5 downto 0) := "000010";
constant LDM : std_logic_vector(5 downto 0) := "000100";
constant LDR : std_logic_vector(5 downto 0) := "000101";
constant LDIX : std_logic_vector(5 downto 0) := "000110";
constant STIX : std_logic_vector(5 downto 0) := "000111";
constant LDH : std_logic_vector(5 downto 0) := "001000";
constant LDL : std_logic_vector(5 downto 0) := "001001";
constant LDI : std_logic_vector(5 downto 0) := "001010";
constant MOV : std_logic_vector(5 downto 0) := "001011";
constant STM : std_logic_vector(5 downto 0) := "001100";
constant STR : std_logic_vector(5 downto 0) := "001101";
constant ADD : std_logic_vector(5 downto 0) := "010000";
constant ADI : std_logic_vector(5 downto 0) := "010001";
constant SUB : std_logic_vector(5 downto 0) := "010010";
constant MUL : std_logic_vector(5 downto 0) := "010011";
constant IAND : std_logic_vector(5 downto 0) := "010100"; --avoid keyword
constant IOR : std_logic_vector(5 downto 0) := "010101"; --avoid keyword
constant IXOR : std_logic_vector(5 downto 0) := "010110"; --avoid keyword
constant IROR : std_logic_vector(5 downto 0) := "010111"; --avoid keyword
constant JNZ : std_logic_vector(5 downto 0) := "100000";
constant JNS : std_logic_vector(5 downto 0) := "100001";
constant JNV : std_logic_vector(5 downto 0) := "100010";
constant JNC : std_logic_vector(5 downto 0) := "100011";
constant JZ : std_logic_vector(5 downto 0) := "100100";
constant JS : std_logic_vector(5 downto 0) := "100101";
constant JV : std_logic_vector(5 downto 0) := "100110";
constant JC : std_logic_vector(5 downto 0) := "100111";
constant JMP : std_logic_vector(5 downto 0) := "101000";
constant CMP : std_logic_vector(5 downto 0) := "101010";
--constant T11 : std_logic_vector(5 downto 0) := "101110";
--constant T12 : std_logic_vector(5 downto 0) := "101111";
constant CALL : std_logic_vector(5 downto 0) := "110000";
constant CMPI : std_logic_vector(5 downto 0) := "110010";
constant RET : std_logic_vector(5 downto 0) := "110100";
constant RETI : std_logic_vector(5 downto 0) := "110101";
constant PUSH : std_logic_vector(5 downto 0) := "111000";
constant POP : std_logic_vector(5 downto 0) := "111001";
constant SYS : std_logic_vector(5 downto 0) := "111100";
--constant SIG0 : std_logic_vector(5 downto 0) := "111110";
--constant SIG1 : std_logic_vector(5 downto 0) := "111111";
constant MLOAD0 : std_logic_vector(5 downto 0) := "011001";
constant MLOAD1 : std_logic_vector(5 downto 0) := "011010";
constant MLOAD2 : std_logic_vector(5 downto 0) := "011011";
constant MLOAD3 : std_logic_vector(5 downto 0) := "011100";
constant WLOAD : std_logic_vector(5 downto 0) := "011101";
constant ROUND1 : std_logic_vector(5 downto 0) := "101100";
constant FIN : std_logic_vector(5 downto 0) := "101101";
constant MSTM0 : std_logic_vector(5 downto 0) := "101001";
constant MSTM1 : std_logic_vector(5 downto 0) := "101011";
constant LDIXD : std_logic_vector(5 downto 0) := "111010";
constant WPAD : std_logic_vector(5 downto 0) := "111011";
constant WORD_BITS : integer := 64;
subtype WORD_TYPE is std_logic_vector(63 downto 0);
type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE;
constant WORD_NULL : WORD_TYPE := (others => '0');
--shared variable w_80 : WORD_VECTOR(0 to 79);
----------------------------------------------------------------
constant K_TABLE : WORD_VECTOR(0 to 79) := (
0 => To_StdLogicVector(bit_vector'(X"428a2f98d728ae22")),
1 => To_StdLogicVector(bit_vector'(X"7137449123ef65cd")),
2 => To_StdLogicVector(bit_vector'(X"b5c0fbcfec4d3b2f")),
3 => To_StdLogicVector(bit_vector'(X"e9b5dba58189dbbc")),
4 => To_StdLogicVector(bit_vector'(X"3956c25bf348b538")),
5 => To_StdLogicVector(bit_vector'(X"59f111f1b605d019")),
6 => To_StdLogicVector(bit_vector'(X"923f82a4af194f9b")),
7 => To_StdLogicVector(bit_vector'(X"ab1c5ed5da6d8118")),
8 => To_StdLogicVector(bit_vector'(X"d807aa98a3030242")),
9 => To_StdLogicVector(bit_vector'(X"12835b0145706fbe")),
10 => To_StdLogicVector(bit_vector'(X"243185be4ee4b28c")),
11 => To_StdLogicVector(bit_vector'(X"550c7dc3d5ffb4e2")),
12 => To_StdLogicVector(bit_vector'(X"72be5d74f27b896f")),
13 => To_StdLogicVector(bit_vector'(X"80deb1fe3b1696b1")),
14 => To_StdLogicVector(bit_vector'(X"9bdc06a725c71235")),
15 => To_StdLogicVector(bit_vector'(X"c19bf174cf692694")),
16 => To_StdLogicVector(bit_vector'(X"e49b69c19ef14ad2")),
17 => To_StdLogicVector(bit_vector'(X"efbe4786384f25e3")),
18 => To_StdLogicVector(bit_vector'(X"0fc19dc68b8cd5b5")),
19 => To_StdLogicVector(bit_vector'(X"240ca1cc77ac9c65")),
20 => To_StdLogicVector(bit_vector'(X"2de92c6f592b0275")),
21 => To_StdLogicVector(bit_vector'(X"4a7484aa6ea6e483")),
22 => To_StdLogicVector(bit_vector'(X"5cb0a9dcbd41fbd4")),
23 => To_StdLogicVector(bit_vector'(X"76f988da831153b5")),
24 => To_StdLogicVector(bit_vector'(X"983e5152ee66dfab")),
25 => To_StdLogicVector(bit_vector'(X"a831c66d2db43210")),
26 => To_StdLogicVector(bit_vector'(X"b00327c898fb213f")),
27 => To_StdLogicVector(bit_vector'(X"bf597fc7beef0ee4")),
28 => To_StdLogicVector(bit_vector'(X"c6e00bf33da88fc2")),
29 => To_StdLogicVector(bit_vector'(X"d5a79147930aa725")),
30 => To_StdLogicVector(bit_vector'(X"06ca6351e003826f")),
31 => To_StdLogicVector(bit_vector'(X"142929670a0e6e70")),
32 => To_StdLogicVector(bit_vector'(X"27b70a8546d22ffc")),
33 => To_StdLogicVector(bit_vector'(X"2e1b21385c26c926")),
34 => To_StdLogicVector(bit_vector'(X"4d2c6dfc5ac42aed")),
35 => To_StdLogicVector(bit_vector'(X"53380d139d95b3df")),
36 => To_StdLogicVector(bit_vector'(X"650a73548baf63de")),
37 => To_StdLogicVector(bit_vector'(X"766a0abb3c77b2a8")),
38 => To_StdLogicVector(bit_vector'(X"81c2c92e47edaee6")),
39 => To_StdLogicVector(bit_vector'(X"92722c851482353b")),
40 => To_StdLogicVector(bit_vector'(X"a2bfe8a14cf10364")),
41 => To_StdLogicVector(bit_vector'(X"a81a664bbc423001")),
42 => To_StdLogicVector(bit_vector'(X"c24b8b70d0f89791")),
43 => To_StdLogicVector(bit_vector'(X"c76c51a30654be30")),
44 => To_StdLogicVector(bit_vector'(X"d192e819d6ef5218")),
45 => To_StdLogicVector(bit_vector'(X"d69906245565a910")),
46 => To_StdLogicVector(bit_vector'(X"f40e35855771202a")),
47 => To_StdLogicVector(bit_vector'(X"106aa07032bbd1b8")),
48 => To_StdLogicVector(bit_vector'(X"19a4c116b8d2d0c8")),
49 => To_StdLogicVector(bit_vector'(X"1e376c085141ab53")),
50 => To_StdLogicVector(bit_vector'(X"2748774cdf8eeb99")),
51 => To_StdLogicVector(bit_vector'(X"34b0bcb5e19b48a8")),
52 => To_StdLogicVector(bit_vector'(X"391c0cb3c5c95a63")),
53 => To_StdLogicVector(bit_vector'(X"4ed8aa4ae3418acb")),
54 => To_StdLogicVector(bit_vector'(X"5b9cca4f7763e373")),
55 => To_StdLogicVector(bit_vector'(X"682e6ff3d6b2b8a3")),
56 => To_StdLogicVector(bit_vector'(X"748f82ee5defb2fc")),
57 => To_StdLogicVector(bit_vector'(X"78a5636f43172f60")),
58 => To_StdLogicVector(bit_vector'(X"84c87814a1f0ab72")),
59 => To_StdLogicVector(bit_vector'(X"8cc702081a6439ec")),
60 => To_StdLogicVector(bit_vector'(X"90befffa23631e28")),
61 => To_StdLogicVector(bit_vector'(X"a4506cebde82bde9")),
62 => To_StdLogicVector(bit_vector'(X"bef9a3f7b2c67915")),
63 => To_StdLogicVector(bit_vector'(X"c67178f2e372532b")),
64 => To_StdLogicVector(bit_vector'(X"ca273eceea26619c")),
65 => To_StdLogicVector(bit_vector'(X"d186b8c721c0c207")),
66 => To_StdLogicVector(bit_vector'(X"eada7dd6cde0eb1e")),
67 => To_StdLogicVector(bit_vector'(X"f57d4f7fee6ed178")),
68 => To_StdLogicVector(bit_vector'(X"06f067aa72176fba")),
69 => To_StdLogicVector(bit_vector'(X"0a637dc5a2c898a6")),
70 => To_StdLogicVector(bit_vector'(X"113f9804bef90dae")),
71 => To_StdLogicVector(bit_vector'(X"1b710b35131c471b")),
72 => To_StdLogicVector(bit_vector'(X"28db77f523047d84")),
73 => To_StdLogicVector(bit_vector'(X"32caab7b40c72493")),
74 => To_StdLogicVector(bit_vector'(X"3c9ebe0a15c9bebc")),
75 => To_StdLogicVector(bit_vector'(X"431d67c49c100d4c")),
76 => To_StdLogicVector(bit_vector'(X"4cc5d4becb3e42b6")),
77 => To_StdLogicVector(bit_vector'(X"597f299cfc657e2a")),
78 => To_StdLogicVector(bit_vector'(X"5fcb6fab3ad6faec")),
79 => To_StdLogicVector(bit_vector'(X"6c44198c4a475817"))
);
constant H0_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"6a09e667f3bcc908"));
constant H1_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"bb67ae8584caa73b"));
constant H2_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"3c6ef372fe94f82b"));
constant H3_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"a54ff53a5f1d36f1"));
constant H4_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"510e527fade682d1"));
constant H5_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"9b05688c2b3e6c1f"));
constant H6_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"1f83d9abfb41bd6b"));
constant H7_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"5be0cd19137e2179"));
-------------------------------------------------------------------------
signal dm0 : std_logic_vector(63 downto 0);
signal dm1 : std_logic_vector(63 downto 0);
signal dm2 : std_logic_vector(63 downto 0);
signal dm3 : std_logic_vector(63 downto 0);
signal dm4 : std_logic_vector(63 downto 0);
signal dm5 : std_logic_vector(63 downto 0);
signal dm6 : std_logic_vector(63 downto 0);
signal dm7 : std_logic_vector(63 downto 0);
signal dm8 : std_logic_vector(63 downto 0);
signal dm9 : std_logic_vector(63 downto 0);
signal dm10 : std_logic_vector(63 downto 0);
signal dm11 : std_logic_vector(63 downto 0);
signal dm12 : std_logic_vector(63 downto 0);
signal dm13 : std_logic_vector(63 downto 0);
signal dm14 : std_logic_vector(63 downto 0);
signal dm15 : std_logic_vector(63 downto 0);
-- a,b,c,d,e,f,g,h
signal wva : WORD_TYPE;
signal wvb : WORD_TYPE;
signal wvc : WORD_TYPE;
signal wvd : WORD_TYPE;
signal wve : WORD_TYPE;
signal wvf : WORD_TYPE;
signal wvg : WORD_TYPE;
signal wvh : WORD_TYPE;
signal t1_val : WORD_TYPE;
signal t2_val : WORD_TYPE;
-- H0,H1,H2,H3,H4,H5,H6,H7
signal h0 : WORD_TYPE;
signal h1 : WORD_TYPE;
signal h2 : WORD_TYPE;
signal h3 : WORD_TYPE;
signal h4 : WORD_TYPE;
signal h5 : WORD_TYPE;
signal h6 : WORD_TYPE;
signal h7 : WORD_TYPE;
signal rcount : integer;
signal tmp1, tmp2, tmp3, tmp4, tmp5, tmp6, tmp7: std_logic_vector(63 downto 0);
signal mvect : WORD_VECTOR(0 to 15);
signal wout: std_logic_vector(63 downto 0);
begin
--Display condition code on LEDR for debugging purpose
LEDR(3) <= Z when key='0' else '0';
LEDR(2) <= C when key='0' else '0';
LEDR(1) <= S when key='0' else '0';
LEDR(0) <= V when key='0' else '0';
--CPU bus interface
MEM_OUT <= MDR_out; --Outgoing data bus
MEM_ADR <= MAR; --Address bus
--One clock cycle delay in obtaining CPU_state, e.g. S1->S2
mem_rd <= '1' when ((Opcode2=LDM or Opcode2=LDR or Opcode2 = LDIX or Opcode2 = LDIXD) and stage2=S2) else
'1' when (Opcode3 = LDIXD and stage3=S2) else
'1' when (stage1=S2 and not stall) else
'1' when ((Opcode2=POP or Opcode2=RET) and stage2=S2) else
'1' when (Opcode2=RETI and stage2=S2) else
'1' when (Opcode3=RETI and stage3=S2) else
'0'; --Memory read control signal
mem_wr <= '1' when ((Opcode3=STM or Opcode3=STR or Opcode3=STIX) and stage3=S1) else
'1' when ((Opcode3=PUSH or Opcode3=CALL) and stage3=S2) else
'1' when (Opcode3=SYS and stage3=S2) else
'1' when (Opcode4=SYS and stage4=S2) else
'0'; --Memory write control signal
stall <= true when(Opcode2=LDM or Opcode2=LDR or Opcode2 = LDIX or Opcode2=STM or Opcode2=STR or Opcode2=STIX or Opcode2=WPAD or Opcode2 = LDIXD) else
true when(Opcode2=CALL or Opcode2=PUSH or Opcode2=POP or Opcode2=RET
or Opcode2=SYS or Opcode2=RETI) else
true when(Opcode3=CALL or Opcode3=RET or Opcode3=PUSH
or Opcode3=SYS or Opcode3=RETI or Opcode3 = LDIXD) else
true when(Opcode4=SYS or Opcode4=RETI) else
false;
--The state machine that is CPU
CPU_State_Machine: process (clk, rst)
begin
if rst='1' then
update <= S1;
stage1 <= S1;
stage2 <= S1;
stage3 <= S1;
stage4 <= S1;
rcount <= 0;
PC <= x"00000000"; --initialize PC
SP <= x"000FF7FF"; --initialize SP
IR2 <= x"00000000";
IR3 <= x"00000000";
IR4 <= x"00000000";
elsif clk'event and clk = '1' then
case update is
when S1 =>
update <= S2;
when S2 =>
if (stall or
(Opcode2=JNZ and Z='1') or (Opcode2=JZ and Z='0') or
(Opcode2=JNS and S='1') or (Opcode2=JS and S='0') or
(Opcode2=JNV and V='1') or (Opcode2=JV and V='0') or
(Opcode2=JNC and C='1') or (Opcode2=JC and C='0') ) then
IR2 <= x"00000000"; --insert NOP
else
IR2 <= MEM_in;
end if;
IR3 <= IR2;
IR4 <= IR3;
update <= S1;
when others =>
null;
end case;
case stage1 is
when S1 =>
if (not stall) then
if(Opcode2=JMP or Opcode2=JNZ or Opcode2=JZ or Opcode2=JNS or
Opcode2=JS or Opcode2=JNV or Opcode2=JV or
Opcode2=JNC or Opcode2=JC) then
MAR <= x"000" & M2;
else
MAR <= std_logic_vector(PC);
end if;
end if;
stage1 <= S2;
when S2 =>
if (not stall) then
if (Opcode2=JMP or
(Opcode2=JNZ and Z='0') or (Opcode2=JZ and Z='1') or
(Opcode2=JNS and S='0') or (Opcode2=JS and S='1') or
(Opcode2=JNV and V='0') or (Opcode2=JV and V='1') or
(Opcode2=JNC and C='0') or (Opcode2=JC and C='1') ) then
PC <= (x"000" & unsigned(M2))+1;
elsif ((Opcode2=JNZ and Z='1') or (Opcode2=JZ and Z = '0') or
(Opcode2=JNS and S = '1')or (Opcode2=JS and S = '0') or
(Opcode2=JNV and V = '1') or (Opcode2=JV and V = '0') or
(Opcode2=JNC and C = '1') or (Opcode2=JC and C = '0')) then
null;
else
PC <= PC + 1;
end if;
end if;
stage1 <= S1;
when others =>
null;
end case;
case stage2 is
when S1 =>
if (Opcode2=LDI) then
register_file(to_integer(unsigned(RX2)))<=(31 downto 16=>I2(15)) & I2;
elsif (Opcode2=LDH) then
register_file(to_integer(unsigned(RX2)))
<= I2 & register_file(to_integer(unsigned(RX2)))(15 downto 0);
--(31 downto 16)<= I2;
elsif (Opcode2=LDL) then
register_file(to_integer(unsigned(RX2)))
<= register_file(to_integer(unsigned(RX2)))(31 downto 16) & I2;
--(15 downto 0)<= I2;
elsif (Opcode2=MOV) then
register_file(to_integer(unsigned(RX2)))<=register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=ADD or Opcode2=SUB or Opcode2=MUL or Opcode2=CMP or
Opcode2=IAND or Opcode2=IOR or Opcode2=IXOR) then
operand1 <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=IROR) then
null;
elsif (Opcode2=ADI or Opcode2=CMPI) then
operand1 <= (31 downto 16=>I2(15)) & I2;
elsif (Opcode2=LDM) then
MAR <= x"000" & M2;
elsif (Opcode2=LDR) then
MAR <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=LDIX) then
MAR <= std_logic_vector(unsigned(
register_file(to_integer(unsigned(RY2))))
+ unsigned(M2));
elsif (Opcode2=STM) then
MAR <= x"000" & M2; MDR_out <= register_file(to_integer(unsigned(RX2)));
elsif (Opcode2=STR) then
MAR <= register_file(to_integer(unsigned(RX2)));
MDR_out <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=STIX) then
MAR <= std_logic_vector(unsigned(
register_file(to_integer(unsigned(RX2))))
+ unsigned(M2));
MDR_out <=
register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=JMP or
(Opcode2=JNZ and Z='0') or (Opcode2=JZ and Z='1') or
(Opcode2=JNS and S='0') or (Opcode2=JS and S='1') or
(Opcode2=JNV and V='0') or (Opcode2=JV and V='1') or
(Opcode2=JNC and C='0') or (Opcode2=JC and C='1') ) then
PC <= x"000" & unsigned(M2);
elsif (Opcode2=CALL or Opcode2=PUSH or Opcode2=SYS) then
SP <= SP + 1;
elsif (Opcode2=RET or Opcode2=RETI or Opcode2=POP) then
MAR <= std_logic_vector(SP);
elsif (Opcode2 = WLOAD) then
h0 <= H0_INIT;
h1 <= H1_INIT;
h2 <= H2_INIT;
h3 <= H3_INIT;
h4 <= H4_INIT;
h5 <= H5_INIT;
h6 <= H6_INIT;
h7 <= H7_INIT;
wva <= H0_INIT;
wvb <= H1_INIT;
wvc <= H2_INIT;
wvd <= H3_INIT;
wve <= H4_INIT;
wvf <= H5_INIT;
wvg <= H6_INIT;
wvh <= H7_INIT;
elsif (Opcode2 = WPAD) then
if (rcount < 16) then
wout <= std_logic_vector(mvect(rcount));
else
wout <= std_Logic_vector(
unsigned(unsigned(rotate_right(unsigned(mvect(14)),19)) xor unsigned(rotate_right(unsigned(mvect(14)),61)) xor unsigned(shift_right(unsigned(mvect(14)),6))) +
unsigned(mvect(9)) +
unsigned(unsigned(rotate_right(unsigned(mvect(1)),1)) xor unsigned(rotate_right(unsigned(mvect(1)),8)) xor unsigned(shift_right(unsigned(mvect(1)),7))) +
unsigned(mvect(0)));
end if;
elsif(Opcode2 = LDIXD) then
MAR <= std_logic_vector(unsigned(
register_file(to_integer(unsigned(RY2))))
+ unsigned(M2));
elsif (Opcode2 = MLOAD0) then
mvect(0) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(1) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(2) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(3) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2= MLOAD1) then
mvect(4) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(5) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(6) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(7) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2= MLOAD2) then
mvect(8) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(9) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(10) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(11) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2= MLOAD3) then
mvect(12) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(13) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(14) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(15) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2 = MSTM0) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector(unsigned(dm0))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector(unsigned(dm0))(31 downto 0);
register_file(to_integer(unsigned(RZ2))) <= std_logic_vector(unsigned(dm1))(63 downto 32);
register_file(to_integer(unsigned(RA2))) <= std_logic_vector(unsigned(dm1))(31 downto 0);
register_file(to_integer(unsigned(RB2))) <= std_logic_vector(unsigned(dm2))(63 downto 32);
register_file(to_integer(unsigned(RC2))) <= std_logic_vector(unsigned(dm2))(31 downto 0);
register_file(to_integer(unsigned(RD2))) <= std_logic_vector(unsigned(dm3))(63 downto 32);
register_file(to_integer(unsigned(RE2))) <= std_logic_vector(unsigned(dm3))(31 downto 0);
elsif (Opcode2 = MSTM1) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector(unsigned(dm4))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector(unsigned(dm4))(31 downto 0);
register_file(to_integer(unsigned(RZ2))) <= std_logic_vector(unsigned(dm5))(63 downto 32);
register_file(to_integer(unsigned(RA2))) <= std_logic_vector(unsigned(dm5))(31 downto 0);
register_file(to_integer(unsigned(RB2))) <= std_logic_vector(unsigned(dm6))(63 downto 32);
register_file(to_integer(unsigned(RC2))) <= std_logic_vector(unsigned(dm6))(31 downto 0);
register_file(to_integer(unsigned(RD2))) <= std_logic_vector(unsigned(dm7))(63 downto 32);
register_file(to_integer(unsigned(RE2))) <= std_logic_vector(unsigned(dm7))(31 downto 0);
elsif (Opcode2 = FIN) then
dm0 <= std_logic_vector(unsigned(wva) + unsigned(h0));
dm1 <= std_logic_vector(unsigned(wvb) + unsigned(h1));
dm2 <= std_logic_vector(unsigned(wvc) + unsigned(h2));
dm3 <= std_logic_vector(unsigned(wvd) + unsigned(h3));
dm4 <= std_logic_vector(unsigned(wve) + unsigned(h4));
dm5 <= std_logic_vector(unsigned(wvf) + unsigned(h5));
dm6 <= std_logic_vector(unsigned(wvg) + unsigned(h6));
dm7 <= std_logic_vector(unsigned(wvh) + unsigned(h7));
end if;
stage2 <= S2;
when S2 =>
if (Opcode2=ADD or Opcode2=SUB or Opcode2=IROR or Opcode2=IAND or
Opcode2=MUL or Opcode2=IOR or Opcode2=IXOR or Opcode2=ADI) then
register_file(to_integer(unsigned(RX2))) <= ALU_out;
Z <= zero; S <= ALU_out(31); V <= overflow; C <= carry; --update CC
elsif (Opcode2=CMP or Opcode2=CMPI) then
Z <= zero; S <= ALU_out(31); V <= overflow; C <= carry; --update CC only
elsif (Opcode2=LDM or Opcode2=LDR or Opcode2=LDIX or Opcode2 = LDIXD) then
MDR_in <= MEM_in;
elsif (Opcode2=STM or Opcode2=STR or Opcode2=STIX) then
null;
elsif (Opcode2=CALL or Opcode2=SYS) then
MAR <= std_logic_vector(SP);
MDR_out <= std_logic_vector(PC);
elsif (Opcode2=RET or Opcode2=RETI or Opcode2=POP) then
MDR_in <= MEM_IN; SP <= SP - 1;
elsif (Opcode2=PUSH) then
MAR <= std_logic_vector(SP);
MDR_out <= register_file(to_integer(unsigned(RX2)));
elsif (Opcode2 = WPAD) then
if (rcount < 16) then
t1_val <= std_logic_vector(
(unsigned(wvh) +
(unsigned(rotate_right(unsigned(wve), 14)) xor unsigned(rotate_right(unsigned(wve), 18)) xor unsigned(rotate_right(unsigned(wve), 41))) +
((unsigned(wve) and unsigned(wvf)) xor (not(unsigned(wve)) and unsigned(wvg))) +
(unsigned(K_TABLE(rcount)) + unsigned(wout))
));
t2_val <= std_logic_vector(
(unsigned(rotate_right(unsigned(wva), 28)) xor unsigned(rotate_right(unsigned(wva), 34)) xor unsigned(rotate_right(unsigned(wva), 39))) +
(((unsigned(wva)) and (unsigned(wvb))) xor ((unsigned(wva)) and (unsigned(wvc))) xor ((unsigned(wvb)) and (unsigned(wvc))))
);
else
t1_val <= std_logic_vector(
(unsigned(wvh) +
(unsigned(rotate_right(unsigned(wve), 14)) xor unsigned(rotate_right(unsigned(wve), 18)) xor unsigned(rotate_right(unsigned(wve), 41))) +
((unsigned(wve) and unsigned(wvf)) xor (not(unsigned(wve)) and unsigned(wvg))) +
(unsigned(K_TABLE(rcount)) + unsigned(wout))
));
t2_val <= std_logic_vector(
(unsigned(rotate_right(unsigned(wva), 28)) xor unsigned(rotate_right(unsigned(wva), 34)) xor unsigned(rotate_right(unsigned(wva), 39))) +
(((unsigned(wva)) and (unsigned(wvb))) xor ((unsigned(wva)) and (unsigned(wvc))) xor ((unsigned(wvb)) and (unsigned(wvc))))
);
end if;
end if;
stage2 <= S1;
when others =>
null;
end case;
case stage3 is
when S1 =>
if (Opcode3=LDM or Opcode3=LDR or Opcode3=LDIX) then
register_file(to_integer(unsigned(RX3))) <= MDR_in;
elsif (Opcode3 = LDIXD) then
mvect(to_integer(unsigned(register_file(to_integer(unsigned(RX3))))))(63 downto 32) <= MDR_in;
MAR <= std_logic_vector(unsigned(
register_file(to_integer(unsigned(RY3))))
+ unsigned(M3) + 1);
elsif (Opcode3=STM or Opcode3=STR or Opcode3=STIX) then
null;
elsif (Opcode3=CALL) then
PC <= x"000" & unsigned(M3);
elsif (Opcode3=POP) then
register_file(to_integer(unsigned(RX3))) <= MDR_in;
elsif (Opcode3=RET) then
PC <= unsigned(MDR_in);
elsif (Opcode3=RETI) then
PSW <= MDR_in; MAR <= std_logic_vector(SP);
elsif (Opcode3=PUSH) then
null;
elsif (Opcode3=SYS) then
SP <= SP + 1;
elsif(Opcode3 = WPAD) then
if (rcount < 16) then
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
rcount <= rcount + 1;
else
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
mvect(0) <= mvect(1);
mvect(1) <= mvect(2);
mvect(2) <= mvect(3);
mvect(3) <= mvect(4);
mvect(4) <= mvect(5);
mvect(5) <= mvect(6);
mvect(6) <= mvect(7);
mvect(7) <= (mvect(8));
mvect(8) <= (mvect(9));
mvect(9) <= (mvect(10));
mvect(10) <= (mvect(11));
mvect(11) <= (mvect(12));
mvect(12) <= (mvect(13));
mvect(13) <= (mvect(14));
mvect(14) <= (mvect(15));
mvect(15) <= wout;
rcount <= rcount + 1;
end if;
end if;
stage3 <= S2;
when S2 =>
if (Opcode3=RETI) then
MDR_in <= MEM_IN; sp <= sp - 1;
elsif (Opcode3=SYS) then
MAR <= std_logic_vector(SP);
MDR_out <= PSW;
elsif(Opcode3 = LDIXD) then
MDR_in <= MEM_in;
end if;
stage3 <= S1;
when others =>
null;
end case;
case stage4 is
when S1 =>
if (Opcode4=RETI) then
PC <= unsigned(MDR_in);
elsif (Opcode4=SYS) then
PC <= X"000FFC0"&unsigned(IR4(3 downto 0));
elsif (Opcode4 = LDIXD) then
mvect(to_integer(unsigned(register_file(to_integer(unsigned(RX4))))))(31 downto 0) <= MDR_in;
else stage4 <= S2;
end if;
stage4 <= S2;
when S2 =>
stage4 <= S1;
when others =>
null;
end case;
end if;
end process;
--------------------ALU----------------------------
Rhody_ALU: entity work.alu port map(
alu_op => IR2(28 downto 26),
operand0 => operand0,
operand1 => operand1,
n => IR2(4 downto 0),
alu_out => ALU_out,
carry => carry,
overflow => overflow);
zero <= '1' when alu_out = X"00000000" else '0';
operand0 <= register_file(to_integer(unsigned(RX2)));
-----------------------------------------------------
end Structural;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.aua_types.all;
entity sc_test_slave is
port (
clk : in std_logic;
reset : in std_logic;
-- SimpCon slave interface to IO ctrl
address : in sc_addr_t;
wr_data : in sc_data_t;
rd : in std_logic;
wr : in std_logic;
rd_data : out sc_data_t;
rdy_cnt : out sc_rdy_cnt_t
);
end sc_test_slave;
architecture rtl of sc_test_slave is
signal cycle_cnt, cycle_cnt_nxt : unsigned(3 downto 0);
signal ready, ready_nxt : unsigned(3 downto 0);
signal reg, reg_nxt : sc_data_t;
signal sc_out, sc_out_nxt : sc_data_t;
type state_type is (st_done, st_wait);
signal state : state_type;
signal state_nxt : state_type;
begin
rd_data <= sc_out;
nxt: process(reset, state, cycle_cnt, reg, wr_data, address, wr, rd, ready, sc_out)
begin
cycle_cnt_nxt <= cycle_cnt;
reg_nxt <= reg;
sc_out_nxt <= sc_out;
rdy_cnt <= (others => '0');
ready_nxt <= ready;
state_nxt <= st_done;
if state=st_done then
if address(0)='0' then
if(wr='1') then
cycle_cnt_nxt <= unsigned(wr_data(3 downto 0));
end if;
if rd='1' then
sc_out_nxt <= (sc_out_nxt'length-1 downto cycle_cnt'length => '0')&std_logic_vector(cycle_cnt);
end if;
else
if(wr='1') then
reg_nxt <= wr_data;
state_nxt <= st_wait;
ready_nxt <= cycle_cnt;
end if;
if(rd='1') then
sc_out_nxt <= reg;
state_nxt <= st_wait;
ready_nxt <= cycle_cnt;
end if;
end if;
else
ready_nxt <= ready-1;
sc_out_nxt <= reg;
if ready > 3 then
rdy_cnt <= "11";
else
rdy_cnt <= ready(1 downto 0);
end if;
if ready /= 0 then
state_nxt <= st_wait;
end if;
end if;
end process;
sync: process(clk, reset)
begin
if (reset='1') then
sc_out <= (others => '0');
cycle_cnt <= (others => '0');
reg <= (others => '0');
ready <= (others => '0');
state <= st_done;
elsif rising_edge(clk) then
sc_out <= sc_out_nxt;
cycle_cnt <= cycle_cnt_nxt;
reg <= reg_nxt;
ready <= ready_nxt;
state <= state_nxt;
end if;
end process;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_top is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
aclk : in std_logic; -- interface clock
arst_n : in std_logic; -- interface reset
clk : in std_logic; -- simulation clock (slow)
clk_x32 : in std_logic; -- prng clock (fast)
-- Write channel
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
-- Read channel
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0)
);
attribute syn_hier : string;
attribute syn_hier of faultify_top : entity is "hard";
end faultify_top;
architecture behav of faultify_top is
component flag_cdc
port (
clkA : in std_logic;
clkB : in std_logic;
FlagIn_clkA : in std_logic;
FlagOut_clkB : out std_logic;
rst_n : in std_logic);
end component;
component faultify_simulator
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end component;
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0);
signal errorSum : vector;
signal errorSumReg : vector;
signal errorSumReg_cdc_0 : vector;
signal errorSumReg_cdc_1 : vector;
signal errorVec : std_logic_vector(numOut-1 downto 0);
signal cnt : integer;
signal cnt_cdc_0 : integer;
signal cnt_cdc_1 : integer;
-- Asymmetric ram larger than 36 bit not supported in synplify I-2013
--type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0);
--signal seed_ram : seed_ram_matr;
-- workaround 2 32-bit rams
type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal seed_ram_low : seed_ram_matr;
signal seed_ram_high : seed_ram_matr;
--subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0);
--type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t;
--signal seed_ram : seed_ram_matr_memory_t;
type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal prob_ram : prob_ram_matr;
type reg_type is record
control : std_logic_vector(31 downto 0);
status : std_logic_vector(31 downto 0);
pe_location : std_logic_vector(31 downto 0);
pe_seed_low : std_logic_vector(31 downto 0);
pe_seed_high : std_logic_vector(31 downto 0);
pe_probability : std_logic_vector(31 downto 0);
output : std_logic_vector(31 downto 0);
ovalid : std_logic;
simtime : std_logic_vector(31 downto 0);
sel_soe : std_logic_vector(31 downto 0);
adr_soe : std_logic_vector(31 downto 0);
awaddr : std_logic_vector(31 downto 0);
test : std_logic_vector(31 downto 0);
circreset : std_logic_vector(31 downto 0);
cnt_tmp : std_logic_vector(31 downto 0);
sumoferrors : vector;
end record;
signal busy_loading : std_logic;
signal busy_simulating : std_logic;
signal busy_loading_reg : std_logic_vector(1 downto 0);
signal busy_simulating_reg : std_logic_vector(1 downto 0);
signal sim_done : std_logic;
signal r : reg_type;
type load_fsm_states is (IDLE, LOADSEED, LOADPROB);
signal l_state : load_fsm_states;
type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION);
signal s_state : sim_states;
signal testvector : std_logic_vector(numIn-1 downto 0);
signal resultvector_o : std_logic_vector(numOut-1 downto 0);
signal resultvector_f : std_logic_vector(numOut-1 downto 0);
signal seed_in_en : std_logic;
signal seed_in : std_logic;
signal prob_in_en : std_logic;
signal prob_in : std_logic;
signal shift_en : std_logic;
signal shift_en_l : std_logic;
signal shift_en_s : std_logic;
signal load_seed_prob : std_logic;
signal start_simulation : std_logic;
signal start_free_simulation : std_logic;
signal stop_simulation : std_logic;
signal circ_ce, circ_rst, circ_rst_sim : std_logic;
signal tvec : std_logic_vector(127 downto 0);
signal test : std_logic_vector(31 downto 0);
signal rst_cdc, rst_cdc_n : std_logic;
type tb_state_defs is (IDLE, DATA, WAITING);
signal tb_state : tb_state_defs;
begin -- behav
-----------------------------------------------------------------------------
-- PRNG shifting
-----------------------------------------------------------------------------
shift_en <= shift_en_l or shift_en_s;
-----------------------------------------------------------------------------
-- Testvector
-----------------------------------------------------------------------------
--testvector <= (others => '0');
lfsr_1 : lfsr
generic map (
width => 128,
seed => 3498327)
port map (
clk => clk,
rand_out => tvec);
testvector(63 downto 0) <= tvec(63 downto 0);
testvector(66 downto 64) <= "011";
testvector(68 downto 67) <= "00";
--testvector(69) <= tvec(64);
process (clk, circ_rst_sim) is
begin -- process
if circ_rst_sim = '1' then -- asynchronous reset (active low)
testvector(69) <= '0';
tb_state <= IDLE;
elsif clk'event and clk = '1' then -- rising clock edge
case tb_state is
when IDLE =>
tb_state <= DATA;
testvector(69) <= '1';
when DATA =>
tb_state <= WAITING;
testvector(69) <= '0';
when WAITING =>
if resultvector_o(32) = '1' then
tb_state <= DATA;
testvector(69) <= '1';
end if;
end case;
end if;
end process;
-----------------------------------------------------------------------------
-- Simulator
-----------------------------------------------------------------------------
circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0';
faultify_simulator_1 : faultify_simulator
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
clk => clk_x32,
clk_m => clk,
circ_ce => circ_ce,
circ_rst => circ_rst,
test => test,
testvector => testvector,
resultvector_o => resultvector_o,
resultvector_f => resultvector_f,
seed_in_en => seed_in_en,
seed_in => seed_in,
prob_in_en => prob_in_en,
prob_in => prob_in,
shift_en => shift_en,
rst_n => arst_n);
-------------------------------------------------------------------------------
-- One Process Flow
-------------------------------------------------------------------------------
register_process : process (aclk, arst_n)
variable write_addr : std_logic_vector(31 downto 0);
begin -- process register_process
if arst_n = '0' then -- asynchronous reset (active low)
r.control <= (others => '0');
r.status <= (others => '0');
r.pe_probability <= (others => '0');
r.pe_seed_high <= (others => '0');
r.pe_seed_low <= (others => '0');
r.pe_location <= (others => '0');
r.ovalid <= '0';
r.simtime <= (others => '0');
r.sel_soe <= (others => '0');
r.adr_soe <= (others => '0');
r.sumoferrors <= (others => (others => '0'));
r.output <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
r.control <= (others => '0');
if awvalid = '1' then
r.awaddr <= awaddr;
write_addr := awaddr;
end if;
if wvalid = '1' then
if write_addr = x"00000000" then
r.control <= wdata;
elsif write_addr = x"00000001" then
r.pe_location <= wdata;
elsif write_addr = x"00000002" then
r.pe_seed_low <= wdata;
elsif write_addr = x"00000003" then
r.pe_seed_high <= wdata;
elsif write_addr = x"00000004" then
r.pe_probability <= wdata;
elsif write_addr = x"00000005" then
r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32));
r.adr_soe <= wdata;
elsif write_addr = x"00000007" then
r.simtime <= wdata;
elsif write_addr = x"00000009" then
r.circreset <= wdata;
end if;
end if;
if arvalid = '1' then
if araddr = x"0000000F" then
r.output <= r.status;
elsif araddr = x"00000001" then
r.output <= r.pe_location;
elsif araddr = x"00000002" then
r.output <= r.pe_seed_low;
elsif araddr = x"00000003" then
r.output <= r.pe_seed_high;
elsif araddr = x"00000004" then
r.output <= r.pe_probability;
elsif araddr = x"00000006" then
r.output <= r.sel_soe;
elsif araddr = x"00000008" then
r.output <= r.test;
elsif araddr = x"0000000A" then
r.output <= r.cnt_tmp;
end if;
r.ovalid <= '1';
else
r.ovalid <= '0';
end if;
if busy_loading_reg(1) = '1' then
r.status(0) <= '1';
else
r.status(0) <= '0';
end if;
if busy_simulating_reg(1) = '1' then
r.status(1) <= '1';
else
r.status(1) <= '0';
end if;
r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe)));
rdata <= r.output;
rvalid <= r.ovalid;
r.sumoferrors <= errorSumReg_cdc_1;
r.test <= errorSum(0);
end if;
end process register_process;
-----------------------------------------------------------------------------
-- simple clock domain crossing
-----------------------------------------------------------------------------
process (aclk, arst_n)
begin -- process
if arst_n = '0' then -- asynchronous reset (active low)
busy_simulating_reg <= (others => '0');
busy_loading_reg <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
busy_simulating_reg(0) <= busy_simulating;
busy_loading_reg(0) <= busy_loading;
busy_simulating_reg(1) <= busy_simulating_reg(0);
busy_loading_reg(1) <= busy_loading_reg(0);
cnt_cdc_0 <= cnt;
cnt_cdc_1 <= cnt_cdc_0;
errorSumReg_cdc_0 <= errorSumReg;
errorSumReg_cdc_1 <= errorSumReg_cdc_0;
end if;
end process;
-------------------------------------------------------------------------------
-- Store seeed/prob
-------------------------------------------------------------------------------
store_seed : process (aclk, arst_n)
begin -- process store_seed
if arst_n = '0' then -- asynchronous reset (active low)
elsif aclk'event and aclk = '1' then -- rising clock edge
if r.control(0) = '1' then
-- Synplify bug workaround
--seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low;
seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low;
seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high;
prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability;
end if;
end if;
end process store_seed;
-----------------------------------------------------------------------------
-- Seed/prob loading FSM
-----------------------------------------------------------------------------
--flag_cdc_1 : flag_cdc
-- port map (
-- clkA => aclk,
-- clkB => clk_x32,
-- FlagIn_clkA => r.control(1),
-- FlagOut_clkB => load_seed_prob,
-- rst_n => arst_n);
load_seed_prob <= r.control(1);
seed_prob_loading : process (clk_x32, arst_n)
variable cnt_seed : integer range 0 to 64;
variable cnt_inj : integer range 0 to numInj;
variable cnt_prob : integer range 0 to 32;
begin -- process seed_prob_loading
if arst_n = '0' then -- asynchronous reset (active low)
l_state <= IDLE;
seed_in <= '0';
seed_in_en <= '0';
prob_in <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
busy_loading <= '0';
elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge
case l_state is
when IDLE =>
cnt_seed := 0;
cnt_inj := 0;
cnt_prob := 0;
busy_loading <= '0';
seed_in_en <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
if load_seed_prob = '1' then
busy_loading <= '1';
l_state <= LOADSEED;
end if;
when LOADSEED =>
if cnt_seed < 64 then
shift_en_l <= '1';
seed_in_en <= '1';
-- not working in synplify I-2013
--seed_in <= seed_ram(cnt_inj)(cnt_seed);
--
if cnt_seed < 32 then
seed_in <= seed_ram_low(cnt_inj)(cnt_seed);
else
seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32);
end if;
cnt_seed := cnt_seed + 1;
end if;
if cnt_seed = 64 then
cnt_seed := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= LOADPROB;
--seed_in_en <= '0';
cnt_inj := 0;
end if;
when LOADPROB =>
seed_in_en <= '0';
if cnt_prob < 32 then
prob_in_en <= '1';
prob_in <= prob_ram(cnt_inj)(cnt_prob);
cnt_prob := cnt_prob + 1;
end if;
if cnt_prob = 32 then
cnt_prob := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= IDLE;
cnt_inj := 0;
--prob_in_en <= '0';
end if;
end case;
end if;
end process seed_prob_loading;
-----------------------------------------------------------------------------
-- Simulation FSM
-----------------------------------------------------------------------------
flag_cdc_2 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(2),
FlagOut_clkB => start_simulation,
rst_n => arst_n);
flag_cdc_3 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(3),
FlagOut_clkB => start_free_simulation,
rst_n => arst_n);
flag_cdc_4 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(4),
FlagOut_clkB => stop_simulation,
rst_n => arst_n);
rst_cdc_5 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => not arst_n,
FlagOut_clkB => rst_cdc,
rst_n => '1');
rst_cdc_n <= not rst_cdc;
process (clk, rst_cdc_n)
variable simtime : integer;
variable cnt_delay : integer range 0 to 9;
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if rst_cdc_n = '0' then -- asynchronous reset (active low)
s_state <= IDLE;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
busy_simulating <= '0';
sim_done <= '0';
errorSumReg <= (others => (others => '0'));
else
case s_state is
when IDLE =>
sim_done <= '0';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
errorVec <= (others => '0');
--errorSum <= errorSum;
errorSum <= (others => (others => '0'));
--cnt <= 0;
busy_simulating <= '0';
cnt_delay := 0;
if start_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
simtime := to_integer(unsigned(r.simtime));
s_state <= DELAY_Z;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
if start_free_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
s_state <= FREE_SIMULATION;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
when DELAY_z =>
cnt_delay := cnt_delay + 1;
if cnt_delay = 9 then
s_state <= DELAY;
end if;
when DELAY =>
s_state <= SIMULATION;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
when SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if cnt = simtime-1 then
s_state <= DELAY2;
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when DELAY2 =>
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
s_state <= DELAY3;
when DELAY3 =>
s_state <= DELAY4;
errorSumReg <= errorSum;
errorSum <= (others => (others => '0'));
when DELAY4 =>
s_state <= IDLE;
sim_done <= '1';
when FREE_SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if stop_simulation = '1' then
s_state <= IDLE;
sim_done <= '1';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when others =>
s_state <= IDLE;
end case;
end if;
end if;
end process;
end behav;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_top is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
aclk : in std_logic; -- interface clock
arst_n : in std_logic; -- interface reset
clk : in std_logic; -- simulation clock (slow)
clk_x32 : in std_logic; -- prng clock (fast)
-- Write channel
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
-- Read channel
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0)
);
attribute syn_hier : string;
attribute syn_hier of faultify_top : entity is "hard";
end faultify_top;
architecture behav of faultify_top is
component flag_cdc
port (
clkA : in std_logic;
clkB : in std_logic;
FlagIn_clkA : in std_logic;
FlagOut_clkB : out std_logic;
rst_n : in std_logic);
end component;
component faultify_simulator
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end component;
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0);
signal errorSum : vector;
signal errorSumReg : vector;
signal errorSumReg_cdc_0 : vector;
signal errorSumReg_cdc_1 : vector;
signal errorVec : std_logic_vector(numOut-1 downto 0);
signal cnt : integer;
signal cnt_cdc_0 : integer;
signal cnt_cdc_1 : integer;
-- Asymmetric ram larger than 36 bit not supported in synplify I-2013
--type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0);
--signal seed_ram : seed_ram_matr;
-- workaround 2 32-bit rams
type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal seed_ram_low : seed_ram_matr;
signal seed_ram_high : seed_ram_matr;
--subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0);
--type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t;
--signal seed_ram : seed_ram_matr_memory_t;
type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal prob_ram : prob_ram_matr;
type reg_type is record
control : std_logic_vector(31 downto 0);
status : std_logic_vector(31 downto 0);
pe_location : std_logic_vector(31 downto 0);
pe_seed_low : std_logic_vector(31 downto 0);
pe_seed_high : std_logic_vector(31 downto 0);
pe_probability : std_logic_vector(31 downto 0);
output : std_logic_vector(31 downto 0);
ovalid : std_logic;
simtime : std_logic_vector(31 downto 0);
sel_soe : std_logic_vector(31 downto 0);
adr_soe : std_logic_vector(31 downto 0);
awaddr : std_logic_vector(31 downto 0);
test : std_logic_vector(31 downto 0);
circreset : std_logic_vector(31 downto 0);
cnt_tmp : std_logic_vector(31 downto 0);
sumoferrors : vector;
end record;
signal busy_loading : std_logic;
signal busy_simulating : std_logic;
signal busy_loading_reg : std_logic_vector(1 downto 0);
signal busy_simulating_reg : std_logic_vector(1 downto 0);
signal sim_done : std_logic;
signal r : reg_type;
type load_fsm_states is (IDLE, LOADSEED, LOADPROB);
signal l_state : load_fsm_states;
type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION);
signal s_state : sim_states;
signal testvector : std_logic_vector(numIn-1 downto 0);
signal resultvector_o : std_logic_vector(numOut-1 downto 0);
signal resultvector_f : std_logic_vector(numOut-1 downto 0);
signal seed_in_en : std_logic;
signal seed_in : std_logic;
signal prob_in_en : std_logic;
signal prob_in : std_logic;
signal shift_en : std_logic;
signal shift_en_l : std_logic;
signal shift_en_s : std_logic;
signal load_seed_prob : std_logic;
signal start_simulation : std_logic;
signal start_free_simulation : std_logic;
signal stop_simulation : std_logic;
signal circ_ce, circ_rst, circ_rst_sim : std_logic;
signal tvec : std_logic_vector(127 downto 0);
signal test : std_logic_vector(31 downto 0);
signal rst_cdc, rst_cdc_n : std_logic;
type tb_state_defs is (IDLE, DATA, WAITING);
signal tb_state : tb_state_defs;
begin -- behav
-----------------------------------------------------------------------------
-- PRNG shifting
-----------------------------------------------------------------------------
shift_en <= shift_en_l or shift_en_s;
-----------------------------------------------------------------------------
-- Testvector
-----------------------------------------------------------------------------
--testvector <= (others => '0');
lfsr_1 : lfsr
generic map (
width => 128,
seed => 3498327)
port map (
clk => clk,
rand_out => tvec);
testvector(63 downto 0) <= tvec(63 downto 0);
testvector(66 downto 64) <= "011";
testvector(68 downto 67) <= "00";
--testvector(69) <= tvec(64);
process (clk, circ_rst_sim) is
begin -- process
if circ_rst_sim = '1' then -- asynchronous reset (active low)
testvector(69) <= '0';
tb_state <= IDLE;
elsif clk'event and clk = '1' then -- rising clock edge
case tb_state is
when IDLE =>
tb_state <= DATA;
testvector(69) <= '1';
when DATA =>
tb_state <= WAITING;
testvector(69) <= '0';
when WAITING =>
if resultvector_o(32) = '1' then
tb_state <= DATA;
testvector(69) <= '1';
end if;
end case;
end if;
end process;
-----------------------------------------------------------------------------
-- Simulator
-----------------------------------------------------------------------------
circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0';
faultify_simulator_1 : faultify_simulator
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
clk => clk_x32,
clk_m => clk,
circ_ce => circ_ce,
circ_rst => circ_rst,
test => test,
testvector => testvector,
resultvector_o => resultvector_o,
resultvector_f => resultvector_f,
seed_in_en => seed_in_en,
seed_in => seed_in,
prob_in_en => prob_in_en,
prob_in => prob_in,
shift_en => shift_en,
rst_n => arst_n);
-------------------------------------------------------------------------------
-- One Process Flow
-------------------------------------------------------------------------------
register_process : process (aclk, arst_n)
variable write_addr : std_logic_vector(31 downto 0);
begin -- process register_process
if arst_n = '0' then -- asynchronous reset (active low)
r.control <= (others => '0');
r.status <= (others => '0');
r.pe_probability <= (others => '0');
r.pe_seed_high <= (others => '0');
r.pe_seed_low <= (others => '0');
r.pe_location <= (others => '0');
r.ovalid <= '0';
r.simtime <= (others => '0');
r.sel_soe <= (others => '0');
r.adr_soe <= (others => '0');
r.sumoferrors <= (others => (others => '0'));
r.output <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
r.control <= (others => '0');
if awvalid = '1' then
r.awaddr <= awaddr;
write_addr := awaddr;
end if;
if wvalid = '1' then
if write_addr = x"00000000" then
r.control <= wdata;
elsif write_addr = x"00000001" then
r.pe_location <= wdata;
elsif write_addr = x"00000002" then
r.pe_seed_low <= wdata;
elsif write_addr = x"00000003" then
r.pe_seed_high <= wdata;
elsif write_addr = x"00000004" then
r.pe_probability <= wdata;
elsif write_addr = x"00000005" then
r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32));
r.adr_soe <= wdata;
elsif write_addr = x"00000007" then
r.simtime <= wdata;
elsif write_addr = x"00000009" then
r.circreset <= wdata;
end if;
end if;
if arvalid = '1' then
if araddr = x"0000000F" then
r.output <= r.status;
elsif araddr = x"00000001" then
r.output <= r.pe_location;
elsif araddr = x"00000002" then
r.output <= r.pe_seed_low;
elsif araddr = x"00000003" then
r.output <= r.pe_seed_high;
elsif araddr = x"00000004" then
r.output <= r.pe_probability;
elsif araddr = x"00000006" then
r.output <= r.sel_soe;
elsif araddr = x"00000008" then
r.output <= r.test;
elsif araddr = x"0000000A" then
r.output <= r.cnt_tmp;
end if;
r.ovalid <= '1';
else
r.ovalid <= '0';
end if;
if busy_loading_reg(1) = '1' then
r.status(0) <= '1';
else
r.status(0) <= '0';
end if;
if busy_simulating_reg(1) = '1' then
r.status(1) <= '1';
else
r.status(1) <= '0';
end if;
r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe)));
rdata <= r.output;
rvalid <= r.ovalid;
r.sumoferrors <= errorSumReg_cdc_1;
r.test <= errorSum(0);
end if;
end process register_process;
-----------------------------------------------------------------------------
-- simple clock domain crossing
-----------------------------------------------------------------------------
process (aclk, arst_n)
begin -- process
if arst_n = '0' then -- asynchronous reset (active low)
busy_simulating_reg <= (others => '0');
busy_loading_reg <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
busy_simulating_reg(0) <= busy_simulating;
busy_loading_reg(0) <= busy_loading;
busy_simulating_reg(1) <= busy_simulating_reg(0);
busy_loading_reg(1) <= busy_loading_reg(0);
cnt_cdc_0 <= cnt;
cnt_cdc_1 <= cnt_cdc_0;
errorSumReg_cdc_0 <= errorSumReg;
errorSumReg_cdc_1 <= errorSumReg_cdc_0;
end if;
end process;
-------------------------------------------------------------------------------
-- Store seeed/prob
-------------------------------------------------------------------------------
store_seed : process (aclk, arst_n)
begin -- process store_seed
if arst_n = '0' then -- asynchronous reset (active low)
elsif aclk'event and aclk = '1' then -- rising clock edge
if r.control(0) = '1' then
-- Synplify bug workaround
--seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low;
seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low;
seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high;
prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability;
end if;
end if;
end process store_seed;
-----------------------------------------------------------------------------
-- Seed/prob loading FSM
-----------------------------------------------------------------------------
--flag_cdc_1 : flag_cdc
-- port map (
-- clkA => aclk,
-- clkB => clk_x32,
-- FlagIn_clkA => r.control(1),
-- FlagOut_clkB => load_seed_prob,
-- rst_n => arst_n);
load_seed_prob <= r.control(1);
seed_prob_loading : process (clk_x32, arst_n)
variable cnt_seed : integer range 0 to 64;
variable cnt_inj : integer range 0 to numInj;
variable cnt_prob : integer range 0 to 32;
begin -- process seed_prob_loading
if arst_n = '0' then -- asynchronous reset (active low)
l_state <= IDLE;
seed_in <= '0';
seed_in_en <= '0';
prob_in <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
busy_loading <= '0';
elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge
case l_state is
when IDLE =>
cnt_seed := 0;
cnt_inj := 0;
cnt_prob := 0;
busy_loading <= '0';
seed_in_en <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
if load_seed_prob = '1' then
busy_loading <= '1';
l_state <= LOADSEED;
end if;
when LOADSEED =>
if cnt_seed < 64 then
shift_en_l <= '1';
seed_in_en <= '1';
-- not working in synplify I-2013
--seed_in <= seed_ram(cnt_inj)(cnt_seed);
--
if cnt_seed < 32 then
seed_in <= seed_ram_low(cnt_inj)(cnt_seed);
else
seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32);
end if;
cnt_seed := cnt_seed + 1;
end if;
if cnt_seed = 64 then
cnt_seed := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= LOADPROB;
--seed_in_en <= '0';
cnt_inj := 0;
end if;
when LOADPROB =>
seed_in_en <= '0';
if cnt_prob < 32 then
prob_in_en <= '1';
prob_in <= prob_ram(cnt_inj)(cnt_prob);
cnt_prob := cnt_prob + 1;
end if;
if cnt_prob = 32 then
cnt_prob := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= IDLE;
cnt_inj := 0;
--prob_in_en <= '0';
end if;
end case;
end if;
end process seed_prob_loading;
-----------------------------------------------------------------------------
-- Simulation FSM
-----------------------------------------------------------------------------
flag_cdc_2 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(2),
FlagOut_clkB => start_simulation,
rst_n => arst_n);
flag_cdc_3 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(3),
FlagOut_clkB => start_free_simulation,
rst_n => arst_n);
flag_cdc_4 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(4),
FlagOut_clkB => stop_simulation,
rst_n => arst_n);
rst_cdc_5 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => not arst_n,
FlagOut_clkB => rst_cdc,
rst_n => '1');
rst_cdc_n <= not rst_cdc;
process (clk, rst_cdc_n)
variable simtime : integer;
variable cnt_delay : integer range 0 to 9;
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if rst_cdc_n = '0' then -- asynchronous reset (active low)
s_state <= IDLE;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
busy_simulating <= '0';
sim_done <= '0';
errorSumReg <= (others => (others => '0'));
else
case s_state is
when IDLE =>
sim_done <= '0';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
errorVec <= (others => '0');
--errorSum <= errorSum;
errorSum <= (others => (others => '0'));
--cnt <= 0;
busy_simulating <= '0';
cnt_delay := 0;
if start_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
simtime := to_integer(unsigned(r.simtime));
s_state <= DELAY_Z;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
if start_free_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
s_state <= FREE_SIMULATION;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
when DELAY_z =>
cnt_delay := cnt_delay + 1;
if cnt_delay = 9 then
s_state <= DELAY;
end if;
when DELAY =>
s_state <= SIMULATION;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
when SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if cnt = simtime-1 then
s_state <= DELAY2;
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when DELAY2 =>
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
s_state <= DELAY3;
when DELAY3 =>
s_state <= DELAY4;
errorSumReg <= errorSum;
errorSum <= (others => (others => '0'));
when DELAY4 =>
s_state <= IDLE;
sim_done <= '1';
when FREE_SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultVector_o(32) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if stop_simulation = '1' then
s_state <= IDLE;
sim_done <= '1';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when others =>
s_state <= IDLE;
end case;
end if;
end if;
end process;
end behav;
|
-- megafunction wizard: %ROM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: IMG3.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY IMG3 IS
PORT
(
address : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
clock : IN STD_LOGIC := '1';
q : OUT STD_LOGIC_VECTOR (39 DOWNTO 0)
);
END IMG3;
ARCHITECTURE SYN OF img3 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (39 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
address_aclr_a : STRING;
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
clock0 : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (39 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(39 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
address_aclr_a => "NONE",
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "./ROM/IMG3.mif",
intended_device_family => "Cyclone IV E",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 128,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
widthad_a => 7,
width_a => 40,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "./ROM/IMG3.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "128"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "7"
-- Retrieval info: PRIVATE: WidthData NUMERIC "40"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "./ROM/IMG3.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "128"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "7"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "40"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 7 0 INPUT NODEFVAL "address[6..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: q 0 0 40 0 OUTPUT NODEFVAL "q[39..0]"
-- Retrieval info: CONNECT: @address_a 0 0 7 0 address 0 0 7 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 40 0 @q_a 0 0 40 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL IMG3.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL IMG3.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL IMG3.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL IMG3.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL IMG3_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
architecture RTl of FIFO is
component fifo is
generic (
gen_dec1 : integer := 0; -- Comment
gen_dec2 : integer := 1; -- Comment
gen_dec3 : integer := 2 -- Comment
);
port (
sig1 : std_logic; -- Comment
sig2 : std_logic; -- Comment
sig3 : std_logic -- Comment
);
end component fifo;
-- Failures below
component fifo is
generic (
gen_dec1 : integer := 0; -- Comment
gen_dec2 : integer := 1; -- Comment
gen_dec3 : integer := 2 -- Comment
);
port (
sig1 : std_logic; -- Comment
sig2 : std_logic; -- Comment
sig3 : std_logic -- Comment
);
end component fifo;
begin
end architecture RTL;
|
-- Copyright (c) University of Florida
--
-- This file is part of window_gen.
--
-- window_gen is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- window_gen is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with window_gen. If not, see <http://www.gnu.org/licenses/>.
-- Greg Stitt
-- Yang Zheng
-- Eric Schwartz
-- University of Florida
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
--use ieee.fixed_float_types.all;
--use ieee.float_pkg.all;
--library ieee_proposed;
--use ieee_proposed.fixed_float_types.all; -- ieee in the release
--use ieee_proposed.float_pkg.all; -- ieee.float_pkg.all; in the release
package tb_pkg is
-----------------------------------------------------------------------
-- Procedure randomIn
-- Description: create random integer within a specified range
--
-- Parameters:
-- seed1/2 : seed values for random number generation
-- min : lower bound on randomly generated number
-- max : upper bound on randomly generated number
-- result : randomly generated integer
--
-- Preconditions: min <= max
-----------------------------------------------------------------------
procedure randomInt
(variable seed1, seed2 : inout positive; min, max : in integer;
variable result : out integer);
----------------------------------------------------------
-- Procedure randDecision
-- Description: randomly decide true/false based on a specified probability
--
-- Parameters:
-- seed1/2 : seed values for random number generation
-- prob : probability that decision will be yes/true
-- decision : the resulting decision
----------------------------------------------------------
procedure randDecision(seed1, seed2 : inout positive;
prob : real;
decision : out boolean);
----------------------------------------------------------
-- Procedure randDelay
-- Description: Create a delay with random cycle length, with specified
-- probability.
--
-- Parameters:
-- seed1/2 : seed values for random number generation
-- clk : The clock signal used for the delay
-- prob : probability that the delay will occur
-- min : the minimum cycle delay
-- max : the maximum cycle delay
----------------------------------------------------------
procedure randDelay(seed1, seed2 : inout positive;
signal clk : std_logic;
prob : real;
min, max : natural);
end tb_pkg;
package body tb_pkg is
-- procedure randomFloat(variable seed1, seed2 : inout positive; min, max : in real; variable result : out std_logic_vector(31 downto 0)) is
-- variable rand : real; -- Random real value in range 0 to 1.0
-- variable result_real : float32;
-- begin
-- assert (min <= max) report "ERROR: In randomFloat(), min must be <= max" severity error;
-- UNIFORM(seed1, seed2, rand); -- generate random number
-- --report"Random value is" & real'image(rand);
-- result_real := to_float((max-min)*rand+min, result_real'high, -result_real'low);
-- result := to_slv(result_real);
-- end randomFloat;
procedure randomInt(variable seed1, seed2 : inout positive; min, max : in integer; variable result : out integer) is
variable rand : real; -- Random real value in range 0 to 1.0
begin
assert (min <= max) report "ERROR: In randomInt(), min must be <= max" severity error;
UNIFORM(seed1, seed2, rand); -- generate random number
result := integer(TRUNC(real(max-min)*rand+real(min)));
--report"Random(int) value is " & integer'image(result);
end randomInt;
procedure randDecision(seed1, seed2 : inout positive;
prob : real;
decision : out boolean) is
variable rand : real;
begin
UNIFORM(seed1, seed2, rand);
if rand < prob then
decision := true;
else
decision := false;
end if;
end procedure;
procedure randDelay(seed1, seed2 : inout positive;
signal clk : std_logic;
prob : real;
min, max : natural) is
variable should_delay : boolean;
variable cycle_delay : natural;
begin
-- decide whether or not to delay
randDecision(seed1, seed2, prob, should_delay);
if should_delay then
-- delay by a random amount between min and max
randomInt(seed1, seed2, min, max, cycle_delay);
if cycle_delay > 0 then
for i in 0 to cycle_delay-1 loop
wait until rising_edge(clk);
end loop;
end if;
end if;
end procedure;
end package body;
|
-- Copyright (c) University of Florida
--
-- This file is part of window_gen.
--
-- window_gen is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- window_gen is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with window_gen. If not, see <http://www.gnu.org/licenses/>.
-- Greg Stitt
-- Yang Zheng
-- Eric Schwartz
-- University of Florida
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
--use ieee.fixed_float_types.all;
--use ieee.float_pkg.all;
--library ieee_proposed;
--use ieee_proposed.fixed_float_types.all; -- ieee in the release
--use ieee_proposed.float_pkg.all; -- ieee.float_pkg.all; in the release
package tb_pkg is
-----------------------------------------------------------------------
-- Procedure randomIn
-- Description: create random integer within a specified range
--
-- Parameters:
-- seed1/2 : seed values for random number generation
-- min : lower bound on randomly generated number
-- max : upper bound on randomly generated number
-- result : randomly generated integer
--
-- Preconditions: min <= max
-----------------------------------------------------------------------
procedure randomInt
(variable seed1, seed2 : inout positive; min, max : in integer;
variable result : out integer);
----------------------------------------------------------
-- Procedure randDecision
-- Description: randomly decide true/false based on a specified probability
--
-- Parameters:
-- seed1/2 : seed values for random number generation
-- prob : probability that decision will be yes/true
-- decision : the resulting decision
----------------------------------------------------------
procedure randDecision(seed1, seed2 : inout positive;
prob : real;
decision : out boolean);
----------------------------------------------------------
-- Procedure randDelay
-- Description: Create a delay with random cycle length, with specified
-- probability.
--
-- Parameters:
-- seed1/2 : seed values for random number generation
-- clk : The clock signal used for the delay
-- prob : probability that the delay will occur
-- min : the minimum cycle delay
-- max : the maximum cycle delay
----------------------------------------------------------
procedure randDelay(seed1, seed2 : inout positive;
signal clk : std_logic;
prob : real;
min, max : natural);
end tb_pkg;
package body tb_pkg is
-- procedure randomFloat(variable seed1, seed2 : inout positive; min, max : in real; variable result : out std_logic_vector(31 downto 0)) is
-- variable rand : real; -- Random real value in range 0 to 1.0
-- variable result_real : float32;
-- begin
-- assert (min <= max) report "ERROR: In randomFloat(), min must be <= max" severity error;
-- UNIFORM(seed1, seed2, rand); -- generate random number
-- --report"Random value is" & real'image(rand);
-- result_real := to_float((max-min)*rand+min, result_real'high, -result_real'low);
-- result := to_slv(result_real);
-- end randomFloat;
procedure randomInt(variable seed1, seed2 : inout positive; min, max : in integer; variable result : out integer) is
variable rand : real; -- Random real value in range 0 to 1.0
begin
assert (min <= max) report "ERROR: In randomInt(), min must be <= max" severity error;
UNIFORM(seed1, seed2, rand); -- generate random number
result := integer(TRUNC(real(max-min)*rand+real(min)));
--report"Random(int) value is " & integer'image(result);
end randomInt;
procedure randDecision(seed1, seed2 : inout positive;
prob : real;
decision : out boolean) is
variable rand : real;
begin
UNIFORM(seed1, seed2, rand);
if rand < prob then
decision := true;
else
decision := false;
end if;
end procedure;
procedure randDelay(seed1, seed2 : inout positive;
signal clk : std_logic;
prob : real;
min, max : natural) is
variable should_delay : boolean;
variable cycle_delay : natural;
begin
-- decide whether or not to delay
randDecision(seed1, seed2, prob, should_delay);
if should_delay then
-- delay by a random amount between min and max
randomInt(seed1, seed2, min, max, cycle_delay);
if cycle_delay > 0 then
for i in 0 to cycle_delay-1 loop
wait until rising_edge(clk);
end loop;
end if;
end if;
end procedure;
end package body;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pctrl.vhd
--
-- Description:
-- Used for protocol control on write and read interface stimulus and status generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg.ALL;
ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pctrl IS
GENERIC(
AXI_CHANNEL : STRING :="NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_pc_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pctrl IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH);
SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL state : STD_LOGIC := '0';
SIGNAL wr_control : STD_LOGIC := '0';
SIGNAL rd_control : STD_LOGIC := '0';
SIGNAL stop_on_err : STD_LOGIC := '0';
SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
SIGNAL sim_done_i : STD_LOGIC := '0';
SIGNAL reset_ex1 : STD_LOGIC := '0';
SIGNAL reset_ex2 : STD_LOGIC := '0';
SIGNAL reset_ex3 : STD_LOGIC := '0';
SIGNAL ae_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL reset_en_i : STD_LOGIC := '0';
SIGNAL state_d1 : STD_LOGIC := '0';
SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
BEGIN
status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & ae_chk_i;
STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0';
prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
SIM_DONE <= sim_done_i;
rdw_gt_wrw <= (OTHERS => '1');
wrw_gt_rdw <= (OTHERS => '1');
PROCESS(RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(prc_re_i = '1') THEN
rd_activ_cont <= rd_activ_cont + "1";
END IF;
END IF;
END PROCESS;
PROCESS(sim_done_i)
BEGIN
assert sim_done_i = '0'
report "Simulation Complete for:" & AXI_CHANNEL
severity note;
END PROCESS;
-----------------------------------------------------
-- SIM_DONE SIGNAL GENERATION
-----------------------------------------------------
PROCESS (RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
--sim_done_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
sim_done_i <= '1';
END IF;
END IF;
END PROCESS;
-- TB Timeout/Stop
fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0' AND state_d1 = '1') THEN
sim_stop_cntr <= sim_stop_cntr - "1";
END IF;
END IF;
END PROCESS;
END GENERATE fifo_tb_stop_run;
-- Stop when error found
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(sim_done_i = '0') THEN
status_d1_i <= status_i OR status_d1_i;
END IF;
IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
stop_on_err <= '1';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-----------------------------------------------------
-- CHECKS FOR FIFO
-----------------------------------------------------
-- Reset pulse extension require for FULL flags checks
-- FULL flag may stay high for 3 clocks after reset is removed.
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
reset_ex1 <= '1';
reset_ex2 <= '1';
reset_ex3 <= '1';
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
reset_ex1 <= '0';
reset_ex2 <= reset_ex1;
reset_ex3 <= reset_ex2;
END IF;
END PROCESS;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
post_rst_dly_rd <= (OTHERS => '1');
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
END IF;
END PROCESS;
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
post_rst_dly_wr <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
END IF;
END PROCESS;
-- FULL de-assert Counter
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_ds_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
full_ds_timeout <= full_ds_timeout + '1';
END IF;
ELSE
full_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- EMPTY deassert counter
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_ds_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
empty_ds_timeout <= empty_ds_timeout + '1';
END IF;
ELSE
empty_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- Full check signal generation
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_chk_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
full_chk_i <= '0';
ELSE
full_chk_i <= AND_REDUCE(full_as_timeout) OR
AND_REDUCE(full_ds_timeout);
END IF;
END IF;
END PROCESS;
-- Empty checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_chk_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
empty_chk_i <= '0';
ELSE
empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
AND_REDUCE(empty_ds_timeout);
END IF;
END IF;
END PROCESS;
fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
PRC_WR_EN <= prc_we_i AFTER 100 ns;
PRC_RD_EN <= prc_re_i AFTER 100 ns;
data_chk_i <= dout_chk;
END GENERATE fifo_d_chk;
-- Almost empty flag checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
ae_chk_i <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF((EMPTY = '1' AND ALMOST_EMPTY = '0') OR
(state = '1' AND FULL = '1' AND ALMOST_EMPTY = '1')) THEN
ae_chk_i <= '1';
ELSE
ae_chk_i <= '0';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
RESET_EN <= reset_en_i;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
state_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
state_d1 <= state;
END IF;
END PROCESS;
data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
-----------------------------------------------------
-- WR_EN GENERATION
-----------------------------------------------------
gen_rand_wr_en:system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+1
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET_WR,
RANDOM_NUM => wr_en_gen,
ENABLE => '1'
);
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
ELSE
wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- WR_EN CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_cntr <= (OTHERS => '0');
wr_control <= '1';
full_as_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(wr_en_i = '1') THEN
wr_cntr <= wr_cntr + "1";
END IF;
full_as_timeout <= (OTHERS => '0');
ELSE
wr_cntr <= (OTHERS => '0');
IF(rd_en_i = '0') THEN
IF(wr_en_i = '1') THEN
full_as_timeout <= full_as_timeout + "1";
END IF;
ELSE
full_as_timeout <= (OTHERS => '0');
END IF;
END IF;
wr_control <= NOT wr_cntr(wr_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN GENERATION
-----------------------------------------------------
gen_rand_rd_en:system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET_RD,
RANDOM_NUM => rd_en_gen,
ENABLE => '1'
);
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_en_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
ELSE
rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN CONTROL
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_cntr <= (OTHERS => '0');
rd_control <= '1';
empty_as_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(rd_en_i = '1') THEN
rd_cntr <= rd_cntr + "1";
END IF;
empty_as_timeout <= (OTHERS => '0');
ELSE
rd_cntr <= (OTHERS => '0');
IF(wr_en_i = '0') THEN
IF(rd_en_i = '1') THEN
empty_as_timeout <= empty_as_timeout + "1";
END IF;
ELSE
empty_as_timeout <= (OTHERS => '0');
END IF;
END IF;
rd_control <= NOT rd_cntr(rd_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- STIMULUS CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
state <= '0';
reset_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
CASE state IS
WHEN '0' =>
IF(FULL = '1' AND EMPTY = '0') THEN
state <= '1';
reset_en_i <= '0';
END IF;
WHEN '1' =>
IF(EMPTY = '1' AND FULL = '0') THEN
state <= '0';
reset_en_i <= '1';
END IF;
WHEN OTHERS => state <= state;
END CASE;
END IF;
END PROCESS;
END GENERATE data_fifo_en;
END ARCHITECTURE;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity hls_macc_HLS_MACC_PERIPH_BUS_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
a :out STD_LOGIC_VECTOR(31 downto 0);
b :out STD_LOGIC_VECTOR(31 downto 0);
accum :in STD_LOGIC_VECTOR(31 downto 0);
accum_ap_vld :in STD_LOGIC;
accum_clr :out STD_LOGIC_VECTOR(0 downto 0)
);
end entity hls_macc_HLS_MACC_PERIPH_BUS_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of a
-- bit 31~0 - a[31:0] (Read/Write)
-- 0x14 : reserved
-- 0x18 : Data signal of b
-- bit 31~0 - b[31:0] (Read/Write)
-- 0x1c : reserved
-- 0x20 : Data signal of accum
-- bit 31~0 - accum[31:0] (Read)
-- 0x24 : Control signal of accum
-- bit 0 - accum_ap_vld (Read/COR)
-- others - reserved
-- 0x28 : Data signal of accum_clr
-- bit 0 - accum_clr[0] (Read/Write)
-- others - reserved
-- 0x2c : reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of hls_macc_HLS_MACC_PERIPH_BUS_s_axi is
type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states
signal wstate : states := wrreset;
signal rstate : states := rdreset;
signal wnext, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_A_DATA_0 : INTEGER := 16#10#;
constant ADDR_A_CTRL : INTEGER := 16#14#;
constant ADDR_B_DATA_0 : INTEGER := 16#18#;
constant ADDR_B_CTRL : INTEGER := 16#1c#;
constant ADDR_ACCUM_DATA_0 : INTEGER := 16#20#;
constant ADDR_ACCUM_CTRL : INTEGER := 16#24#;
constant ADDR_ACCUM_CLR_DATA_0 : INTEGER := 16#28#;
constant ADDR_ACCUM_CLR_CTRL : INTEGER := 16#2c#;
constant ADDR_BITS : INTEGER := 6;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC := '0';
signal int_ap_start : STD_LOGIC := '0';
signal int_auto_restart : STD_LOGIC := '0';
signal int_gie : STD_LOGIC := '0';
signal int_ier : UNSIGNED(1 downto 0) := (others => '0');
signal int_isr : UNSIGNED(1 downto 0) := (others => '0');
signal int_a : UNSIGNED(31 downto 0) := (others => '0');
signal int_b : UNSIGNED(31 downto 0) := (others => '0');
signal int_accum : UNSIGNED(31 downto 0) := (others => '0');
signal int_accum_ap_vld : STD_LOGIC;
signal int_accum_clr : UNSIGNED(0 downto 0) := (others => '0');
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wrreset;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdreset;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_A_DATA_0 =>
rdata_data <= RESIZE(int_a(31 downto 0), 32);
when ADDR_B_DATA_0 =>
rdata_data <= RESIZE(int_b(31 downto 0), 32);
when ADDR_ACCUM_DATA_0 =>
rdata_data <= RESIZE(int_accum(31 downto 0), 32);
when ADDR_ACCUM_CTRL =>
rdata_data <= (0 => int_accum_ap_vld, others => '0');
when ADDR_ACCUM_CLR_DATA_0 =>
rdata_data <= RESIZE(int_accum_clr(0 downto 0), 32);
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
a <= STD_LOGIC_VECTOR(int_a);
b <= STD_LOGIC_VECTOR(int_b);
accum_clr <= STD_LOGIC_VECTOR(int_accum_clr);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_idle <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_idle <= ap_idle;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_ready <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_ready <= ap_ready;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_A_DATA_0) then
int_a(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_a(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_B_DATA_0) then
int_b(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_b(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_accum <= (others => '0');
elsif (ACLK_EN = '1') then
if (accum_ap_vld = '1') then
int_accum <= UNSIGNED(accum); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_accum_ap_vld <= '0';
elsif (ACLK_EN = '1') then
if (accum_ap_vld = '1') then
int_accum_ap_vld <= '1';
elsif (ar_hs = '1' and raddr = ADDR_ACCUM_CTRL) then
int_accum_ap_vld <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_ACCUM_CLR_DATA_0) then
int_accum_clr(0 downto 0) <= (UNSIGNED(WDATA(0 downto 0)) and wmask(0 downto 0)) or ((not wmask(0 downto 0)) and int_accum_clr(0 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity hls_macc_HLS_MACC_PERIPH_BUS_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
a :out STD_LOGIC_VECTOR(31 downto 0);
b :out STD_LOGIC_VECTOR(31 downto 0);
accum :in STD_LOGIC_VECTOR(31 downto 0);
accum_ap_vld :in STD_LOGIC;
accum_clr :out STD_LOGIC_VECTOR(0 downto 0)
);
end entity hls_macc_HLS_MACC_PERIPH_BUS_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of a
-- bit 31~0 - a[31:0] (Read/Write)
-- 0x14 : reserved
-- 0x18 : Data signal of b
-- bit 31~0 - b[31:0] (Read/Write)
-- 0x1c : reserved
-- 0x20 : Data signal of accum
-- bit 31~0 - accum[31:0] (Read)
-- 0x24 : Control signal of accum
-- bit 0 - accum_ap_vld (Read/COR)
-- others - reserved
-- 0x28 : Data signal of accum_clr
-- bit 0 - accum_clr[0] (Read/Write)
-- others - reserved
-- 0x2c : reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of hls_macc_HLS_MACC_PERIPH_BUS_s_axi is
type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states
signal wstate : states := wrreset;
signal rstate : states := rdreset;
signal wnext, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_A_DATA_0 : INTEGER := 16#10#;
constant ADDR_A_CTRL : INTEGER := 16#14#;
constant ADDR_B_DATA_0 : INTEGER := 16#18#;
constant ADDR_B_CTRL : INTEGER := 16#1c#;
constant ADDR_ACCUM_DATA_0 : INTEGER := 16#20#;
constant ADDR_ACCUM_CTRL : INTEGER := 16#24#;
constant ADDR_ACCUM_CLR_DATA_0 : INTEGER := 16#28#;
constant ADDR_ACCUM_CLR_CTRL : INTEGER := 16#2c#;
constant ADDR_BITS : INTEGER := 6;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC := '0';
signal int_ap_start : STD_LOGIC := '0';
signal int_auto_restart : STD_LOGIC := '0';
signal int_gie : STD_LOGIC := '0';
signal int_ier : UNSIGNED(1 downto 0) := (others => '0');
signal int_isr : UNSIGNED(1 downto 0) := (others => '0');
signal int_a : UNSIGNED(31 downto 0) := (others => '0');
signal int_b : UNSIGNED(31 downto 0) := (others => '0');
signal int_accum : UNSIGNED(31 downto 0) := (others => '0');
signal int_accum_ap_vld : STD_LOGIC;
signal int_accum_clr : UNSIGNED(0 downto 0) := (others => '0');
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wrreset;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdreset;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_A_DATA_0 =>
rdata_data <= RESIZE(int_a(31 downto 0), 32);
when ADDR_B_DATA_0 =>
rdata_data <= RESIZE(int_b(31 downto 0), 32);
when ADDR_ACCUM_DATA_0 =>
rdata_data <= RESIZE(int_accum(31 downto 0), 32);
when ADDR_ACCUM_CTRL =>
rdata_data <= (0 => int_accum_ap_vld, others => '0');
when ADDR_ACCUM_CLR_DATA_0 =>
rdata_data <= RESIZE(int_accum_clr(0 downto 0), 32);
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
a <= STD_LOGIC_VECTOR(int_a);
b <= STD_LOGIC_VECTOR(int_b);
accum_clr <= STD_LOGIC_VECTOR(int_accum_clr);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_idle <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_idle <= ap_idle;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_ready <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_ready <= ap_ready;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_A_DATA_0) then
int_a(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_a(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_B_DATA_0) then
int_b(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_b(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_accum <= (others => '0');
elsif (ACLK_EN = '1') then
if (accum_ap_vld = '1') then
int_accum <= UNSIGNED(accum); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_accum_ap_vld <= '0';
elsif (ACLK_EN = '1') then
if (accum_ap_vld = '1') then
int_accum_ap_vld <= '1';
elsif (ar_hs = '1' and raddr = ADDR_ACCUM_CTRL) then
int_accum_ap_vld <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_ACCUM_CLR_DATA_0) then
int_accum_clr(0 downto 0) <= (UNSIGNED(WDATA(0 downto 0)) and wmask(0 downto 0)) or ((not wmask(0 downto 0)) and int_accum_clr(0 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity hls_macc_HLS_MACC_PERIPH_BUS_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
a :out STD_LOGIC_VECTOR(31 downto 0);
b :out STD_LOGIC_VECTOR(31 downto 0);
accum :in STD_LOGIC_VECTOR(31 downto 0);
accum_ap_vld :in STD_LOGIC;
accum_clr :out STD_LOGIC_VECTOR(0 downto 0)
);
end entity hls_macc_HLS_MACC_PERIPH_BUS_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of a
-- bit 31~0 - a[31:0] (Read/Write)
-- 0x14 : reserved
-- 0x18 : Data signal of b
-- bit 31~0 - b[31:0] (Read/Write)
-- 0x1c : reserved
-- 0x20 : Data signal of accum
-- bit 31~0 - accum[31:0] (Read)
-- 0x24 : Control signal of accum
-- bit 0 - accum_ap_vld (Read/COR)
-- others - reserved
-- 0x28 : Data signal of accum_clr
-- bit 0 - accum_clr[0] (Read/Write)
-- others - reserved
-- 0x2c : reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of hls_macc_HLS_MACC_PERIPH_BUS_s_axi is
type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states
signal wstate : states := wrreset;
signal rstate : states := rdreset;
signal wnext, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_A_DATA_0 : INTEGER := 16#10#;
constant ADDR_A_CTRL : INTEGER := 16#14#;
constant ADDR_B_DATA_0 : INTEGER := 16#18#;
constant ADDR_B_CTRL : INTEGER := 16#1c#;
constant ADDR_ACCUM_DATA_0 : INTEGER := 16#20#;
constant ADDR_ACCUM_CTRL : INTEGER := 16#24#;
constant ADDR_ACCUM_CLR_DATA_0 : INTEGER := 16#28#;
constant ADDR_ACCUM_CLR_CTRL : INTEGER := 16#2c#;
constant ADDR_BITS : INTEGER := 6;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC := '0';
signal int_ap_start : STD_LOGIC := '0';
signal int_auto_restart : STD_LOGIC := '0';
signal int_gie : STD_LOGIC := '0';
signal int_ier : UNSIGNED(1 downto 0) := (others => '0');
signal int_isr : UNSIGNED(1 downto 0) := (others => '0');
signal int_a : UNSIGNED(31 downto 0) := (others => '0');
signal int_b : UNSIGNED(31 downto 0) := (others => '0');
signal int_accum : UNSIGNED(31 downto 0) := (others => '0');
signal int_accum_ap_vld : STD_LOGIC;
signal int_accum_clr : UNSIGNED(0 downto 0) := (others => '0');
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wrreset;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdreset;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_A_DATA_0 =>
rdata_data <= RESIZE(int_a(31 downto 0), 32);
when ADDR_B_DATA_0 =>
rdata_data <= RESIZE(int_b(31 downto 0), 32);
when ADDR_ACCUM_DATA_0 =>
rdata_data <= RESIZE(int_accum(31 downto 0), 32);
when ADDR_ACCUM_CTRL =>
rdata_data <= (0 => int_accum_ap_vld, others => '0');
when ADDR_ACCUM_CLR_DATA_0 =>
rdata_data <= RESIZE(int_accum_clr(0 downto 0), 32);
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
a <= STD_LOGIC_VECTOR(int_a);
b <= STD_LOGIC_VECTOR(int_b);
accum_clr <= STD_LOGIC_VECTOR(int_accum_clr);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_idle <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_idle <= ap_idle;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_ready <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_ready <= ap_ready;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_A_DATA_0) then
int_a(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_a(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_B_DATA_0) then
int_b(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_b(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_accum <= (others => '0');
elsif (ACLK_EN = '1') then
if (accum_ap_vld = '1') then
int_accum <= UNSIGNED(accum); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_accum_ap_vld <= '0';
elsif (ACLK_EN = '1') then
if (accum_ap_vld = '1') then
int_accum_ap_vld <= '1';
elsif (ar_hs = '1' and raddr = ADDR_ACCUM_CTRL) then
int_accum_ap_vld <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_ACCUM_CLR_DATA_0) then
int_accum_clr(0 downto 0) <= (UNSIGNED(WDATA(0 downto 0)) and wmask(0 downto 0)) or ((not wmask(0 downto 0)) and int_accum_clr(0 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
|
--
-- VoiceRom.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity VoiceRom is
port (
clk : in std_logic;
addr : in VOICE_ID_TYPE;
data : out VOICE_TYPE
);
end VoiceRom;
architecture RTL of VoiceRom is
type VOICE_ARRAY_TYPE is array (VOICE_ID_TYPE'range) of VOICE_VECTOR_TYPE;
constant voices : VOICE_ARRAY_TYPE := (
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000000000000000000000000000000000000", -- @0(M)
"000000000000000000000000000000000000", -- @0(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"011000010001111001111111000000000000", -- @1(M)
"011000010000000010000111111100010111", -- @1(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000100110001011111101111111100100011", -- @2(M)
"010000010000000000001111111100010011", -- @2(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000111001101001001010001111110000", -- @3(M)
"000000010000000000001111010000100011", -- @3(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000100010000111001111111101001110000", -- @4(M)
"011000010000000000000110010000010111", -- @4(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000100001111001101111000000000000", -- @5(M)
"001000010000000000000111011000101000", -- @5(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010001011001011111000000000000", -- @6(M)
"001000100000000000000111000100011000", -- @6(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010001110101111000001000010000", -- @7(M)
"011000010000000000001000000000000111", -- @7(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000110010110101101001000000000000", -- @8(M)
"001000010000000010001001000000000111", -- @8(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010001101101100110010000010000", -- @9(M)
"001000010000000000000110010100010111", -- @9(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010000101110101000010101110000", -- @10(M)
"001000010000000010001010000000000111", -- @10(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000111000001100001111111100010000", -- @11(M)
"000000010000000010001011000000000100", -- @11(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"100101110010000001111111111100100010", -- @12(M)
"110000010000000000001111111100010010", -- @12(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"011000010000110001011101001001000000", -- @13(M)
"000000000000000000001111011001000011", -- @13(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000000010101011000111111010000000011", -- @14(M)
"000000010000000000001111000000000010", -- @14(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000011000100100111111000111110000", -- @15(M)
"010000010000000000001111010000100011", -- @15(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000001110001011000001101111111111111", -- BD(M)
"001000010000000000001111100011111000", -- BD(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001100010000000000001111011111110111", -- HH
"001100100000000000001111011111110111", -- SD
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001001010000000000001111100011111000", -- TOM
"000000010000000000001101110001010101" -- CYM
);
begin
process (clk)
begin
if clk'event and clk = '1' then
data <= CONV_VOICE(voices(addr));
end if;
end process;
end RTL; |
--
-- VoiceRom.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity VoiceRom is
port (
clk : in std_logic;
addr : in VOICE_ID_TYPE;
data : out VOICE_TYPE
);
end VoiceRom;
architecture RTL of VoiceRom is
type VOICE_ARRAY_TYPE is array (VOICE_ID_TYPE'range) of VOICE_VECTOR_TYPE;
constant voices : VOICE_ARRAY_TYPE := (
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000000000000000000000000000000000000", -- @0(M)
"000000000000000000000000000000000000", -- @0(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"011000010001111001111111000000000000", -- @1(M)
"011000010000000010000111111100010111", -- @1(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000100110001011111101111111100100011", -- @2(M)
"010000010000000000001111111100010011", -- @2(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000111001101001001010001111110000", -- @3(M)
"000000010000000000001111010000100011", -- @3(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000100010000111001111111101001110000", -- @4(M)
"011000010000000000000110010000010111", -- @4(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000100001111001101111000000000000", -- @5(M)
"001000010000000000000111011000101000", -- @5(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010001011001011111000000000000", -- @6(M)
"001000100000000000000111000100011000", -- @6(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010001110101111000001000010000", -- @7(M)
"011000010000000000001000000000000111", -- @7(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000110010110101101001000000000000", -- @8(M)
"001000010000000010001001000000000111", -- @8(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010001101101100110010000010000", -- @9(M)
"001000010000000000000110010100010111", -- @9(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000010000101110101000010101110000", -- @10(M)
"001000010000000010001010000000000111", -- @10(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000111000001100001111111100010000", -- @11(M)
"000000010000000010001011000000000100", -- @11(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"100101110010000001111111111100100010", -- @12(M)
"110000010000000000001111111100010010", -- @12(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"011000010000110001011101001001000000", -- @13(M)
"000000000000000000001111011001000011", -- @13(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000000010101011000111111010000000011", -- @14(M)
"000000010000000000001111000000000010", -- @14(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001000011000100100111111000111110000", -- @15(M)
"010000010000000000001111010000100011", -- @15(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"000001110001011000001101111111111111", -- BD(M)
"001000010000000000001111100011111000", -- BD(C)
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001100010000000000001111011111110111", -- HH
"001100100000000000001111011111110111", -- SD
-- APEK<ML>KL< TL >W<F><AR><DR><SL><RR>
"001001010000000000001111100011111000", -- TOM
"000000010000000000001101110001010101" -- CYM
);
begin
process (clk)
begin
if clk'event and clk = '1' then
data <= CONV_VOICE(voices(addr));
end if;
end process;
end RTL; |
-- NEED RESULT: ARCH00098.P1: Multi transport transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098.P2: Multi transport transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098.P3: Multi transport transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098: One transport transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098: One transport transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098: One transport transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: ARCH00098: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed
-- NEED RESULT: P3: Transport transactions entirely completed passed
-- NEED RESULT: P2: Transport transactions entirely completed passed
-- NEED RESULT: P1: Transport transactions entirely completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00098
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (2)
-- 8.3 (3)
-- 8.3 (5)
-- 8.3.1 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00098(ARCH00098)
-- ENT00098_Test_Bench(ARCH00098_Test_Bench)
--
-- REVISION HISTORY:
--
-- 07-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00098 is
port (
s_st_arr1_vector : inout st_arr1_vector
; s_st_arr2_vector : inout st_arr2_vector
; s_st_arr3_vector : inout st_arr3_vector
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_arr1_vector : chk_sig_type := -1 ;
signal chk_st_arr2_vector : chk_sig_type := -1 ;
signal chk_st_arr3_vector : chk_sig_type := -1 ;
--
end ENT00098 ;
--
architecture ARCH00098 of ENT00098 is
begin
PGEN_CHKP_1 :
process ( chk_st_arr1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions entirely completed",
chk_st_arr1_vector = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
P1 :
process ( s_st_arr1_vector )
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0 =>
s_st_arr1_vector(lowb) (
st_arr1'Left) <= transport
c_st_arr1_vector_2(highb) (
st_arr1'Right) after 10 ns,
c_st_arr1_vector_1(highb) (
st_arr1'Right) after 20 ns ;
--
when 1 =>
correct :=
s_st_arr1_vector(lowb) (
st_arr1'Left) =
c_st_arr1_vector_2(highb) (
st_arr1'Right) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2 =>
correct :=
correct and
s_st_arr1_vector(lowb) (
st_arr1'Left) =
c_st_arr1_vector_1(highb) (
st_arr1'Right) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00098.P1" ,
"Multi transport transactions occurred on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
s_st_arr1_vector(lowb) (
st_arr1'Left) <= transport
c_st_arr1_vector_2(highb) (
st_arr1'Right) after 10 ns,
c_st_arr1_vector_1(highb) (
st_arr1'Right) after 20 ns,
c_st_arr1_vector_2(highb) (
st_arr1'Right) after 30 ns,
c_st_arr1_vector_1(highb) (
st_arr1'Right) after 40 ns ;
--
when 3 =>
correct :=
s_st_arr1_vector(lowb) (
st_arr1'Left) =
c_st_arr1_vector_2(highb) (
st_arr1'Right) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr1_vector(lowb) (
st_arr1'Left) <= transport
c_st_arr1_vector_1(highb) (
st_arr1'Right) after 5 ns;
--
when 4 =>
correct :=
correct and
s_st_arr1_vector(lowb) (
st_arr1'Left) =
c_st_arr1_vector_1(highb) (
st_arr1'Right) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00098" ,
"One transport transaction occurred on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
test_report ( "ARCH00098" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00098" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end process P1 ;
--
PGEN_CHKP_2 :
process ( chk_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions entirely completed",
chk_st_arr2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
P2 :
process ( s_st_arr2_vector )
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0 =>
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) <= transport
c_st_arr2_vector_2(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 10 ns,
c_st_arr2_vector_1(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 20 ns ;
--
when 1 =>
correct :=
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr2_vector_2(highb) (
st_arr2'Right(1),st_arr2'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2 =>
correct :=
correct and
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr2_vector_1(highb) (
st_arr2'Right(1),st_arr2'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00098.P2" ,
"Multi transport transactions occurred on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) <= transport
c_st_arr2_vector_2(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 10 ns,
c_st_arr2_vector_1(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 20 ns,
c_st_arr2_vector_2(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 30 ns,
c_st_arr2_vector_1(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ;
--
when 3 =>
correct :=
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr2_vector_2(highb) (
st_arr2'Right(1),st_arr2'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) <= transport
c_st_arr2_vector_1(highb) (
st_arr2'Right(1),st_arr2'Right(2)) after 5 ns;
--
when 4 =>
correct :=
correct and
s_st_arr2_vector(lowb) (
st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr2_vector_1(highb) (
st_arr2'Right(1),st_arr2'Right(2)) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00098" ,
"One transport transaction occurred on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
test_report ( "ARCH00098" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00098" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end process P2 ;
--
PGEN_CHKP_3 :
process ( chk_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Transport transactions entirely completed",
chk_st_arr3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
P3 :
process ( s_st_arr3_vector )
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0 =>
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) <= transport
c_st_arr3_vector_2(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 10 ns,
c_st_arr3_vector_1(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 20 ns ;
--
when 1 =>
correct :=
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) =
c_st_arr3_vector_2(highb) (
st_arr3'Right(1),st_arr3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2 =>
correct :=
correct and
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) =
c_st_arr3_vector_1(highb) (
st_arr3'Right(1),st_arr3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00098.P3" ,
"Multi transport transactions occurred on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) <= transport
c_st_arr3_vector_2(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 10 ns,
c_st_arr3_vector_1(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 20 ns,
c_st_arr3_vector_2(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 30 ns,
c_st_arr3_vector_1(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ;
--
when 3 =>
correct :=
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) =
c_st_arr3_vector_2(highb) (
st_arr3'Right(1),st_arr3'Right(2)) and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) <= transport
c_st_arr3_vector_1(highb) (
st_arr3'Right(1),st_arr3'Right(2)) after 5 ns;
--
when 4 =>
correct :=
correct and
s_st_arr3_vector(lowb) (
st_arr3'Left(1),st_arr3'Left(2)) =
c_st_arr3_vector_1(highb) (
st_arr3'Right(1),st_arr3'Right(2)) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00098" ,
"One transport transaction occurred on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
test_report ( "ARCH00098" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00098" ,
"Old transactions were removed on signal " &
"asg with indexed name prefixed by an indexed name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end process P3 ;
--
--
end ARCH00098 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00098_Test_Bench is
signal s_st_arr1_vector : st_arr1_vector
:= c_st_arr1_vector_1 ;
signal s_st_arr2_vector : st_arr2_vector
:= c_st_arr2_vector_1 ;
signal s_st_arr3_vector : st_arr3_vector
:= c_st_arr3_vector_1 ;
--
end ENT00098_Test_Bench ;
--
architecture ARCH00098_Test_Bench of ENT00098_Test_Bench is
begin
L1:
block
component UUT
port (
s_st_arr1_vector : inout st_arr1_vector
; s_st_arr2_vector : inout st_arr2_vector
; s_st_arr3_vector : inout st_arr3_vector
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00098 ( ARCH00098 ) ;
begin
CIS1 : UUT
port map (
s_st_arr1_vector
, s_st_arr2_vector
, s_st_arr3_vector
) ;
end block L1 ;
end ARCH00098_Test_Bench ;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
-- Entity: serializer
-- File: serializer.vhd
-- Author: Jan Andersson - Gaisler Research AB
-- [email protected]
--
-- Description: Takes in three vectors and serializes them into one
-- output vector. Intended to be used to serialize
-- RGB VGA data.
--
library ieee;
use ieee.std_logic_1164.all;
entity serializer is
generic (
length : integer := 8 -- vector length
);
port (
clk : in std_ulogic;
sync : in std_ulogic;
ivec0 : in std_logic_vector((length-1) downto 0);
ivec1 : in std_logic_vector((length-1) downto 0);
ivec2 : in std_logic_vector((length-1) downto 0);
ovec : out std_logic_vector((length-1) downto 0)
);
end entity serializer;
architecture rtl of serializer is
type state_type is (vec0, vec1, vec2);
type sreg_type is record
state : state_type;
sync : std_logic_vector(1 downto 0);
end record;
signal r, rin : sreg_type;
begin -- rtl
comb: process (r, clk, sync, ivec0, ivec1, ivec2)
variable v : sreg_type;
begin -- process comb
v := r;
v.sync := r.sync(0) & sync;
case r.state is
when vec0 =>
ovec <= ivec0;
v.state := vec1;
when vec1 =>
ovec <= ivec1;
v.state := vec2;
when vec2 =>
ovec <= ivec2;
v.state := vec0;
end case;
if (r.sync(0) xor sync) = '1' then
v.state := vec1;
end if;
rin <= v;
end process comb;
reg: process (clk)
begin -- process reg
if rising_edge(clk) then
r <= rin;
end if;
end process reg;
end rtl;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/ifft_16_bit/RADIX22FFT_SDNF1_1_block5.vhd
-- Created: 2017-03-28 01:00:37
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_1_block5
-- Source Path: ifft_16_bit/IFFT HDL Optimized/RADIX22FFT_SDNF1_1
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF1_1_block5 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
twdlXdin_7_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_7_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_15_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_15_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_13_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_13_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_14_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_14_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17
dout_13_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_1_block5;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_1_block5 IS
-- Signals
SIGNAL twdlXdin_7_re_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL twdlXdin_7_im_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL twdlXdin_15_re_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL twdlXdin_15_im_signed : signed(16 DOWNTO 0); -- sfix17
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_13_re_tmp : signed(16 DOWNTO 0); -- sfix17
SIGNAL dout_13_im_tmp : signed(16 DOWNTO 0); -- sfix17
SIGNAL dout_14_re_tmp : signed(16 DOWNTO 0); -- sfix17
SIGNAL dout_14_im_tmp : signed(16 DOWNTO 0); -- sfix17
BEGIN
twdlXdin_7_re_signed <= signed(twdlXdin_7_re);
twdlXdin_7_im_signed <= signed(twdlXdin_7_im);
twdlXdin_15_re_signed <= signed(twdlXdin_15_re);
twdlXdin_15_im_signed <= signed(twdlXdin_15_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_7_re_signed,
twdlXdin_7_im_signed, twdlXdin_15_re_signed, twdlXdin_15_im_signed,
twdlXdin_1_vld)
VARIABLE sra_temp : signed(17 DOWNTO 0);
VARIABLE sra_temp_0 : signed(17 DOWNTO 0);
VARIABLE sra_temp_1 : signed(17 DOWNTO 0);
VARIABLE sra_temp_2 : signed(17 DOWNTO 0);
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg_next <= resize(twdlXdin_7_re_signed, 18) + resize(twdlXdin_15_re_signed, 18);
Radix22ButterflyG1_NF_btf2_re_reg_next <= resize(twdlXdin_7_re_signed, 18) - resize(twdlXdin_15_re_signed, 18);
Radix22ButterflyG1_NF_btf1_im_reg_next <= resize(twdlXdin_7_im_signed, 18) + resize(twdlXdin_15_im_signed, 18);
Radix22ButterflyG1_NF_btf2_im_reg_next <= resize(twdlXdin_7_im_signed, 18) - resize(twdlXdin_15_im_signed, 18);
END IF;
sra_temp := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_re_reg, 1);
dout_13_re_tmp <= sra_temp(16 DOWNTO 0);
sra_temp_0 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_im_reg, 1);
dout_13_im_tmp <= sra_temp_0(16 DOWNTO 0);
sra_temp_1 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_re_reg, 1);
dout_14_re_tmp <= sra_temp_1(16 DOWNTO 0);
sra_temp_2 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_im_reg, 1);
dout_14_im_tmp <= sra_temp_2(16 DOWNTO 0);
dout_13_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_13_re <= std_logic_vector(dout_13_re_tmp);
dout_13_im <= std_logic_vector(dout_13_im_tmp);
dout_14_re <= std_logic_vector(dout_14_re_tmp);
dout_14_im <= std_logic_vector(dout_14_im_tmp);
END rtl;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 08/12/2014
--! Module Name: reg8to16bit
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library work, IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
--! width matching register 8 bit to 16 bit
entity reg8to16bit is
Port (
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
flush : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
din_rdy : IN STD_LOGIC;
-----
flushed : OUT STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
dout_rdy : OUT STD_LOGIC
);
end reg8to16bit;
architecture Behavioral of reg8to16bit is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
----
signal dout16bit_s1, dout16bit_s2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
signal count, ce, count_1CLK_pulse_valid, flush_s, count_rst, flashed_delayed, count_trig : STD_LOGIC := '0';
signal count_1CLK_pulse_s : STD_LOGIC;
----
begin
-----
--
process(clk)
begin
if clk'event and clk = '1' then
if rst = '1' then
ce <= '1';
end if;
end if;
end process;
---
-----
flush_s <= flush and (not count); -- when count is '0', flush the register
--
process(clk)
begin
if clk'event and clk = '1' then
flushed <= flush_s;
end if;
end process;
---
process(flush_s, clk)
begin
if flush_s = '1' then
flashed_delayed <= '1';
elsif clk'event and clk = '1' then
flashed_delayed <= flush_s;
end if;
end process;
---
--
process(clk)
begin
if clk'event and clk = '1' then
if din_rdy = '1' then
dout16bit_s1 <= din;
dout16bit_s2 <= dout16bit_s1;
end if;
end if;
end process;
---
process(flashed_delayed, dout16bit_s1, dout16bit_s2)
begin
if flashed_delayed = '1' then
dout <= "00000000" & dout16bit_s1;
else
dout <= dout16bit_s1 & dout16bit_s2;
end if;
end process;
---
---
count_rst <= rst; -- or flush_s;
---
process(count_rst, clk)
begin
if count_rst = '1' then
count <= '1';
elsif clk'event and clk = '1' then
if flush_s = '1' then
count <= '1';
elsif din_rdy = '1' then
count <= not count;
end if;
end if;
end process;
---
count_trig <= count;-- and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse: pulse_pdxx_pwxx PORT MAP(clk, count_trig, count_1CLK_pulse_s);
--count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not rst) and ce; --and (not flashed_delayed)
---
dout_rdy <= count_1CLK_pulse_valid; -- or flush_s;
---
end Behavioral;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 08/12/2014
--! Module Name: reg8to16bit
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library work, IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
--! width matching register 8 bit to 16 bit
entity reg8to16bit is
Port (
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
flush : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
din_rdy : IN STD_LOGIC;
-----
flushed : OUT STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
dout_rdy : OUT STD_LOGIC
);
end reg8to16bit;
architecture Behavioral of reg8to16bit is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
----
signal dout16bit_s1, dout16bit_s2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
signal count, ce, count_1CLK_pulse_valid, flush_s, count_rst, flashed_delayed, count_trig : STD_LOGIC := '0';
signal count_1CLK_pulse_s : STD_LOGIC;
----
begin
-----
--
process(clk)
begin
if clk'event and clk = '1' then
if rst = '1' then
ce <= '1';
end if;
end if;
end process;
---
-----
flush_s <= flush and (not count); -- when count is '0', flush the register
--
process(clk)
begin
if clk'event and clk = '1' then
flushed <= flush_s;
end if;
end process;
---
process(flush_s, clk)
begin
if flush_s = '1' then
flashed_delayed <= '1';
elsif clk'event and clk = '1' then
flashed_delayed <= flush_s;
end if;
end process;
---
--
process(clk)
begin
if clk'event and clk = '1' then
if din_rdy = '1' then
dout16bit_s1 <= din;
dout16bit_s2 <= dout16bit_s1;
end if;
end if;
end process;
---
process(flashed_delayed, dout16bit_s1, dout16bit_s2)
begin
if flashed_delayed = '1' then
dout <= "00000000" & dout16bit_s1;
else
dout <= dout16bit_s1 & dout16bit_s2;
end if;
end process;
---
---
count_rst <= rst; -- or flush_s;
---
process(count_rst, clk)
begin
if count_rst = '1' then
count <= '1';
elsif clk'event and clk = '1' then
if flush_s = '1' then
count <= '1';
elsif din_rdy = '1' then
count <= not count;
end if;
end if;
end process;
---
count_trig <= count;-- and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse: pulse_pdxx_pwxx PORT MAP(clk, count_trig, count_1CLK_pulse_s);
--count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not rst) and ce; --and (not flashed_delayed)
---
dout_rdy <= count_1CLK_pulse_valid; -- or flush_s;
---
end Behavioral;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 08/12/2014
--! Module Name: reg8to16bit
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library work, IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
--! width matching register 8 bit to 16 bit
entity reg8to16bit is
Port (
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
flush : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
din_rdy : IN STD_LOGIC;
-----
flushed : OUT STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
dout_rdy : OUT STD_LOGIC
);
end reg8to16bit;
architecture Behavioral of reg8to16bit is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
----
signal dout16bit_s1, dout16bit_s2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
signal count, ce, count_1CLK_pulse_valid, flush_s, count_rst, flashed_delayed, count_trig : STD_LOGIC := '0';
signal count_1CLK_pulse_s : STD_LOGIC;
----
begin
-----
--
process(clk)
begin
if clk'event and clk = '1' then
if rst = '1' then
ce <= '1';
end if;
end if;
end process;
---
-----
flush_s <= flush and (not count); -- when count is '0', flush the register
--
process(clk)
begin
if clk'event and clk = '1' then
flushed <= flush_s;
end if;
end process;
---
process(flush_s, clk)
begin
if flush_s = '1' then
flashed_delayed <= '1';
elsif clk'event and clk = '1' then
flashed_delayed <= flush_s;
end if;
end process;
---
--
process(clk)
begin
if clk'event and clk = '1' then
if din_rdy = '1' then
dout16bit_s1 <= din;
dout16bit_s2 <= dout16bit_s1;
end if;
end if;
end process;
---
process(flashed_delayed, dout16bit_s1, dout16bit_s2)
begin
if flashed_delayed = '1' then
dout <= "00000000" & dout16bit_s1;
else
dout <= dout16bit_s1 & dout16bit_s2;
end if;
end process;
---
---
count_rst <= rst; -- or flush_s;
---
process(count_rst, clk)
begin
if count_rst = '1' then
count <= '1';
elsif clk'event and clk = '1' then
if flush_s = '1' then
count <= '1';
elsif din_rdy = '1' then
count <= not count;
end if;
end if;
end process;
---
count_trig <= count;-- and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse: pulse_pdxx_pwxx PORT MAP(clk, count_trig, count_1CLK_pulse_s);
--count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not rst) and ce; --and (not flashed_delayed)
---
dout_rdy <= count_1CLK_pulse_valid; -- or flush_s;
---
end Behavioral;
|
----------------------------------------------------------------------------------
--! Company: EDAQ WIS.
--! Engineer: juna
--!
--! Create Date: 08/12/2014
--! Module Name: reg8to16bit
--! Project Name: FELIX
----------------------------------------------------------------------------------
--! Use standard library
library work, IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
--! width matching register 8 bit to 16 bit
entity reg8to16bit is
Port (
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
flush : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
din_rdy : IN STD_LOGIC;
-----
flushed : OUT STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
dout_rdy : OUT STD_LOGIC
);
end reg8to16bit;
architecture Behavioral of reg8to16bit is
----------------------------------
----------------------------------
component pulse_pdxx_pwxx
generic(
pd : integer := 0;
pw : integer := 1);
port(
clk : in std_logic;
trigger : in std_logic;
pulseout : out std_logic
);
end component pulse_pdxx_pwxx;
----------------------------------
----------------------------------
----
signal dout16bit_s1, dout16bit_s2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
signal count, ce, count_1CLK_pulse_valid, flush_s, count_rst, flashed_delayed, count_trig : STD_LOGIC := '0';
signal count_1CLK_pulse_s : STD_LOGIC;
----
begin
-----
--
process(clk)
begin
if clk'event and clk = '1' then
if rst = '1' then
ce <= '1';
end if;
end if;
end process;
---
-----
flush_s <= flush and (not count); -- when count is '0', flush the register
--
process(clk)
begin
if clk'event and clk = '1' then
flushed <= flush_s;
end if;
end process;
---
process(flush_s, clk)
begin
if flush_s = '1' then
flashed_delayed <= '1';
elsif clk'event and clk = '1' then
flashed_delayed <= flush_s;
end if;
end process;
---
--
process(clk)
begin
if clk'event and clk = '1' then
if din_rdy = '1' then
dout16bit_s1 <= din;
dout16bit_s2 <= dout16bit_s1;
end if;
end if;
end process;
---
process(flashed_delayed, dout16bit_s1, dout16bit_s2)
begin
if flashed_delayed = '1' then
dout <= "00000000" & dout16bit_s1;
else
dout <= dout16bit_s1 & dout16bit_s2;
end if;
end process;
---
---
count_rst <= rst; -- or flush_s;
---
process(count_rst, clk)
begin
if count_rst = '1' then
count <= '1';
elsif clk'event and clk = '1' then
if flush_s = '1' then
count <= '1';
elsif din_rdy = '1' then
count <= not count;
end if;
end if;
end process;
---
count_trig <= count;-- and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse: pulse_pdxx_pwxx PORT MAP(clk, count_trig, count_1CLK_pulse_s);
--count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not flashed_delayed) and (not rst) and ce;
count_1CLK_pulse_valid <= count_1CLK_pulse_s and (not rst) and ce; --and (not flashed_delayed)
---
dout_rdy <= count_1CLK_pulse_valid; -- or flush_s;
---
end Behavioral;
|
library verilog;
use verilog.vl_types.all;
entity alt_cal_mm is
generic(
number_of_channels: integer := 1;
channel_address_width: integer := 1;
sim_model_mode : string := "TRUE";
lpm_type : string := "alt_cal_mm";
lpm_hint : string := "UNUSED";
idle : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi0, Hi0, Hi0);
ch_wait : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi0, Hi0, Hi1);
testbus_set : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi0, Hi1, Hi0);
offsets_pden_rd : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi0, Hi1, Hi1);
offsets_pden_wr : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi1, Hi0, Hi0);
cal_pd_wr : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi1, Hi0, Hi1);
cal_rx_rd : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi1, Hi1, Hi0);
cal_rx_wr : vl_logic_vector(0 to 4) := (Hi0, Hi0, Hi1, Hi1, Hi1);
dprio_wait : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi0, Hi0, Hi0);
sample_tb : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi0, Hi0, Hi1);
test_input : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi0, Hi1, Hi0);
ch_adv : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi1, Hi0, Hi0);
dprio_read : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi1, Hi1, Hi0);
dprio_write : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi1, Hi1, Hi1);
kick_start_rd : vl_logic_vector(0 to 4) := (Hi0, Hi1, Hi1, Hi0, Hi1);
kick_start_wr : vl_logic_vector(0 to 4) := (Hi1, Hi0, Hi0, Hi0, Hi0);
kick_pause : vl_logic_vector(0 to 4) := (Hi1, Hi0, Hi0, Hi0, Hi1);
kick_delay_oc : vl_logic_vector(0 to 4) := (Hi1, Hi0, Hi0, Hi1, Hi0);
sample_length : vl_logic_vector(0 to 7) := (Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0, Hi0)
);
port(
busy : out vl_logic;
cal_error : out vl_logic_vector;
clock : in vl_logic;
dprio_addr : out vl_logic_vector(15 downto 0);
dprio_busy : in vl_logic;
dprio_datain : in vl_logic_vector(15 downto 0);
dprio_dataout : out vl_logic_vector(15 downto 0);
dprio_rden : out vl_logic;
dprio_wren : out vl_logic;
quad_addr : out vl_logic_vector(8 downto 0);
remap_addr : in vl_logic_vector(11 downto 0);
reset : in vl_logic;
retain_addr : out vl_logic_vector(0 downto 0);
start : in vl_logic;
transceiver_init: in vl_logic;
testbuses : in vl_logic_vector
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of number_of_channels : constant is 1;
attribute mti_svvh_generic_type of channel_address_width : constant is 1;
attribute mti_svvh_generic_type of sim_model_mode : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of idle : constant is 1;
attribute mti_svvh_generic_type of ch_wait : constant is 1;
attribute mti_svvh_generic_type of testbus_set : constant is 1;
attribute mti_svvh_generic_type of offsets_pden_rd : constant is 1;
attribute mti_svvh_generic_type of offsets_pden_wr : constant is 1;
attribute mti_svvh_generic_type of cal_pd_wr : constant is 1;
attribute mti_svvh_generic_type of cal_rx_rd : constant is 1;
attribute mti_svvh_generic_type of cal_rx_wr : constant is 1;
attribute mti_svvh_generic_type of dprio_wait : constant is 1;
attribute mti_svvh_generic_type of sample_tb : constant is 1;
attribute mti_svvh_generic_type of test_input : constant is 1;
attribute mti_svvh_generic_type of ch_adv : constant is 1;
attribute mti_svvh_generic_type of dprio_read : constant is 1;
attribute mti_svvh_generic_type of dprio_write : constant is 1;
attribute mti_svvh_generic_type of kick_start_rd : constant is 1;
attribute mti_svvh_generic_type of kick_start_wr : constant is 1;
attribute mti_svvh_generic_type of kick_pause : constant is 1;
attribute mti_svvh_generic_type of kick_delay_oc : constant is 1;
attribute mti_svvh_generic_type of sample_length : constant is 1;
end alt_cal_mm;
|
--------------------------------------------------------------------------------
--
-- FileName: i2c_master.vhd
-- Dependencies: none
-- Design Software: Quartus II 64-bit Version 13.1 Build 162 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 11/1/2012 Scott Larson
-- Initial Public Release
-- Version 2.0 06/20/2014 Scott Larson
-- Added ability to interface with different slaves in the same transaction
-- Corrected ack_error bug where ack_error went 'Z' instead of '1' on error
-- Corrected timing of when ack_error signal clears
-- Version 2.1 10/21/2014 Scott Larson
-- Replaced gated clock with clock enable
-- Adjusted timing of SCL during start and stop conditions
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY i2c_master IS
GENERIC(
input_clk : INTEGER := 100_000_000; --input clock speed from user logic in Hz
bus_clk : INTEGER := 100_000); --speed the i2c bus (scl) will run at in Hz
PORT(
clk : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --active low reset
ena : IN STD_LOGIC; --latch in command
addr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); --address of target slave
rw : IN STD_LOGIC; --'0' is write, '1' is read
data_wr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --data to write to slave
busy : OUT STD_LOGIC; --indicates transaction in progress
data_rd : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --data read from slave
ack_error : BUFFER STD_LOGIC; --flag if improper acknowledge from slave
sda : INOUT STD_LOGIC; --serial data output of i2c bus
scl : INOUT STD_LOGIC);
END i2c_master;
ARCHITECTURE logic OF i2c_master IS
CONSTANT divider : INTEGER := (input_clk/bus_clk)/4; --number of clocks in 1/4 cycle of scl
TYPE machine IS(ready, start, command, slv_ack1, wr, rd, slv_ack2, mstr_ack, stop); --needed states
SIGNAL state : machine; --state machine
SIGNAL data_clk : STD_LOGIC; --data clock for sda
SIGNAL data_clk_prev : STD_LOGIC; --data clock during previous system clock
SIGNAL data_clk_m : STD_LOGIC; --data clock during previous system clock
SIGNAL scl_clk : STD_LOGIC; --constantly running internal scl
SIGNAL scl_ena : STD_LOGIC := '0'; --enables internal scl to output
SIGNAL sda_int : STD_LOGIC := '1'; --internal sda
SIGNAL sda_ena_n : STD_LOGIC; --enables internal sda to output
SIGNAL addr_rw : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in address and read/write
SIGNAL data_tx : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in data to write to slave
SIGNAL data_rx : STD_LOGIC_VECTOR(7 DOWNTO 0); --data received from slave
SIGNAL bit_cnt : INTEGER RANGE 0 TO 7 := 7; --tracks bit number in transaction
SIGNAL stretch : STD_LOGIC := '0'; --identifies if slave is stretching scl
BEGIN
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
PROCESS(clk, reset_n)
VARIABLE count : INTEGER RANGE 0 TO divider*4; --timing for clock generation
BEGIN
IF(reset_n = '0') THEN --reset asserted
stretch <= '0';
count := 0;
ELSIF(clk'EVENT AND clk = '1') THEN
data_clk_prev <= data_clk; --store previous value of data clock
IF(count = 999) THEN --end of timing cycle
count := 0; --reset timer
ELSIF(stretch = '0') THEN --clock stretching from slave not detected
count := count + 1; --continue clock generation timing
END IF;
CASE count IS
WHEN 0 TO 249 => --first 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '0';
WHEN 250 TO 499 => --second 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '1';
WHEN 500 TO 749 => --third 1/4 cycle of clocking
scl_clk <= '1'; --release scl
IF(scl = '0') THEN --detect if slave is stretching clock
stretch <= '1';
ELSE
stretch <= '0';
END IF;
data_clk <= '1';
WHEN OTHERS => --last 1/4 cycle of clocking
scl_clk <= '1';
data_clk <= '0';
END CASE;
END IF;
END PROCESS;
--state machine and writing to sda during scl low (data_clk rising edge)
PROCESS(clk, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
ELSIF(clk'EVENT AND clk = '1') THEN
IF(data_clk = '1' AND data_clk_prev = '0') THEN --data clock rising edge
CASE state IS
WHEN ready => --idle state
IF(ena = '1') THEN --transaction requested
busy <= '1'; --flag busy
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
state <= start; --go to start bit
ELSE --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
END IF;
WHEN start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= addr_rw(bit_cnt); --set first address bit to bus
state <= command; --go to command
WHEN command => --address and command byte of transaction
IF(bit_cnt = 0) THEN --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
ELSE --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= addr_rw(bit_cnt-1); --write address/command bit to bus
state <= command; --continue with command
END IF;
WHEN slv_ack1 => --slave acknowledge bit (command)
IF(addr_rw(0) = '0') THEN --write command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --read command
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
END IF;
WHEN wr => --write byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --write byte transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
-- added the following line to make sure busy = 0 in the slv_ack2 state
busy <= '0'; --continue is accepted (modified by CU)
state <= slv_ack2; --go to slave acknowledge (write)
ELSE --next clock cycle of write state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= data_tx(bit_cnt-1); --write next bit to bus
state <= wr; --continue writing
END IF;
WHEN rd => --read byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --read byte receive finished
IF(ena = '1' AND addr_rw = addr & rw) THEN --continuing with another read at same address
sda_int <= '0'; --acknowledge the byte has been received
ELSE --stopping or continuing with a write
sda_int <= '1'; --send a no-acknowledge (before stop or repeated start)
END IF;
bit_cnt <= 7; --reset bit counter for "byte" states
-- added the following line to make sure busy = 0 in the mstr_ack state
busy <= '0'; --continue is accepted (modified by CU)
data_rd <= data_rx; --output received data
state <= mstr_ack; --go to master acknowledge
ELSE --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
END IF;
WHEN slv_ack2 => --slave acknowledge bit (write)
IF(ena = '1') THEN --continue transaction
-- busy <= '0'; --continue is accepted (modified by CU)
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another write
busy <= '1'; --resume busy in the wr state (modified by CU)
sda_int <= data_wr(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --continue transaction with a read or new slave
state <= start; --go to repeated start
END IF;
ELSE --complete transaction
busy <= '0'; --unflag busy (modified by CU)
sda_int <= '1'; --sets sda high impedance (modified by CU)
state <= stop; --go to stop bit
END IF;
WHEN mstr_ack => --master acknowledge bit after a read
IF(ena = '1') THEN --continue transaction
-- busy <= '0'; --continue is accepted (modified by CU)
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another read
busy <= '1'; --resume busy in the wr state (modified by CU)
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
ELSE --continue transaction with a write or new slave
state <= start; --repeated start
END IF;
ELSE --complete transaction
busy <= '0'; --unflag busy (modified by CU)
sda_int <= '1'; --sets sda high impedance (modified by CU)
state <= stop; --go to stop bit
END IF;
WHEN stop => --stop bit of transaction
-- busy <= '0'; --unflag busy (modified by CU)
state <= ready; --go to idle state
END CASE;
ELSIF(data_clk = '0' AND data_clk_prev = '1') THEN --data clock falling edge
CASE state IS
WHEN start =>
IF(scl_ena = '0') THEN --starting new transaction
scl_ena <= '1'; --enable scl output
ack_error <= '0'; --reset acknowledge error output
END IF;
WHEN slv_ack1 => --receiving slave acknowledge (command)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN rd => --receiving slave data
data_rx(bit_cnt) <= sda; --receive current slave data bit
WHEN slv_ack2 => --receiving slave acknowledge (write)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN stop =>
scl_ena <= '0'; --disable scl
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END IF;
END PROCESS;
--set sda output
data_clk_m <= data_clk_prev and data_clk; -- Modification added at CU
WITH state SELECT
sda_ena_n <= data_clk WHEN start, --generate start condition
NOT data_clk_m WHEN stop, --generate stop condition (modification added at CU)
sda_int WHEN OTHERS; --set to internal sda signal
--set scl and sda outputs
scl <= '0' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE 'Z';
sda <= '0' WHEN sda_ena_n = '0' ELSE 'Z';
-- Following two signals will be used for tristate obuft (did not work)
-- scl <= '1' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE '0';
-- sda <= '1' WHEN sda_ena_n = '0' ELSE '0';
END logic;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_eb_e
--
-- Generated
-- by: wig
-- on: Wed Jun 7 17:05:33 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta -bak ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eb_e-e.vhd,v 1.2 2006/06/22 07:19:59 wig Exp $
-- $Date: 2006/06/22 07:19:59 $
-- $Log: inst_eb_e-e.vhd,v $
-- Revision 1.2 2006/06/22 07:19:59 wig
-- Updated testcases and extended MixTest.pl to also verify number of created files.
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.89 2006/05/23 06:48:05 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.45 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_eb_e
--
entity inst_eb_e is
-- Generics:
-- No Generated Generics for Entity inst_eb_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_eb_e
p_mix_tmi_sbist_fail_12_10_go : out std_ulogic_vector(2 downto 0);
p_mix_c_addr_12_0_gi : in std_ulogic_vector(12 downto 0);
p_mix_c_bus_in_31_0_gi : in std_ulogic_vector(31 downto 0)
-- End of Generated Port for Entity inst_eb_e
);
end inst_eb_e;
--
-- End of Generated Entity inst_eb_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
--!
--! Copyright 2018 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
--! RIVER CPU specific library.
library riverlib;
--! RIVER CPU configuration constants.
use riverlib.river_cfg.all;
entity RiverTop is
generic (
memtech : integer := 0;
hartid : integer := 0;
async_reset : boolean := false;
fpu_ena : boolean := true;
coherence_ena : boolean := false;
tracer_ena : boolean := false
);
port (
i_clk : in std_logic; -- CPU clock
i_nrst : in std_logic; -- Reset. Active LOW.
-- Memory interface:
i_req_mem_ready : in std_logic; -- AXI request was accepted
o_req_mem_path : out std_logic; -- 0=ctrl; 1=data path
o_req_mem_valid : out std_logic; -- AXI memory request is valid
o_req_mem_type : out std_logic_vector(REQ_MEM_TYPE_BITS-1 downto 0);-- AXI memory request is write type
o_req_mem_addr : out std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0); -- AXI memory request address
o_req_mem_strob : out std_logic_vector(L1CACHE_BYTES_PER_LINE-1 downto 0);-- Writing strob. 1 bit per Byte
o_req_mem_data : out std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); -- Writing data
i_resp_mem_valid : in std_logic; -- AXI response is valid
i_resp_mem_path : in std_logic; -- 0=ctrl; 1=data path
i_resp_mem_data : in std_logic_vector(L1CACHE_LINE_BITS-1 downto 0); -- Read data
i_resp_mem_load_fault : in std_logic; -- Bus response with SLVERR or DECERR on read
i_resp_mem_store_fault : in std_logic; -- Bus response with SLVERR or DECERR on write
-- Interrupt line from external interrupts controller (PLIC).
i_ext_irq : in std_logic;
-- D$ Snoop interface
i_req_snoop_valid : in std_logic;
i_req_snoop_type : in std_logic_vector(SNOOP_REQ_TYPE_BITS-1 downto 0);
o_req_snoop_ready : out std_logic;
i_req_snoop_addr : in std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
i_resp_snoop_ready : in std_logic;
o_resp_snoop_valid : out std_logic;
o_resp_snoop_data : out std_logic_vector(L1CACHE_LINE_BITS-1 downto 0);
o_resp_snoop_flags : out std_logic_vector(DTAG_FL_TOTAL-1 downto 0);
-- Debug interface:
i_dport_req_valid : in std_logic; -- Debug access from DSU is valid
i_dport_write : in std_logic; -- Write command flag
i_dport_addr : in std_logic_vector(CFG_DPORT_ADDR_BITS-1 downto 0); -- Debug Port address
i_dport_wdata : in std_logic_vector(RISCV_ARCH-1 downto 0);-- Write value
o_dport_req_ready : out std_logic; -- Ready to accept dbg request
i_dport_resp_ready : in std_logic; -- Read to accept response
o_dport_resp_valid : out std_logic; -- Response is valid
o_dport_rdata : out std_logic_vector(RISCV_ARCH-1 downto 0);-- Response value
o_halted : out std_logic
);
end;
architecture arch_RiverTop of RiverTop is
-- Control path:
signal w_req_ctrl_ready : std_logic;
signal w_req_ctrl_valid : std_logic;
signal wb_req_ctrl_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal w_resp_ctrl_valid : std_logic;
signal wb_resp_ctrl_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal wb_resp_ctrl_data : std_logic_vector(31 downto 0);
signal w_resp_ctrl_load_fault : std_logic;
signal w_resp_ctrl_executable : std_logic;
signal w_resp_ctrl_ready : std_logic;
-- Data path:
signal w_req_data_ready : std_logic;
signal w_req_data_valid : std_logic;
signal w_req_data_write : std_logic;
signal wb_req_data_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal wb_req_data_wdata : std_logic_vector(63 downto 0);
signal wb_req_data_wstrb : std_logic_vector(7 downto 0);
signal w_resp_data_valid : std_logic;
signal wb_resp_data_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal wb_resp_data_data : std_logic_vector(63 downto 0);
signal w_resp_data_load_fault : std_logic;
signal w_resp_data_store_fault : std_logic;
signal w_resp_data_er_mpu_load : std_logic;
signal w_resp_data_er_mpu_store : std_logic;
signal wb_resp_data_store_fault_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal w_resp_data_ready : std_logic;
signal w_mpu_region_we : std_logic;
signal wb_mpu_region_idx : std_logic_vector(CFG_MPU_TBL_WIDTH-1 downto 0);
signal wb_mpu_region_addr : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal wb_mpu_region_mask : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal wb_mpu_region_flags : std_logic_vector(CFG_MPU_FL_TOTAL-1 downto 0);
signal wb_flush_address : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal w_flush_valid : std_logic;
signal wb_data_flush_address : std_logic_vector(CFG_CPU_ADDR_BITS-1 downto 0);
signal w_data_flush_valid : std_logic;
signal w_data_flush_end : std_logic;
begin
proc0 : Processor generic map (
hartid => hartid,
async_reset => async_reset,
fpu_ena => fpu_ena,
tracer_ena => tracer_ena
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_req_ctrl_ready => w_req_ctrl_ready,
o_req_ctrl_valid => w_req_ctrl_valid,
o_req_ctrl_addr => wb_req_ctrl_addr,
i_resp_ctrl_valid => w_resp_ctrl_valid,
i_resp_ctrl_addr => wb_resp_ctrl_addr,
i_resp_ctrl_data => wb_resp_ctrl_data,
i_resp_ctrl_load_fault => w_resp_ctrl_load_fault,
i_resp_ctrl_executable => w_resp_ctrl_executable,
o_resp_ctrl_ready => w_resp_ctrl_ready,
i_req_data_ready => w_req_data_ready,
o_req_data_valid => w_req_data_valid,
o_req_data_write => w_req_data_write,
o_req_data_addr => wb_req_data_addr,
o_req_data_wdata => wb_req_data_wdata,
o_req_data_wstrb => wb_req_data_wstrb,
i_resp_data_valid => w_resp_data_valid,
i_resp_data_addr => wb_resp_data_addr,
i_resp_data_data => wb_resp_data_data,
i_resp_data_store_fault_addr => wb_resp_data_store_fault_addr,
i_resp_data_load_fault => w_resp_data_load_fault,
i_resp_data_store_fault => w_resp_data_store_fault,
i_resp_data_er_mpu_load => w_resp_data_er_mpu_load,
i_resp_data_er_mpu_store => w_resp_data_er_mpu_store,
o_resp_data_ready => w_resp_data_ready,
i_ext_irq => i_ext_irq,
o_mpu_region_we => w_mpu_region_we,
o_mpu_region_idx => wb_mpu_region_idx,
o_mpu_region_addr => wb_mpu_region_addr,
o_mpu_region_mask => wb_mpu_region_mask,
o_mpu_region_flags => wb_mpu_region_flags,
i_dport_req_valid => i_dport_req_valid,
i_dport_write => i_dport_write,
i_dport_addr => i_dport_addr,
i_dport_wdata => i_dport_wdata,
o_dport_req_ready => o_dport_req_ready,
i_dport_resp_ready => i_dport_resp_ready,
o_dport_resp_valid => o_dport_resp_valid,
o_dport_rdata => o_dport_rdata,
o_halted => o_halted,
o_flush_address => wb_flush_address,
o_flush_valid => w_flush_valid,
o_data_flush_address => wb_data_flush_address,
o_data_flush_valid => w_data_flush_valid,
i_data_flush_end => w_data_flush_end);
cache0 : CacheTop generic map (
memtech => memtech,
async_reset => async_reset,
coherence_ena => coherence_ena
) port map (
i_clk => i_clk,
i_nrst => i_nrst,
i_req_ctrl_valid => w_req_ctrl_valid,
i_req_ctrl_addr => wb_req_ctrl_addr,
o_req_ctrl_ready => w_req_ctrl_ready,
o_resp_ctrl_valid => w_resp_ctrl_valid,
o_resp_ctrl_addr => wb_resp_ctrl_addr,
o_resp_ctrl_data => wb_resp_ctrl_data,
o_resp_ctrl_load_fault => w_resp_ctrl_load_fault,
o_resp_ctrl_executable => w_resp_ctrl_executable,
i_resp_ctrl_ready => w_resp_ctrl_ready,
i_req_data_valid => w_req_data_valid,
i_req_data_write => w_req_data_write,
i_req_data_addr => wb_req_data_addr,
i_req_data_wdata => wb_req_data_wdata,
i_req_data_wstrb => wb_req_data_wstrb,
o_req_data_ready => w_req_data_ready,
o_resp_data_valid => w_resp_data_valid,
o_resp_data_addr => wb_resp_data_addr,
o_resp_data_data => wb_resp_data_data,
o_resp_data_store_fault_addr => wb_resp_data_store_fault_addr,
o_resp_data_load_fault => w_resp_data_load_fault,
o_resp_data_store_fault => w_resp_data_store_fault,
o_resp_data_er_mpu_load => w_resp_data_er_mpu_load,
o_resp_data_er_mpu_store => w_resp_data_er_mpu_store,
i_resp_data_ready => w_resp_data_ready,
i_req_mem_ready => i_req_mem_ready,
o_req_mem_path => o_req_mem_path,
o_req_mem_valid => o_req_mem_valid,
o_req_mem_type => o_req_mem_type,
o_req_mem_addr => o_req_mem_addr,
o_req_mem_strob => o_req_mem_strob,
o_req_mem_data => o_req_mem_data,
i_resp_mem_valid => i_resp_mem_valid,
i_resp_mem_path => i_resp_mem_path,
i_resp_mem_data => i_resp_mem_data,
i_resp_mem_load_fault => i_resp_mem_load_fault,
i_resp_mem_store_fault => i_resp_mem_store_fault,
i_mpu_region_we => w_mpu_region_we,
i_mpu_region_idx => wb_mpu_region_idx,
i_mpu_region_addr => wb_mpu_region_addr,
i_mpu_region_mask => wb_mpu_region_mask,
i_mpu_region_flags => wb_mpu_region_flags,
i_req_snoop_valid => i_req_snoop_valid,
i_req_snoop_type => i_req_snoop_type,
o_req_snoop_ready => o_req_snoop_ready,
i_req_snoop_addr => i_req_snoop_addr,
i_resp_snoop_ready => i_resp_snoop_ready,
o_resp_snoop_valid => o_resp_snoop_valid,
o_resp_snoop_data => o_resp_snoop_data,
o_resp_snoop_flags => o_resp_snoop_flags,
i_flush_address => wb_flush_address,
i_flush_valid => w_flush_valid,
i_data_flush_address => wb_data_flush_address,
i_data_flush_valid => w_data_flush_valid,
o_data_flush_end => w_data_flush_end);
end;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := artix7;
constant CFG_MEMTECH : integer := artix7;
constant CFG_PADTECH : integer := artix7;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := artix7;
constant CFG_CLKMUL : integer := (10);
constant CFG_CLKDIV : integer := (20);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 16#32# + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 1;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 1;
constant CFG_NWP : integer := (0);
constant CFG_PWD : integer := 0*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 2;
constant CFG_ISETSZ : integer := 8;
constant CFG_ILINE : integer := 4;
constant CFG_IREPL : integer := 0;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 2;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 0 + 0 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 0;
constant CFG_ITLBNUM : integer := 2;
constant CFG_DTLBNUM : integer := 2;
constant CFG_TLB_TYPE : integer := 1 + 0*2;
constant CFG_TLB_REP : integer := 1;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 2;
constant CFG_ATBSZ : integer := 2;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 1 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 1;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 1;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 1 + 0 + 0;
constant CFG_ETH_BUF : integer := 2;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000000#;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 0;
constant CFG_MCTRL_RAM16BIT : integer := 1;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 0;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 0 + 0;
-- DDR controller
constant CFG_DDR2SP : integer := 0;
constant CFG_DDR2SP_INIT : integer := 0;
constant CFG_DDR2SP_FREQ : integer := 100;
constant CFG_DDR2SP_TRFC : integer := 130;
constant CFG_DDR2SP_DATAWIDTH : integer := 64;
constant CFG_DDR2SP_FTEN : integer := 0;
constant CFG_DDR2SP_FTWIDTH : integer := 0;
constant CFG_DDR2SP_COL : integer := 9;
constant CFG_DDR2SP_SIZE : integer := 8;
constant CFG_DDR2SP_DELAY0 : integer := 0;
constant CFG_DDR2SP_DELAY1 : integer := 0;
constant CFG_DDR2SP_DELAY2 : integer := 0;
constant CFG_DDR2SP_DELAY3 : integer := 0;
constant CFG_DDR2SP_DELAY4 : integer := 0;
constant CFG_DDR2SP_DELAY5 : integer := 0;
constant CFG_DDR2SP_DELAY6 : integer := 0;
constant CFG_DDR2SP_DELAY7 : integer := 0;
constant CFG_DDR2SP_NOSYNC : integer := 0;
-- Xilinx MIG
constant CFG_MIG_DDR2 : integer := 1;
constant CFG_MIG_RANKS : integer := (1);
constant CFG_MIG_COLBITS : integer := (10);
constant CFG_MIG_ROWBITS : integer := (13);
constant CFG_MIG_BANKBITS: integer := (2);
constant CFG_MIG_HMASK : integer := 16#F00#;
-- AHB ROM
constant CFG_AHBROMEN : integer := 1;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#100#;
constant CFG_ROMMASK : integer := 16#E00# + 16#100#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- Gaisler Ethernet core
constant CFG_GRETH : integer := 1;
constant CFG_GRETH1G : integer := 0;
constant CFG_ETH_FIFO : integer := 4;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 1;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#0000#;
constant CFG_GRGPIO_WIDTH : integer := (8);
-- SPI memory controller
constant CFG_SPIMCTRL : integer := 0;
constant CFG_SPIMCTRL_SDCARD : integer := 0;
constant CFG_SPIMCTRL_READCMD : integer := 16#0#;
constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0;
constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0;
constant CFG_SPIMCTRL_SCALER : integer := 1;
constant CFG_SPIMCTRL_ASCALER : integer := 1;
constant CFG_SPIMCTRL_PWRUPCNT : integer := 0;
constant CFG_SPIMCTRL_OFFSET : integer := 16#0#;
-- SPI controller
constant CFG_SPICTRL_ENABLE : integer := 0;
constant CFG_SPICTRL_NUM : integer := 1;
constant CFG_SPICTRL_SLVS : integer := 1;
constant CFG_SPICTRL_FIFO : integer := 1;
constant CFG_SPICTRL_SLVREG : integer := 0;
constant CFG_SPICTRL_ODMODE : integer := 0;
constant CFG_SPICTRL_AM : integer := 0;
constant CFG_SPICTRL_ASEL : integer := 0;
constant CFG_SPICTRL_TWEN : integer := 0;
constant CFG_SPICTRL_MAXWLEN : integer := 0;
constant CFG_SPICTRL_SYNCRAM : integer := 0;
constant CFG_SPICTRL_FT : integer := 0;
-- GRLIB debugging
constant CFG_DUART : integer := 1;
end;
|
-------------------------------------------------------------------------------
-- bfm_monitor_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library plbv46_monitor_bfm_v1_00_a;
use plbv46_monitor_bfm_v1_00_a.all;
entity bfm_monitor_wrapper is
port (
PLB_CLK : in std_logic;
PLB_RESET : in std_logic;
SYNCH_OUT : out std_logic_vector(0 to 31);
SYNCH_IN : in std_logic_vector(0 to 31);
M_request : in std_logic_vector(0 to 1);
M_priority : in std_logic_vector(0 to 3);
M_buslock : in std_logic_vector(0 to 1);
M_RNW : in std_logic_vector(0 to 1);
M_BE : in std_logic_vector(0 to 31);
M_msize : in std_logic_vector(0 to 3);
M_size : in std_logic_vector(0 to 7);
M_type : in std_logic_vector(0 to 5);
M_TAttribute : in std_logic_vector(0 to 31);
M_lockErr : in std_logic_vector(0 to 1);
M_abort : in std_logic_vector(0 to 1);
M_UABus : in std_logic_vector(0 to 63);
M_ABus : in std_logic_vector(0 to 63);
M_wrDBus : in std_logic_vector(0 to 255);
M_wrBurst : in std_logic_vector(0 to 1);
M_rdBurst : in std_logic_vector(0 to 1);
PLB_MAddrAck : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic_vector(0 to 1);
PLB_MTimeout : in std_logic_vector(0 to 1);
PLB_MBusy : in std_logic_vector(0 to 1);
PLB_MRdErr : in std_logic_vector(0 to 1);
PLB_MWrErr : in std_logic_vector(0 to 1);
PLB_MIRQ : in std_logic_vector(0 to 1);
PLB_MWrDAck : in std_logic_vector(0 to 1);
PLB_MRdDBus : in std_logic_vector(0 to 255);
PLB_MRdWdAddr : in std_logic_vector(0 to 7);
PLB_MRdDAck : in std_logic_vector(0 to 1);
PLB_MRdBTerm : in std_logic_vector(0 to 1);
PLB_MWrBTerm : in std_logic_vector(0 to 1);
PLB_Mssize : in std_logic_vector(0 to 3);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic_vector(0 to 1);
PLB_wrPrim : in std_logic_vector(0 to 1);
PLB_MasterID : in std_logic_vector(0 to 0);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to 15);
PLB_msize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_lockErr : in std_logic;
PLB_UABus : in std_logic_vector(0 to 31);
PLB_ABus : in std_logic_vector(0 to 31);
PLB_wrDBus : in std_logic_vector(0 to 127);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdpendReq : in std_logic;
PLB_wrpendReq : in std_logic;
PLB_rdpendPri : in std_logic_vector(0 to 1);
PLB_wrpendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
Sl_addrAck : in std_logic_vector(0 to 1);
Sl_wait : in std_logic_vector(0 to 1);
Sl_rearbitrate : in std_logic_vector(0 to 1);
Sl_wrDAck : in std_logic_vector(0 to 1);
Sl_wrComp : in std_logic_vector(0 to 1);
Sl_wrBTerm : in std_logic_vector(0 to 1);
Sl_rdDBus : in std_logic_vector(0 to 255);
Sl_rdWdAddr : in std_logic_vector(0 to 7);
Sl_rdDAck : in std_logic_vector(0 to 1);
Sl_rdComp : in std_logic_vector(0 to 1);
Sl_rdBTerm : in std_logic_vector(0 to 1);
Sl_MBusy : in std_logic_vector(0 to 3);
Sl_MRdErr : in std_logic_vector(0 to 3);
Sl_MWrErr : in std_logic_vector(0 to 3);
Sl_MIRQ : in std_logic_vector(0 to 3);
Sl_ssize : in std_logic_vector(0 to 3);
PLB_SaddrAck : in std_logic;
PLB_Swait : in std_logic;
PLB_Srearbitrate : in std_logic;
PLB_SwrDAck : in std_logic;
PLB_SwrComp : in std_logic;
PLB_SwrBTerm : in std_logic;
PLB_SrdDBus : in std_logic_vector(0 to 127);
PLB_SrdWdAddr : in std_logic_vector(0 to 3);
PLB_SrdDAck : in std_logic;
PLB_SrdComp : in std_logic;
PLB_SrdBTerm : in std_logic;
PLB_SMBusy : in std_logic_vector(0 to 1);
PLB_SMRdErr : in std_logic_vector(0 to 1);
PLB_SMWrErr : in std_logic_vector(0 to 1);
PLB_SMIRQ : in std_logic_vector(0 to 1);
PLB_Sssize : in std_logic_vector(0 to 1)
);
end bfm_monitor_wrapper;
architecture STRUCTURE of bfm_monitor_wrapper is
component plbv46_monitor_bfm is
generic (
PLB_MONITOR_NUM : std_logic_vector(0 to 3);
PLB_SLAVE0_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE0_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE0_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE0_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_HI_1 : std_logic_vector(0 to 31);
C_MON_PLB_AWIDTH : integer;
C_MON_PLB_DWIDTH : integer;
C_MON_PLB_NUM_MASTERS : integer;
C_MON_PLB_NUM_SLAVES : integer;
C_MON_PLB_MID_WIDTH : integer
);
port (
PLB_CLK : in std_logic;
PLB_RESET : in std_logic;
SYNCH_OUT : out std_logic_vector(0 to 31);
SYNCH_IN : in std_logic_vector(0 to 31);
M_request : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_priority : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_MASTERS)-1));
M_buslock : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_RNW : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_BE : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_DWIDTH/8)-1));
M_msize : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_MASTERS)-1));
M_size : in std_logic_vector(0 to ((4*C_MON_PLB_NUM_MASTERS)-1));
M_type : in std_logic_vector(0 to ((3*C_MON_PLB_NUM_MASTERS)-1));
M_TAttribute : in std_logic_vector(0 to 16*C_MON_PLB_NUM_MASTERS-1);
M_lockErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_abort : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_UABus : in std_logic_vector(0 to ((C_MON_PLB_AWIDTH*C_MON_PLB_NUM_MASTERS)-1));
M_ABus : in std_logic_vector(0 to ((C_MON_PLB_AWIDTH*C_MON_PLB_NUM_MASTERS)-1));
M_wrDBus : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH*C_MON_PLB_NUM_MASTERS)-1));
M_wrBurst : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_rdBurst : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MAddrAck : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRearbitrate : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MTimeout : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MBusy : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRdErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MWrErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MIRQ : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MWrDAck : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRdDBus : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH*C_MON_PLB_NUM_MASTERS)-1));
PLB_MRdWdAddr : in std_logic_vector(0 to ((4*C_MON_PLB_NUM_MASTERS)-1));
PLB_MRdDAck : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRdBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MWrBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_Mssize : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_MASTERS)-1));
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
PLB_wrPrim : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
PLB_MasterID : in std_logic_vector(0 to C_MON_PLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH/8)-1));
PLB_msize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_lockErr : in std_logic;
PLB_UABus : in std_logic_vector(0 to 31);
PLB_ABus : in std_logic_vector(0 to 31);
PLB_wrDBus : in std_logic_vector(0 to (C_MON_PLB_DWIDTH-1));
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdpendReq : in std_logic;
PLB_wrpendReq : in std_logic;
PLB_rdpendPri : in std_logic_vector(0 to 1);
PLB_wrpendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
Sl_addrAck : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wait : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rearbitrate : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wrDAck : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wrComp : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wrBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rdDBus : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH*C_MON_PLB_NUM_SLAVES)-1));
Sl_rdWdAddr : in std_logic_vector(0 to ((4*C_MON_PLB_NUM_SLAVES)-1));
Sl_rdDAck : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rdComp : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rdBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_MBusy : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_MRdErr : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_MWrErr : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_MIRQ : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_ssize : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_SLAVES)-1));
PLB_SaddrAck : in std_logic;
PLB_Swait : in std_logic;
PLB_Srearbitrate : in std_logic;
PLB_SwrDAck : in std_logic;
PLB_SwrComp : in std_logic;
PLB_SwrBTerm : in std_logic;
PLB_SrdDBus : in std_logic_vector(0 to C_MON_PLB_DWIDTH-1);
PLB_SrdWdAddr : in std_logic_vector(0 to 3);
PLB_SrdDAck : in std_logic;
PLB_SrdComp : in std_logic;
PLB_SrdBTerm : in std_logic;
PLB_SMBusy : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_SMRdErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_SMWrErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_SMIRQ : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_Sssize : in std_logic_vector(0 to 1)
);
end component;
begin
bfm_monitor : plbv46_monitor_bfm
generic map (
PLB_MONITOR_NUM => B"0000",
PLB_SLAVE0_ADDR_LO_0 => X"00000000",
PLB_SLAVE0_ADDR_HI_0 => X"00000000",
PLB_SLAVE1_ADDR_LO_0 => X"00000000",
PLB_SLAVE1_ADDR_HI_0 => X"00000000",
PLB_SLAVE2_ADDR_LO_0 => X"00000000",
PLB_SLAVE2_ADDR_HI_0 => X"00000000",
PLB_SLAVE3_ADDR_LO_0 => X"00000000",
PLB_SLAVE3_ADDR_HI_0 => X"00000000",
PLB_SLAVE4_ADDR_LO_0 => X"00000000",
PLB_SLAVE4_ADDR_HI_0 => X"00000000",
PLB_SLAVE5_ADDR_LO_0 => X"00000000",
PLB_SLAVE5_ADDR_HI_0 => X"00000000",
PLB_SLAVE6_ADDR_LO_0 => X"00000000",
PLB_SLAVE6_ADDR_HI_0 => X"00000000",
PLB_SLAVE7_ADDR_LO_0 => X"00000000",
PLB_SLAVE7_ADDR_HI_0 => X"00000000",
PLB_SLAVE0_ADDR_LO_1 => X"00000000",
PLB_SLAVE0_ADDR_HI_1 => X"00000000",
PLB_SLAVE1_ADDR_LO_1 => X"00000000",
PLB_SLAVE1_ADDR_HI_1 => X"00000000",
PLB_SLAVE2_ADDR_LO_1 => X"00000000",
PLB_SLAVE2_ADDR_HI_1 => X"00000000",
PLB_SLAVE3_ADDR_LO_1 => X"00000000",
PLB_SLAVE3_ADDR_HI_1 => X"00000000",
PLB_SLAVE4_ADDR_LO_1 => X"00000000",
PLB_SLAVE4_ADDR_HI_1 => X"00000000",
PLB_SLAVE5_ADDR_LO_1 => X"00000000",
PLB_SLAVE5_ADDR_HI_1 => X"00000000",
PLB_SLAVE6_ADDR_LO_1 => X"00000000",
PLB_SLAVE6_ADDR_HI_1 => X"00000000",
PLB_SLAVE7_ADDR_LO_1 => X"00000000",
PLB_SLAVE7_ADDR_HI_1 => X"00000000",
C_MON_PLB_AWIDTH => 32,
C_MON_PLB_DWIDTH => 128,
C_MON_PLB_NUM_MASTERS => 2,
C_MON_PLB_NUM_SLAVES => 2,
C_MON_PLB_MID_WIDTH => 1
)
port map (
PLB_CLK => PLB_CLK,
PLB_RESET => PLB_RESET,
SYNCH_OUT => SYNCH_OUT,
SYNCH_IN => SYNCH_IN,
M_request => M_request,
M_priority => M_priority,
M_buslock => M_buslock,
M_RNW => M_RNW,
M_BE => M_BE,
M_msize => M_msize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_Mssize => PLB_Mssize,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_MasterID => PLB_MasterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_msize => PLB_msize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_TAttribute => PLB_TAttribute,
PLB_lockErr => PLB_lockErr,
PLB_UABus => PLB_UABus,
PLB_ABus => PLB_ABus,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_rdpendReq => PLB_rdpendReq,
PLB_wrpendReq => PLB_wrpendReq,
PLB_rdpendPri => PLB_rdpendPri,
PLB_wrpendPri => PLB_wrpendPri,
PLB_reqPri => PLB_reqPri,
Sl_addrAck => Sl_addrAck,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MRdErr => Sl_MRdErr,
Sl_MWrErr => Sl_MWrErr,
Sl_MIRQ => Sl_MIRQ,
Sl_ssize => Sl_ssize,
PLB_SaddrAck => PLB_SaddrAck,
PLB_Swait => PLB_Swait,
PLB_Srearbitrate => PLB_Srearbitrate,
PLB_SwrDAck => PLB_SwrDAck,
PLB_SwrComp => PLB_SwrComp,
PLB_SwrBTerm => PLB_SwrBTerm,
PLB_SrdDBus => PLB_SrdDBus,
PLB_SrdWdAddr => PLB_SrdWdAddr,
PLB_SrdDAck => PLB_SrdDAck,
PLB_SrdComp => PLB_SrdComp,
PLB_SrdBTerm => PLB_SrdBTerm,
PLB_SMBusy => PLB_SMBusy,
PLB_SMRdErr => PLB_SMRdErr,
PLB_SMWrErr => PLB_SMWrErr,
PLB_SMIRQ => PLB_SMIRQ,
PLB_Sssize => PLB_Sssize
);
end architecture STRUCTURE;
|
-------------------------------------------------------------------------------
-- bfm_monitor_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library plbv46_monitor_bfm_v1_00_a;
use plbv46_monitor_bfm_v1_00_a.all;
entity bfm_monitor_wrapper is
port (
PLB_CLK : in std_logic;
PLB_RESET : in std_logic;
SYNCH_OUT : out std_logic_vector(0 to 31);
SYNCH_IN : in std_logic_vector(0 to 31);
M_request : in std_logic_vector(0 to 1);
M_priority : in std_logic_vector(0 to 3);
M_buslock : in std_logic_vector(0 to 1);
M_RNW : in std_logic_vector(0 to 1);
M_BE : in std_logic_vector(0 to 31);
M_msize : in std_logic_vector(0 to 3);
M_size : in std_logic_vector(0 to 7);
M_type : in std_logic_vector(0 to 5);
M_TAttribute : in std_logic_vector(0 to 31);
M_lockErr : in std_logic_vector(0 to 1);
M_abort : in std_logic_vector(0 to 1);
M_UABus : in std_logic_vector(0 to 63);
M_ABus : in std_logic_vector(0 to 63);
M_wrDBus : in std_logic_vector(0 to 255);
M_wrBurst : in std_logic_vector(0 to 1);
M_rdBurst : in std_logic_vector(0 to 1);
PLB_MAddrAck : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic_vector(0 to 1);
PLB_MTimeout : in std_logic_vector(0 to 1);
PLB_MBusy : in std_logic_vector(0 to 1);
PLB_MRdErr : in std_logic_vector(0 to 1);
PLB_MWrErr : in std_logic_vector(0 to 1);
PLB_MIRQ : in std_logic_vector(0 to 1);
PLB_MWrDAck : in std_logic_vector(0 to 1);
PLB_MRdDBus : in std_logic_vector(0 to 255);
PLB_MRdWdAddr : in std_logic_vector(0 to 7);
PLB_MRdDAck : in std_logic_vector(0 to 1);
PLB_MRdBTerm : in std_logic_vector(0 to 1);
PLB_MWrBTerm : in std_logic_vector(0 to 1);
PLB_Mssize : in std_logic_vector(0 to 3);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic_vector(0 to 1);
PLB_wrPrim : in std_logic_vector(0 to 1);
PLB_MasterID : in std_logic_vector(0 to 0);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to 15);
PLB_msize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_lockErr : in std_logic;
PLB_UABus : in std_logic_vector(0 to 31);
PLB_ABus : in std_logic_vector(0 to 31);
PLB_wrDBus : in std_logic_vector(0 to 127);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdpendReq : in std_logic;
PLB_wrpendReq : in std_logic;
PLB_rdpendPri : in std_logic_vector(0 to 1);
PLB_wrpendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
Sl_addrAck : in std_logic_vector(0 to 1);
Sl_wait : in std_logic_vector(0 to 1);
Sl_rearbitrate : in std_logic_vector(0 to 1);
Sl_wrDAck : in std_logic_vector(0 to 1);
Sl_wrComp : in std_logic_vector(0 to 1);
Sl_wrBTerm : in std_logic_vector(0 to 1);
Sl_rdDBus : in std_logic_vector(0 to 255);
Sl_rdWdAddr : in std_logic_vector(0 to 7);
Sl_rdDAck : in std_logic_vector(0 to 1);
Sl_rdComp : in std_logic_vector(0 to 1);
Sl_rdBTerm : in std_logic_vector(0 to 1);
Sl_MBusy : in std_logic_vector(0 to 3);
Sl_MRdErr : in std_logic_vector(0 to 3);
Sl_MWrErr : in std_logic_vector(0 to 3);
Sl_MIRQ : in std_logic_vector(0 to 3);
Sl_ssize : in std_logic_vector(0 to 3);
PLB_SaddrAck : in std_logic;
PLB_Swait : in std_logic;
PLB_Srearbitrate : in std_logic;
PLB_SwrDAck : in std_logic;
PLB_SwrComp : in std_logic;
PLB_SwrBTerm : in std_logic;
PLB_SrdDBus : in std_logic_vector(0 to 127);
PLB_SrdWdAddr : in std_logic_vector(0 to 3);
PLB_SrdDAck : in std_logic;
PLB_SrdComp : in std_logic;
PLB_SrdBTerm : in std_logic;
PLB_SMBusy : in std_logic_vector(0 to 1);
PLB_SMRdErr : in std_logic_vector(0 to 1);
PLB_SMWrErr : in std_logic_vector(0 to 1);
PLB_SMIRQ : in std_logic_vector(0 to 1);
PLB_Sssize : in std_logic_vector(0 to 1)
);
end bfm_monitor_wrapper;
architecture STRUCTURE of bfm_monitor_wrapper is
component plbv46_monitor_bfm is
generic (
PLB_MONITOR_NUM : std_logic_vector(0 to 3);
PLB_SLAVE0_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE0_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE0_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE0_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE1_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE2_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE3_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE4_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE5_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE6_ADDR_HI_1 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE7_ADDR_HI_1 : std_logic_vector(0 to 31);
C_MON_PLB_AWIDTH : integer;
C_MON_PLB_DWIDTH : integer;
C_MON_PLB_NUM_MASTERS : integer;
C_MON_PLB_NUM_SLAVES : integer;
C_MON_PLB_MID_WIDTH : integer
);
port (
PLB_CLK : in std_logic;
PLB_RESET : in std_logic;
SYNCH_OUT : out std_logic_vector(0 to 31);
SYNCH_IN : in std_logic_vector(0 to 31);
M_request : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_priority : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_MASTERS)-1));
M_buslock : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_RNW : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_BE : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_DWIDTH/8)-1));
M_msize : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_MASTERS)-1));
M_size : in std_logic_vector(0 to ((4*C_MON_PLB_NUM_MASTERS)-1));
M_type : in std_logic_vector(0 to ((3*C_MON_PLB_NUM_MASTERS)-1));
M_TAttribute : in std_logic_vector(0 to 16*C_MON_PLB_NUM_MASTERS-1);
M_lockErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_abort : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_UABus : in std_logic_vector(0 to ((C_MON_PLB_AWIDTH*C_MON_PLB_NUM_MASTERS)-1));
M_ABus : in std_logic_vector(0 to ((C_MON_PLB_AWIDTH*C_MON_PLB_NUM_MASTERS)-1));
M_wrDBus : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH*C_MON_PLB_NUM_MASTERS)-1));
M_wrBurst : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
M_rdBurst : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MAddrAck : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRearbitrate : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MTimeout : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MBusy : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRdErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MWrErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MIRQ : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MWrDAck : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRdDBus : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH*C_MON_PLB_NUM_MASTERS)-1));
PLB_MRdWdAddr : in std_logic_vector(0 to ((4*C_MON_PLB_NUM_MASTERS)-1));
PLB_MRdDAck : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MRdBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_MWrBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_Mssize : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_MASTERS)-1));
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
PLB_wrPrim : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
PLB_MasterID : in std_logic_vector(0 to C_MON_PLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH/8)-1));
PLB_msize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_lockErr : in std_logic;
PLB_UABus : in std_logic_vector(0 to 31);
PLB_ABus : in std_logic_vector(0 to 31);
PLB_wrDBus : in std_logic_vector(0 to (C_MON_PLB_DWIDTH-1));
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdpendReq : in std_logic;
PLB_wrpendReq : in std_logic;
PLB_rdpendPri : in std_logic_vector(0 to 1);
PLB_wrpendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
Sl_addrAck : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wait : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rearbitrate : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wrDAck : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wrComp : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_wrBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rdDBus : in std_logic_vector(0 to ((C_MON_PLB_DWIDTH*C_MON_PLB_NUM_SLAVES)-1));
Sl_rdWdAddr : in std_logic_vector(0 to ((4*C_MON_PLB_NUM_SLAVES)-1));
Sl_rdDAck : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rdComp : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_rdBTerm : in std_logic_vector(0 to C_MON_PLB_NUM_SLAVES-1);
Sl_MBusy : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_MRdErr : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_MWrErr : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_MIRQ : in std_logic_vector(0 to ((C_MON_PLB_NUM_MASTERS*C_MON_PLB_NUM_SLAVES)-1));
Sl_ssize : in std_logic_vector(0 to ((2*C_MON_PLB_NUM_SLAVES)-1));
PLB_SaddrAck : in std_logic;
PLB_Swait : in std_logic;
PLB_Srearbitrate : in std_logic;
PLB_SwrDAck : in std_logic;
PLB_SwrComp : in std_logic;
PLB_SwrBTerm : in std_logic;
PLB_SrdDBus : in std_logic_vector(0 to C_MON_PLB_DWIDTH-1);
PLB_SrdWdAddr : in std_logic_vector(0 to 3);
PLB_SrdDAck : in std_logic;
PLB_SrdComp : in std_logic;
PLB_SrdBTerm : in std_logic;
PLB_SMBusy : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_SMRdErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_SMWrErr : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_SMIRQ : in std_logic_vector(0 to C_MON_PLB_NUM_MASTERS-1);
PLB_Sssize : in std_logic_vector(0 to 1)
);
end component;
begin
bfm_monitor : plbv46_monitor_bfm
generic map (
PLB_MONITOR_NUM => B"0000",
PLB_SLAVE0_ADDR_LO_0 => X"00000000",
PLB_SLAVE0_ADDR_HI_0 => X"00000000",
PLB_SLAVE1_ADDR_LO_0 => X"00000000",
PLB_SLAVE1_ADDR_HI_0 => X"00000000",
PLB_SLAVE2_ADDR_LO_0 => X"00000000",
PLB_SLAVE2_ADDR_HI_0 => X"00000000",
PLB_SLAVE3_ADDR_LO_0 => X"00000000",
PLB_SLAVE3_ADDR_HI_0 => X"00000000",
PLB_SLAVE4_ADDR_LO_0 => X"00000000",
PLB_SLAVE4_ADDR_HI_0 => X"00000000",
PLB_SLAVE5_ADDR_LO_0 => X"00000000",
PLB_SLAVE5_ADDR_HI_0 => X"00000000",
PLB_SLAVE6_ADDR_LO_0 => X"00000000",
PLB_SLAVE6_ADDR_HI_0 => X"00000000",
PLB_SLAVE7_ADDR_LO_0 => X"00000000",
PLB_SLAVE7_ADDR_HI_0 => X"00000000",
PLB_SLAVE0_ADDR_LO_1 => X"00000000",
PLB_SLAVE0_ADDR_HI_1 => X"00000000",
PLB_SLAVE1_ADDR_LO_1 => X"00000000",
PLB_SLAVE1_ADDR_HI_1 => X"00000000",
PLB_SLAVE2_ADDR_LO_1 => X"00000000",
PLB_SLAVE2_ADDR_HI_1 => X"00000000",
PLB_SLAVE3_ADDR_LO_1 => X"00000000",
PLB_SLAVE3_ADDR_HI_1 => X"00000000",
PLB_SLAVE4_ADDR_LO_1 => X"00000000",
PLB_SLAVE4_ADDR_HI_1 => X"00000000",
PLB_SLAVE5_ADDR_LO_1 => X"00000000",
PLB_SLAVE5_ADDR_HI_1 => X"00000000",
PLB_SLAVE6_ADDR_LO_1 => X"00000000",
PLB_SLAVE6_ADDR_HI_1 => X"00000000",
PLB_SLAVE7_ADDR_LO_1 => X"00000000",
PLB_SLAVE7_ADDR_HI_1 => X"00000000",
C_MON_PLB_AWIDTH => 32,
C_MON_PLB_DWIDTH => 128,
C_MON_PLB_NUM_MASTERS => 2,
C_MON_PLB_NUM_SLAVES => 2,
C_MON_PLB_MID_WIDTH => 1
)
port map (
PLB_CLK => PLB_CLK,
PLB_RESET => PLB_RESET,
SYNCH_OUT => SYNCH_OUT,
SYNCH_IN => SYNCH_IN,
M_request => M_request,
M_priority => M_priority,
M_buslock => M_buslock,
M_RNW => M_RNW,
M_BE => M_BE,
M_msize => M_msize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_Mssize => PLB_Mssize,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_MasterID => PLB_MasterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_msize => PLB_msize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_TAttribute => PLB_TAttribute,
PLB_lockErr => PLB_lockErr,
PLB_UABus => PLB_UABus,
PLB_ABus => PLB_ABus,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_rdpendReq => PLB_rdpendReq,
PLB_wrpendReq => PLB_wrpendReq,
PLB_rdpendPri => PLB_rdpendPri,
PLB_wrpendPri => PLB_wrpendPri,
PLB_reqPri => PLB_reqPri,
Sl_addrAck => Sl_addrAck,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MRdErr => Sl_MRdErr,
Sl_MWrErr => Sl_MWrErr,
Sl_MIRQ => Sl_MIRQ,
Sl_ssize => Sl_ssize,
PLB_SaddrAck => PLB_SaddrAck,
PLB_Swait => PLB_Swait,
PLB_Srearbitrate => PLB_Srearbitrate,
PLB_SwrDAck => PLB_SwrDAck,
PLB_SwrComp => PLB_SwrComp,
PLB_SwrBTerm => PLB_SwrBTerm,
PLB_SrdDBus => PLB_SrdDBus,
PLB_SrdWdAddr => PLB_SrdWdAddr,
PLB_SrdDAck => PLB_SrdDAck,
PLB_SrdComp => PLB_SrdComp,
PLB_SrdBTerm => PLB_SrdBTerm,
PLB_SMBusy => PLB_SMBusy,
PLB_SMRdErr => PLB_SMRdErr,
PLB_SMWrErr => PLB_SMWrErr,
PLB_SMIRQ => PLB_SMIRQ,
PLB_Sssize => PLB_Sssize
);
end architecture STRUCTURE;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2015 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file xsd_rom.vhd when simulating
-- the core, xsd_rom. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY xsd_rom IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END xsd_rom;
ARCHITECTURE xsd_rom_a OF xsd_rom IS
-- synthesis translate_off
COMPONENT wrapped_xsd_rom
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_xsd_rom USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 11,
c_addrb_width => 11,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "xsd_rom.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 3,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 2048,
c_read_depth_b => 2048,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 2048,
c_write_depth_b => 2048,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_xsd_rom
PORT MAP (
clka => clka,
addra => addra,
douta => douta
);
-- synthesis translate_on
END xsd_rom_a;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: sim_pll
-- File: sim_pll.vhd
-- Author: Magnus Hjorth, Aeroflex Gaisler
-- Description: Generic simulated PLL with input frequency checking
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
entity sim_pll is
generic (
clkmul: integer := 1;
clkdiv1: integer := 1;
clkphase1: integer := 0;
clkdiv2: integer := 1;
clkphase2: integer := 0;
clkdiv3: integer := 1;
clkphase3: integer := 0;
clkdiv4: integer := 1;
clkphase4: integer := 0;
-- Frequency limits in kHz, for checking only
minfreq: integer := 0;
maxfreq: integer := 10000000;
-- Lock tolerance in ps
locktol: integer := 2
);
port (
i: in std_logic;
o1: out std_logic;
o2: out std_logic;
o3: out std_logic;
o4: out std_logic;
lock: out std_logic;
rst: in std_logic
);
end;
architecture sim of sim_pll is
signal clkout1,clkout2,clkout3,clkout4: std_logic;
signal tp: time := 1 ns;
signal timeset: boolean := false;
signal fb: std_ulogic;
signal comp: time := 0 ns;
signal llock: std_logic;
begin
o1 <= transport clkout1 after tp + (tp*clkdiv1*(clkphase1 mod 360)) / (clkmul*360);
o2 <= transport clkout2 after tp + (tp*clkdiv2*(clkphase2 mod 360)) / (clkmul*360);
o3 <= transport clkout3 after tp + (tp*clkdiv3*(clkphase3 mod 360)) / (clkmul*360);
o4 <= transport clkout4 after tp + (tp*clkdiv4*(clkphase4 mod 360)) / (clkmul*360);
lock <= llock after tp*20; -- 20 cycle inertia on lock signal
freqmeas: process(i)
variable ts,te: time;
variable mf: integer;
variable warned: boolean := false;
variable first: boolean := true;
begin
if rising_edge(i) and (now /= (0 ps)) then
ts := te;
te := now;
if first then
first := false;
else
mf := (1 ms) / (te-ts);
assert (mf >= minfreq and mf <= maxfreq) or warned or rst='0' or llock/='1'
report "Input frequency out of range, " &
"measured: " & tost(mf) & ", min:" & tost(minfreq) & ", max:" & tost(maxfreq)
severity warning;
if (mf < minfreq or mf > maxfreq) and rst/='0' and llock='1' then warned := true; end if;
if llock='0' or te-ts-tp > locktol*(1 ps) or te-ts-tp < -locktol*(1 ps) then
tp <= te-ts;
timeset <= true;
end if;
end if;
end if;
end process;
genclk: process
variable divcount1,divcount2,divcount3,divcount4: integer;
variable compen: boolean;
variable t: time;
variable compps: integer;
begin
compen := false;
clkout1 <= '0';
clkout2 <= '0';
clkout3 <= '0';
clkout4 <= '0';
if not timeset or rst='0' then
wait until timeset and rst/='0';
end if;
divcount1 := 0;
divcount2 := 0;
divcount3 := 0;
divcount4 := 0;
fb <= '1';
clkout1 <= '1';
clkout2 <= '1';
clkout3 <= '1';
clkout4 <= '1';
oloop: loop
for x in 0 to 2*clkmul-1 loop
if x=0 then fb <= '1'; end if;
if x=clkmul then fb <= '0'; end if;
t := tp/(2*clkmul);
if compen and comp /= (0 ns) then
-- Handle compensation below resolution limit (1 ps assumed)
if comp < 2*clkmul*(1 ps) and comp > -2*clkmul*(1 ps) then
compps := abs(comp / (1 ps));
if x > 0 and x <= compps then
if comp > 0 ps then
t := t + 1 ps;
else
t := t - 1 ps;
end if;
end if;
else
t:=t+comp/(2*clkmul);
end if;
end if;
if t > (0 ns) then
wait on rst for t;
else
wait for 1 ns;
end if;
exit oloop when rst='0';
divcount1 := divcount1+1;
if divcount1 >= clkdiv1 then
clkout1 <= not clkout1;
divcount1 := 0;
end if;
divcount2 := divcount2+1;
if divcount2 >= clkdiv2 then
clkout2 <= not clkout2;
divcount2 := 0;
end if;
divcount3 := divcount3+1;
if divcount3 >= clkdiv3 then
clkout3 <= not clkout3;
divcount3 := 0;
end if;
divcount4 := divcount4+1;
if divcount4 >= clkdiv4 then
clkout4 <= not clkout4;
divcount4 := 0;
end if;
end loop;
compen := true;
end loop oloop;
end process;
fbchk: process(fb,i)
variable last_i,prev_i: time;
variable last_fb,prev_fb: time;
variable vlock: std_logic := '0';
begin
if falling_edge(i) then
prev_i := last_i;
last_i := now;
end if;
if falling_edge(fb) then
-- Update phase compensation
if last_i < last_fb+tp/2 then
comp <= (last_i - last_fb);
else
comp <= last_i - now;
end if;
prev_fb := last_fb;
last_fb := now;
end if;
if (last_i<=(last_fb+locktol*(1 ps)) and last_i>=(last_fb-locktol*(1 ps)) and
prev_i<=(prev_fb+locktol*(1 ps)) and prev_i>=(prev_fb-locktol*(1 ps))) then
vlock := '1';
end if;
if prev_fb > last_i+locktol*(1 ps) or prev_i>last_fb+locktol*(1 ps) then
vlock := '0';
end if;
llock <= vlock;
end process;
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
R9ZDAvclHsfwO6cWaFF4fK+tWtdU2zGXSUnWdT+zhrjHiBN+zEIxJR8Cbehibv93xLwDr+H/YqRi
Nj/RzxIwTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o0jfligQnQ5pdk1wP9HqJjktVjobHzrOOBS8rXby3c8FEneR5xqWo0wG3Y22/4k9Sm8GkX1g0zs+
ESL9kga6qePvRlvo37xVUIWVRu7H/tSbB6PuTu775xqvG9KVeU7G8K7VHIFwt0BiTUIaIDgOcIfk
HfKffLMU1AMpJ5c91T4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l6VcAaeEKYypRgV+klGPzJ7Co8dNL36ZZqKQLX9ECFHIi3+h4fOFiZPP/V8Mw+iPYxj1qQ1JXyEA
SN9lz9K/mMkufaRimBOYxPzOUpqBhe60fDlUYY1+E0i6ApeFM6ILQ/akT33FNa+Azszegq3mnr8P
gGd4cGvcE/cU0+DhgTdG7+vJJFgwgGyk7BuHVp5YhR3k8VkKueGVA7Az3SjBvMuMtoXm/hfQ2ct/
8ctdXagRwmZfbZiclRTPNku543ThacrliTSVD0wp0VA2syhjYWhUZXnFWPC93A3Re3GaNw8yl02t
Vy5/wCRb/5Fcrx4tUNxKbPmarP6ajPM95OTbhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
y8yITHmvtaNwkOMZ+Gg0HiF337/sdpCDy5K4UhMzgpLqjI1VzXuS4xSeJM2+5LPn4KN90osYiPTF
4WrFurQcvDzAni9ltPPuZWVVg4JMqy8olUfapqi9wrYAeMJzQjCaTKcJf0DKMfJVz9rZBX+QQrpd
vaPMNT/nwgGG7sW5JMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
We4kZ71Cd/xuxUriewE02TokoDg+HUQho245FHf7UiYWLxDDo3kJn+U9L6WRueck3E/lZe9pu6+s
39QT13G/+vjm9XKH5oNkm18TBgkSa2ix1f42v4ZLd55fxFcVlxL3awHGDLA0usQ1wBUq6CM4syw/
TnJD3j257Mrra9ui0L1DW0OMvGDuyif4M5wgyjIDnAJitLWVUDaQOFW+nVPJAFEIQJxtMWDa9UBb
3kaWTCOY7uTFwe0Pk7tZiprxJO7iG3Vijv6nZ6dBiUTAv3HjCtVl7R3XQnipUFJ7oWqEJWJQKwNN
welpi4lux2Wan7Zh6heBJaYOMQk8kfQYtZ9xyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
R9ZDAvclHsfwO6cWaFF4fK+tWtdU2zGXSUnWdT+zhrjHiBN+zEIxJR8Cbehibv93xLwDr+H/YqRi
Nj/RzxIwTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o0jfligQnQ5pdk1wP9HqJjktVjobHzrOOBS8rXby3c8FEneR5xqWo0wG3Y22/4k9Sm8GkX1g0zs+
ESL9kga6qePvRlvo37xVUIWVRu7H/tSbB6PuTu775xqvG9KVeU7G8K7VHIFwt0BiTUIaIDgOcIfk
HfKffLMU1AMpJ5c91T4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l6VcAaeEKYypRgV+klGPzJ7Co8dNL36ZZqKQLX9ECFHIi3+h4fOFiZPP/V8Mw+iPYxj1qQ1JXyEA
SN9lz9K/mMkufaRimBOYxPzOUpqBhe60fDlUYY1+E0i6ApeFM6ILQ/akT33FNa+Azszegq3mnr8P
gGd4cGvcE/cU0+DhgTdG7+vJJFgwgGyk7BuHVp5YhR3k8VkKueGVA7Az3SjBvMuMtoXm/hfQ2ct/
8ctdXagRwmZfbZiclRTPNku543ThacrliTSVD0wp0VA2syhjYWhUZXnFWPC93A3Re3GaNw8yl02t
Vy5/wCRb/5Fcrx4tUNxKbPmarP6ajPM95OTbhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
y8yITHmvtaNwkOMZ+Gg0HiF337/sdpCDy5K4UhMzgpLqjI1VzXuS4xSeJM2+5LPn4KN90osYiPTF
4WrFurQcvDzAni9ltPPuZWVVg4JMqy8olUfapqi9wrYAeMJzQjCaTKcJf0DKMfJVz9rZBX+QQrpd
vaPMNT/nwgGG7sW5JMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
We4kZ71Cd/xuxUriewE02TokoDg+HUQho245FHf7UiYWLxDDo3kJn+U9L6WRueck3E/lZe9pu6+s
39QT13G/+vjm9XKH5oNkm18TBgkSa2ix1f42v4ZLd55fxFcVlxL3awHGDLA0usQ1wBUq6CM4syw/
TnJD3j257Mrra9ui0L1DW0OMvGDuyif4M5wgyjIDnAJitLWVUDaQOFW+nVPJAFEIQJxtMWDa9UBb
3kaWTCOY7uTFwe0Pk7tZiprxJO7iG3Vijv6nZ6dBiUTAv3HjCtVl7R3XQnipUFJ7oWqEJWJQKwNN
welpi4lux2Wan7Zh6heBJaYOMQk8kfQYtZ9xyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
R9ZDAvclHsfwO6cWaFF4fK+tWtdU2zGXSUnWdT+zhrjHiBN+zEIxJR8Cbehibv93xLwDr+H/YqRi
Nj/RzxIwTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o0jfligQnQ5pdk1wP9HqJjktVjobHzrOOBS8rXby3c8FEneR5xqWo0wG3Y22/4k9Sm8GkX1g0zs+
ESL9kga6qePvRlvo37xVUIWVRu7H/tSbB6PuTu775xqvG9KVeU7G8K7VHIFwt0BiTUIaIDgOcIfk
HfKffLMU1AMpJ5c91T4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l6VcAaeEKYypRgV+klGPzJ7Co8dNL36ZZqKQLX9ECFHIi3+h4fOFiZPP/V8Mw+iPYxj1qQ1JXyEA
SN9lz9K/mMkufaRimBOYxPzOUpqBhe60fDlUYY1+E0i6ApeFM6ILQ/akT33FNa+Azszegq3mnr8P
gGd4cGvcE/cU0+DhgTdG7+vJJFgwgGyk7BuHVp5YhR3k8VkKueGVA7Az3SjBvMuMtoXm/hfQ2ct/
8ctdXagRwmZfbZiclRTPNku543ThacrliTSVD0wp0VA2syhjYWhUZXnFWPC93A3Re3GaNw8yl02t
Vy5/wCRb/5Fcrx4tUNxKbPmarP6ajPM95OTbhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
y8yITHmvtaNwkOMZ+Gg0HiF337/sdpCDy5K4UhMzgpLqjI1VzXuS4xSeJM2+5LPn4KN90osYiPTF
4WrFurQcvDzAni9ltPPuZWVVg4JMqy8olUfapqi9wrYAeMJzQjCaTKcJf0DKMfJVz9rZBX+QQrpd
vaPMNT/nwgGG7sW5JMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
We4kZ71Cd/xuxUriewE02TokoDg+HUQho245FHf7UiYWLxDDo3kJn+U9L6WRueck3E/lZe9pu6+s
39QT13G/+vjm9XKH5oNkm18TBgkSa2ix1f42v4ZLd55fxFcVlxL3awHGDLA0usQ1wBUq6CM4syw/
TnJD3j257Mrra9ui0L1DW0OMvGDuyif4M5wgyjIDnAJitLWVUDaQOFW+nVPJAFEIQJxtMWDa9UBb
3kaWTCOY7uTFwe0Pk7tZiprxJO7iG3Vijv6nZ6dBiUTAv3HjCtVl7R3XQnipUFJ7oWqEJWJQKwNN
welpi4lux2Wan7Zh6heBJaYOMQk8kfQYtZ9xyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
entity mux is
port ( clock : in std_logic;
a : in std_logic_vector(31 downto 0);
b : in std_logic_vector(31 downto 0);
Z : in std_logic;
prod : out std_logic_vector(31 downto 0));
end mux;
architecture behav of mux is
begin
process (z,a,b)
begin
if z = '0' then
prod <= a;
elsif z = '1' then
prod <= b;
end if;
end process;
end behav;
|
library verilog;
use verilog.vl_types.all;
entity Etapa1 is
port(
HEX0 : out vl_logic_vector(6 downto 0);
SW : in vl_logic_vector(4 downto 1)
);
end Etapa1;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
use ieee.numeric_std.all;
library work;
use work.xtcpkg.all;
use work.wishbonepkg.all;
-- synthesis translate_off
use work.txt_util.all;
-- synthesis translate_on
entity icache is
generic (
ADDRESS_HIGH: integer := 31
);
port (
syscon: in wb_syscon_type;
valid: out std_logic;
data: out std_logic_vector(31 downto 0);
address: in std_logic_vector(31 downto 0);
strobe: in std_logic;
enable: in std_logic;
seq: in std_logic;
stall: out std_logic;
flush: in std_logic;
abort: in std_logic;
tag: in std_logic_vector(31 downto 0);
tagen: in std_logic;
-- Master wishbone interface
mwbo: out wb_mosi_type;
mwbi: in wb_miso_type
);
end icache;
architecture behave of icache is
constant ADDRESS_LOW: integer := 0;
constant CACHE_MAX_BITS: integer := 13; -- 8 Kb
constant CACHE_LINE_SIZE_BITS: integer := 6; -- 64 bytes
constant CACHE_LINE_SIZE: integer := 2**CACHE_LINE_SIZE_BITS;
constant CACHE_LINE_ID_BITS: integer := CACHE_MAX_BITS-CACHE_LINE_SIZE_BITS;
-- memory max width: 19 bits (18 downto 0)
-- cache line size: 64 bytes
-- cache lines: 128
alias line: std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0)
is address(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS);
alias line_offset: std_logic_vector(CACHE_LINE_SIZE_BITS-1 downto 2)
is address(CACHE_LINE_SIZE_BITS-1 downto 2);
signal ctag: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS+1 downto 0);
signal miss: std_logic;
signal ack: std_logic;
type state_type is (
flushing,
running,
filling,
--waitwrite,
ending
);
constant offcnt_zero: unsigned(line_offset'HIGH downto 2) := (others => '0');
signal tag_match: std_logic;
signal cache_addr_read,cache_addr_write: std_logic_vector(CACHE_MAX_BITS-1 downto 2);
signal access_i: std_logic;
signal stall_i, valid_i: std_logic;
signal hit: std_logic;
signal tag_mem_enable: std_logic;
signal cache_mem_enable: std_logic;
signal exttag_save: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0);
signal tag_mem_data: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS+1 downto 0);
signal tag_mem_addr: std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0);
constant dignore: std_logic_vector(ctag'RANGE) := (others => DontCareValue);
constant dignore32: std_logic_vector(31 downto 0) := (others => DontCareValue);
signal valid_while_filling: std_logic;
type icache_regs_type is record
cyc, stb: std_logic;
busy: std_logic;
state: state_type;
fill_success: std_logic;
flushcnt: unsigned(line'RANGE);
tag_mem_wen: std_logic;
wbaddr: std_logic_vector(31 downto CACHE_MAX_BITS);
offcnt: unsigned(line_offset'HIGH downto 2);
offcnt_write: unsigned(line_offset'HIGH downto 2);
stbcount: unsigned(line_offset'HIGH downto 2);
access_q: std_logic;
queued_address: std_logic;
save_addr: std_logic_vector(address'RANGE);
line_save: std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0);
tag_save: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0);
enable_q: std_logic;
iwfready: std_logic;
fault: std_logic;
flush: std_logic;
end record;
signal r: icache_regs_type;
alias tag_save: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0)
is r.save_addr(ADDRESS_HIGH downto CACHE_MAX_BITS);
alias address_tag: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0)
is r.save_addr(ADDRESS_HIGH downto CACHE_MAX_BITS);
signal ctag_address: std_logic_vector(address_tag'RANGE);
signal wrcachea: std_logic;
signal cmem_enable: std_logic;
signal cmem_wren: std_logic;
signal access_to_same_line: std_logic;
begin
ctag_address<=ctag(address_tag'HIGH downto address_tag'LOW);
tagmem: entity work.generic_dp_ram_1r1w
generic map (
address_bits => CACHE_LINE_ID_BITS,
data_bits => ADDRESS_HIGH-CACHE_MAX_BITS+2
)
port map (
clka => syscon.clk,
ena => tag_mem_enable,
addra => cache_addr_read(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS),--line,
doa => ctag,
clkb => syscon.clk,
enb => '1',
web => r.tag_mem_wen,
addrb => tag_mem_addr,
dib => tag_mem_data,
dob => open
);
cachemem: entity work.generic_dp_ram_1r1w
generic map (
address_bits => cache_addr_read'LENGTH,
data_bits => 32
)
port map (
clka => syscon.clk,
ena => cache_mem_enable,
addra => cache_addr_read,
doa => data,
clkb => syscon.clk,
enb => cmem_enable,
web => cmem_wren,
addrb => cache_addr_write,
dib => mwbi.dat,
dob => open
);
cmem_enable <= '1';
cmem_wren <= mwbi.ack;
valid_i <= ctag(ctag'HIGH);
process(r.state, r.flushcnt, tagen, exttag_save)
variable wrtag: std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS downto 0);
begin
if tagen='1' then
wrtag := exttag_save;
else
wrtag := tag_save;
end if;
if r.state=flushing then
tag_mem_data <= '0' & wrtag;
tag_mem_addr <= std_logic_vector(r.flushcnt);
else
tag_mem_data <= '1' & wrtag;
tag_mem_addr <= r.line_save;
end if;
end process;
process(ctag_address, address_tag, tag, tagen)
begin
if tagen='0' then
if ctag_address=address_tag then
tag_match<='1';
else
tag_match<='0';
end if;
else
if ctag_address=tag(ADDRESS_HIGH downto CACHE_MAX_BITS) then
tag_match<='1';
else
tag_match<='0';
end if;
end if;
end process;
cache_addr_write <= r.line_save & mwbi.tag(CACHE_LINE_SIZE_BITS-3 downto 0);
access_to_same_line<='1' when r.line_save = r.save_addr(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS) and
r.tag_save = r.save_addr(ADDRESS_HIGH downto CACHE_MAX_BITS) else '0';
process(r,strobe,enable,miss,syscon,line,line_offset,hit,flush,mwbi,valid_while_filling,abort)
variable ett: std_logic_vector(exttag_save'RANGE);
variable w: icache_regs_type;
variable data_valid: std_logic;
variable stall_input: std_logic;
begin
w:=r;
w.busy := '0';
w.cyc := '0';
-- w.stb := 'X';
w.tag_mem_wen := '0';
w.fill_success :='0';
w.flushcnt := (others => 'X');
data_valid := '0';
tag_mem_enable <= enable and strobe;
cache_mem_enable <= enable and strobe;
cache_addr_read <= line & line_offset;
case r.state is
when flushing =>
w.busy := '1';
w.flushcnt := r.flushcnt - 1;
w.tag_mem_wen := '1';
w.wbaddr(31 downto CACHE_MAX_BITS) := (others => 'X');
w.offcnt := (others => 'X');
w.offcnt_write := (others => 'X');
w.iwfready := '0';
stall_input := '1';
if r.flushcnt=0 then
w.tag_mem_wen:='0';
--w.state := running;
if r.queued_address='1' and r.fault='1' then
w.state := filling;
w.wbaddr(31 downto CACHE_MAX_BITS) := r.save_addr(31 downto CACHE_MAX_BITS);
w.state := filling;
w.offcnt(CACHE_LINE_SIZE_BITS-1 downto 2) := unsigned(r.save_addr(CACHE_LINE_SIZE_BITS-1 downto 2));
w.offcnt_write := (others => '1');
w.stbcount := (others => '1');
w.cyc := '1';
w.stb := '1';
w.busy := '1';
w.queued_address:='0';
w.line_save := r.save_addr(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS);
w.tag_save := r.save_addr(ADDRESS_HIGH downto CACHE_MAX_BITS);
else
w.state := running;
end if;
end if;
when running =>
w.offcnt := (others => 'X');
w.offcnt_write := (others => 'X');
w.wbaddr(31 downto CACHE_MAX_BITS) := (others => 'X');
w.iwfready:='0';
stall_input := '0';
data_valid := hit;
w.stb := 'X';
if r.access_q='1' then
-- We had a cache access in last clock cycle.
if r.enable_q='1' then
if miss='1' and abort='0' then -- And it was a miss...
stall_input := '1';
data_valid := '0';
w.wbaddr(31 downto CACHE_MAX_BITS) := r.save_addr(31 downto CACHE_MAX_BITS);
w.state := filling;
w.offcnt(CACHE_LINE_SIZE_BITS-1 downto 2) := unsigned(r.save_addr(CACHE_LINE_SIZE_BITS-1 downto 2));
w.offcnt_write := (others => '1');
w.stbcount := (others => '1');
w.cyc := '1';
w.stb := '1';
w.busy := '1';
else
data_valid := '1';
end if;
end if;
end if;
if flush='1' then
w.state := flushing;
w.flushcnt := (others => '1');
w.tag_mem_wen := '1';
-- TODO: check if this is correct...
stall_input:='1';
end if;
w.queued_address := '0';
if r.access_q='1' and data_valid='0' then
w.queued_address:='1';
else
w.queued_address:='0';
end if;
w.fault := '0';
when filling =>
stall_input := '1';
w.busy:= '1';
w.cyc := '1';
tag_mem_enable <= '1';
cache_mem_enable <= enable and strobe;
if mwbi.ack='1' then
w.iwfready := enable;
w.offcnt_write := r.offcnt_write - 1;
-- This will go to 0, but we check before and switch state
if r.offcnt_write=offcnt_zero then
w.tag_mem_wen := '1';
w.state := ending;
end if;
end if;
if mwbi.stall='0' then
w.offcnt := r.offcnt + 1;
-- this needed ??
if r.stbcount/=offcnt_zero then
w.stbcount := w.stbcount - 1;
else
w.stb := '0';
end if;
end if;
if true then
if r.iwfready='0' then
cache_addr_read <= r.save_addr(CACHE_MAX_BITS-1 downto 2);
end if;
if enable='1' then
stall_input := not r.iwfready;
data_valid := r.iwfready;
if r.iwfready='1' and strobe='1' then
w.iwfready:='0';
end if;
if seq='0' and strobe='1' and stall_input='0' then
--stall_input := '1';
data_valid:='0';
w.fault :='1';
end if;
if r.access_q='1' and access_to_same_line='0' then
data_valid:='0';
stall_input:='1';
w.fault := '1';
end if;
end if;
if r.fault='1' then
stall_input:='1';
data_valid:='0';
end if;
if stall_input='0' then
if enable='1' and strobe='1' then
w.queued_address:='1';
else
w.queued_address:='0';
end if;
end if;
if flush='1' then
w.fault:='1';
data_valid:='0';
stall_input:='1';
w.flush:='1';
end if;
if stall_input='0' then
if enable='1' and strobe='1' then
w.queued_address:='1';
else
w.queued_address:='0';
end if;
end if;
if abort='1' then
w.fault:='1';
end if;
end if; -- IWF
when ending =>
w.busy :='0';
w.wbaddr(31 downto CACHE_MAX_BITS) := (others => 'X');
w.offcnt := (others => 'X');
w.offcnt_write := (others => 'X');
w.stbcount := (others => 'X');
w.line_save:= (others => 'X');
w.tag_save:= (others => 'X');
w.iwfready:='0';
tag_mem_enable <= '1';
cache_mem_enable <='1';
cache_addr_read <= r.save_addr(CACHE_MAX_BITS-1 downto 2);
stall_input := '1';
w.fault:='0';
if enable='1' then
w.fill_success := '1';
end if;
if r.queued_address='1' then--and r.fault='1' then
w.state := filling;
w.cyc := '1';
w.stb := '1';
w.busy := '1';
w.queued_address:='0';
w.wbaddr(31 downto CACHE_MAX_BITS) := r.save_addr(31 downto CACHE_MAX_BITS);
w.offcnt(CACHE_LINE_SIZE_BITS-1 downto 2) := unsigned(r.save_addr(CACHE_LINE_SIZE_BITS-1 downto 2));
w.offcnt_write := (others => '1');
w.stbcount := (others => '1');
w.line_save := r.save_addr(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS);
w.tag_save := r.save_addr(ADDRESS_HIGH downto CACHE_MAX_BITS);
else
w.state := running;
end if;
w.flush:='0';
if r.flush='1' then
w.state := flushing;
w.flushcnt := (others => '1');
w.tag_mem_wen := '1';
w.cyc :='0';
end if;
end case;
if strobe='1' and enable='1' then
if stall_input='0' then
w.save_addr := address;
w.access_q := '1';
if r.state=running then
w.line_save := address(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS);
w.tag_save := address(ADDRESS_HIGH downto CACHE_MAX_BITS);
end if;
end if;
else
if stall_input='0' then
w.access_q := '0';
end if;
end if;
if abort='1' then
w.access_q:='0';
w.queued_address:='0';
end if;
w.enable_q := enable;
valid <= data_valid;
stall <= stall_input;
if syscon.rst='1' then
w.state := flushing;
w.busy := '1';
w.fill_success :='0';
w.flushcnt := (others => '1');
w.tag_mem_wen := '1'; -- this needed ??
w.cyc := '0';
w.stb := 'X';
w.wbaddr(31 downto CACHE_MAX_BITS) := (others => 'X');
w.offcnt := (others => 'X');
w.offcnt_write := (others => 'X');
w.access_q := '0';
w.enable_q := '0';
w.queued_address:='0';
w.iwfready:='0';
w.flush := '0';
w.fault := '0';
w.stbcount := (others => 'X');
w.line_save:= (others => 'X');
w.tag_save:= (others => 'X');
end if;
if rising_edge(syscon.clk) then
r <= w;
end if;
end process;
hit <= '1' when tag_match='1' and valid_i='1' else '0';
miss <= not hit;
mwbo.cyc <= r.cyc;
mwbo.stb <= r.stb;
mwbo.we <= '0';
mwbo.dat <= (others => 'X');
mwbo.bte <= BTE_BURST_16BEATWRAP;
mwbo.cti <= CTI_CYCLE_INCRADDR; -- BUg: we need to signal eof
mwbo.adr(31 downto CACHE_MAX_BITS) <= r.wbaddr(31 downto CACHE_MAX_BITS);
mwbo.adr(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS) <= r.line_save;
mwbo.adr(CACHE_LINE_SIZE_BITS-1 downto 2) <= std_logic_vector(r.offcnt(CACHE_LINE_SIZE_BITS-1 downto 2));
mwbo.tag(CACHE_LINE_SIZE_BITS-3 downto 0) <= std_logic_vector(r.offcnt(CACHE_LINE_SIZE_BITS-1 downto 2));
mwbo.adr(1 downto 0) <= "00";
end behave;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2523.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p04n01i02523ent IS
END c07s03b05x00p04n01i02523ent;
ARCHITECTURE c07s03b05x00p04n01i02523arch OF c07s03b05x00p04n01i02523ent IS
BEGIN
TESTING: PROCESS
type Apples is range 0 to 75;
type Oranges is range 0 to 75;
variable Macintosh : Apples;
variable Seville : Oranges;
BEGIN
Macintosh := Apples (Seville) ;
Seville := Oranges (100) ;
wait for 1 ns;
assert FALSE
report "***FAILED TEST: c07s03b05x00p04n01i02523 - Value does not belong to the subtype indicated by the type mark."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p04n01i02523arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2523.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p04n01i02523ent IS
END c07s03b05x00p04n01i02523ent;
ARCHITECTURE c07s03b05x00p04n01i02523arch OF c07s03b05x00p04n01i02523ent IS
BEGIN
TESTING: PROCESS
type Apples is range 0 to 75;
type Oranges is range 0 to 75;
variable Macintosh : Apples;
variable Seville : Oranges;
BEGIN
Macintosh := Apples (Seville) ;
Seville := Oranges (100) ;
wait for 1 ns;
assert FALSE
report "***FAILED TEST: c07s03b05x00p04n01i02523 - Value does not belong to the subtype indicated by the type mark."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p04n01i02523arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2523.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p04n01i02523ent IS
END c07s03b05x00p04n01i02523ent;
ARCHITECTURE c07s03b05x00p04n01i02523arch OF c07s03b05x00p04n01i02523ent IS
BEGIN
TESTING: PROCESS
type Apples is range 0 to 75;
type Oranges is range 0 to 75;
variable Macintosh : Apples;
variable Seville : Oranges;
BEGIN
Macintosh := Apples (Seville) ;
Seville := Oranges (100) ;
wait for 1 ns;
assert FALSE
report "***FAILED TEST: c07s03b05x00p04n01i02523 - Value does not belong to the subtype indicated by the type mark."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p04n01i02523arch;
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.spi_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_vvc_framework_common_methods_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
--=================================================================================================
entity spi_vvc is
generic (
GC_DATA_WIDTH : integer := 8;
GC_INSTANCE_IDX : natural := 1; -- Instance index for this SPI_VVCT instance
GC_MASTER_MODE : boolean := true;
GC_SPI_CONFIG : t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; -- Behavior specification for BFM
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
spi_vvc_if : inout t_spi_if := init_spi_if_signals(GC_SPI_CONFIG, GC_MASTER_MODE)
);
end entity spi_vvc;
--=================================================================================================
--=================================================================================================
architecture behave of spi_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_spi_vvc_config(GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_spi_vvc_status(GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_spi_transaction_info(GC_INSTANCE_IDX);
begin
--===============================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--===============================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_SPI_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--===============================================================================================
--===============================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--===============================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, shared_vvc_cmd, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
--uvvm_vvc_framework.ti_vvc_framework_support_pkg.acknowledge_cmd(global_vvc_ack);
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command executor
-- - Fetch and execute the commands
--===============================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_result : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean;
variable v_normalised_data : std_logic_vector(GC_DATA_WIDTH-1 downto 0) := (others => '0');
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
loop
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- Set the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Check if command is a BFM access
if v_cmd.operation = MASTER_TRANSMIT_AND_RECEIVE or
v_cmd.operation = MASTER_TRANSMIT_AND_CHECK or
v_cmd.operation = MASTER_TRANSMIT_ONLY or
v_cmd.operation = MASTER_RECEIVE_ONLY or
v_cmd.operation = MASTER_CHECK_ONLY or
v_cmd.operation = SLAVE_TRANSMIT_AND_RECEIVE or
v_cmd.operation = SLAVE_TRANSMIT_AND_CHECK or
v_cmd.operation = SLAVE_TRANSMIT_ONLY or
v_cmd.operation = SLAVE_RECEIVE_ONLY or
v_cmd.operation = SLAVE_CHECK_ONLY
then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_command_is_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
scope => C_SCOPE);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
log(ID_BFM, "Running : " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd) & ".", C_SCOPE, vvc_config.msg_id_panel);
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when MASTER_TRANSMIT_AND_RECEIVE =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_master_transmit_and_receive() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then
spi_master_transmit_and_receive(tx_data => v_normalised_data,
rx_data => v_result,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result );
else -- attempted master transmit and receive when in slave mode
alert(error, "Master transmit and receive called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_TRANSMIT_AND_CHECK =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_master_transmit_and_check() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then
spi_master_transmit_and_check(tx_data => v_normalised_data,
data_exp => v_cmd.data_exp(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted master transmit and receive when in slave mode
alert(error, "Master transmit and check called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_TRANSMIT_ONLY =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_master_transmit() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then -- master transmit
-- Call the corresponding procedure in the BFM package.
spi_master_transmit(tx_data => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted master transmit when in slave mode
alert(error, "Master transmit called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_RECEIVE_ONLY =>
if GC_MASTER_MODE then -- master receive
-- Call the corresponding procedure in the BFM package.
spi_master_receive(rx_data => v_result(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result);
else -- attempted master receive when in slave mode
alert(error, "Master receive called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_CHECK_ONLY =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data_exp, v_normalised_data, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", "spi_master_check() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then -- master check
-- Call the corresponding procedure in the BFM package.
spi_master_check(data_exp => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted master check when in slave mode
alert(error, "Master check called when VVC is in slave mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_AND_RECEIVE =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_slave_transmit_and_receive() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then
spi_slave_transmit_and_receive(tx_data => v_normalised_data,
rx_data => v_result,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result );
else -- attempted slave transmit when in master mode
alert(note, "Slave transmit and receive called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_AND_CHECK =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_slave_transmit_and_check() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then
spi_slave_transmit_and_check(tx_data => v_normalised_data,
data_exp => v_cmd.data_exp(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted slave transmit when in master mode
alert(error, "Slave transmit and check called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_ONLY =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_slave_transmit() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then -- slave transmit
-- Call the corresponding procedure in the BFM package.
spi_slave_transmit(tx_data => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted slave transmit when in master mode
alert(error, "Slave transmit called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_RECEIVE_ONLY =>
if not GC_MASTER_MODE then -- slave receive
-- Call the corresponding procedure in the BFM package.
spi_slave_receive(rx_data => v_result(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result);
else -- attempted slave receive when in master mode
alert(error, "Slave receive called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_CHECK_ONLY =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data_exp, v_normalised_data, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", "spi_slave_check() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then -- slave check
-- Call the corresponding procedure in the BFM package.
spi_slave_check(data_exp => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted slave check when in master mode
alert(error, "Slave check called when VVC is in master mode.", C_SCOPE);
end if;
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, vvc_config.msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait for v_cmd.delay;
else
-- Delay specified using integer
wait for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.spi_bit_time;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, vvc_config.msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
end loop;
end process;
--========================================================================================================================
--===============================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--===============================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--===============================================================================================
end behave;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity CalculateG is
generic
(
width : integer := 8
);
port
(
-- Difference0 : in std_logic_vector( width + 3 downto 0 );
-- Difference01 : in std_logic_vector( width + 3 downto 0 );
Difference0 : in std_logic_vector( width downto 0 );
Difference01 : in std_logic_vector( width downto 0 );
IHorizontal : in std_logic_vector( width downto 0 );
IVertical : in std_logic_vector( width downto 0 );
Difference7 : in std_logic_vector( width downto 0 );
DifferenceDver : in std_logic_vector( width + 2 downto 0 );
DifferenceDhor : in std_logic_vector( width + 2 downto 0 );
ElementG : out std_logic_vector( width downto 0 )
);
end CalculateG;
architecture Behavioral of CalculateG is
signal PosThreshold : std_logic_vector( 10 downto 0 ) := "01111111111"; -- 1023
begin
process( Difference0, Difference01, IHorizontal, IVertical, Difference7, DifferenceDver, DifferenceDhor )
begin
if( Difference0( width ) /= '1' ) and ( Difference0>= PosThreshold ) then
ElementG <= IHorizontal;
elsif( Difference01( width ) /= '1' ) and ( Difference01 >= PosThreshold ) then
ElementG <= IVertical;
elsif DifferenceDhor < DifferenceDver then
ElementG <= IHorizontal;
elsif DifferenceDhor > DifferenceDver then
ElementG <= IVertical;
else
ElementG <= Difference7;
end if;
end process;
end Behavioral;
|
-----------------------------------------------------------
--------- AUTOGENERATED FILE, DO NOT EDIT -----------------
-----------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.desilog.all;
entity MySyncAdder is port(
addClk_clk, addClk_reset_n: in std_ulogic;
isAdd: in std_ulogic; -- WIRE
useOldZ_asX: in std_ulogic; -- WIRE
useOldZ_asY: in std_ulogic; -- WIRE
xx: in u8; -- Latch
yy: in u8; -- Latch
zz: out u8 -- reg
);
end entity;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.desilog.all;
entity MySyncLOP is port(
lopClk_clk, lopClk_reset_n: in std_ulogic;
oper: in u4; -- reg
rx: in u8; -- reg
ry: in u8; -- reg
xorMaskIdx: in unsigned(2 downto 0); -- reg
result: out u8; -- reg
lastAND: out u8 -- Latch
);
end entity;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.desilog.all;
entity Example1 is port(
clk_clk, clk_reset_n: in std_ulogic;
in_ry: in u8; -- WIRE
outa: out u8; -- WIRE
outb: out u8 -- WIRE
);
end entity;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.desilog.all;
--#------- MySyncAdder ------------------------------------
architecture rtl of MySyncAdder is
----- internal regs/wires/etc --------
signal dg_c_zz: u8;
signal dg_o_zz: u8;
begin
MyMainProcess: process (all)
variable srcX: u8;
variable srcY: u8;
begin
dg_c_zz <= dg_o_zz; -- reg preload
srcX := X"00"; -- local-var zero-init
srcY := X"00"; -- local-var zero-init
if (useOldZ_asX = '1') then
srcX := dg_o_zz;
else
srcX := yy;
end if;
if (useOldZ_asY = '1') then
srcY := dg_o_zz;
else
srcY := xx;
end if;
if (isAdd = '1') then
dg_c_zz <= (srcX + srcY);
else
dg_c_zz <= (srcX - srcY);
end if;
end process;
----[ sync clock pump for addClk ]------
process begin
wait until rising_edge(addClk_clk);
dg_o_zz <= dg_c_zz;
end process;
------[ output registers/wires/latches ] --------------
zz <= dg_o_zz;
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.desilog.all;
--#------- MySyncLOP ------------------------------------
architecture rtl of MySyncLOP is
signal ClockCounter: u32; -- reg
----- internal regs/wires/etc --------
signal dg_c_oper: u4;
signal dg_c_rx: u8;
signal dg_c_ry: u8;
signal dg_c_xorMaskIdx: unsigned(2 downto 0);
signal dg_c_result: u8;
signal dg_o_result: u8;
signal dg_l_lastAND: u8;
signal dg_c_ClockCounter: u32;
begin
calcResult: process (all)
variable xorRemap: unsigned(2 downto 0);
variable xorMask: u8;
variable tmpRes: u8;
begin
dg_c_result <= dg_o_result; -- reg preload
dg_l_lastAND <= dg_l_lastAND; -- latch preload
dg_c_ClockCounter <= ClockCounter; -- reg preload
xorRemap := "000"; -- local-var zero-init
xorMask := X"00"; -- local-var zero-init
tmpRes := X"00"; -- local-var zero-init
case xorMaskIdx is
when "000" => xorRemap := "011";
when "001" => xorRemap := "010";
when "010" => xorRemap := "001";
when "011" => xorRemap := "000";
when "100" => xorRemap := "010";
when others => xorRemap := "001";
end case;
case xorRemap is
when "000" => xorMask := X"FF";
when "001" => xorMask := X"11";
when "010" | "100" | "111" => xorMask := X"33";
when others => xorMask := X"00";
end case;
case oper is
when X"0" =>
tmpRes := (rx and ry);
dg_l_lastAND <= tmpRes;
when X"1" =>
tmpRes := (rx or ry);
when X"2" =>
tmpRes := (rx xor ry);
when X"3" =>
tmpRes := (not (rx or ry));
when others => null;
end case;
dg_c_result <= (tmpRes xor xorMask);
dg_c_ClockCounter <= ClockCounter + X"00000001";
end process;
----[ sync clock pump for lopClk ]------
process begin
wait until rising_edge(lopClk_clk);
dg_o_result <= dg_c_result;
ClockCounter <= dg_c_ClockCounter;
if lopClk_reset_n = '0' then
dg_o_result <= X"99";
ClockCounter <= X"00000100";
end if;
end process;
------[ output registers/wires/latches ] --------------
result <= dg_o_result;
lastAND <= dg_l_lastAND;
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.desilog.all;
--#------- Example1 ------------------------------------
architecture rtl of Example1 is
type MyFSM is (
MyFSM_init,
MyFSM_adding,
MyFSM_add_oldx,
MyFSM_add_oldy,
MyFSM_lopping);
signal fsm: MyFSM; -- reg
signal count: u8; -- reg
----- internal regs/wires/etc --------
signal dg_w_outa: u8;
signal dg_w_outb: u8;
signal dg_c_fsm: MyFSM;
signal dg_c_count: u8;
----- unit signals -------------
signal adder_isAdd : std_ulogic;
signal adder_useOldZ_asX : std_ulogic;
signal adder_useOldZ_asY : std_ulogic;
signal adder_xx : u8;
signal adder_yy : u8;
signal adder_zz : u8;
signal lop_oper : u4;
signal dg_c_lop_oper : u4;
signal lop_rx : u8;
signal dg_c_lop_rx : u8;
signal lop_ry : u8;
signal dg_c_lop_ry : u8;
signal lop_xorMaskIdx : unsigned(2 downto 0);
signal dg_c_lop_xorMaskIdx : unsigned(2 downto 0);
signal lop_result : u8;
signal lop_lastAND : u8;
signal lop_lopClk_clk, lop_lopClk_reset_n : std_ulogic;
function ChooseLOPOperation (counter : u8) return u4 is
variable result: u4;
begin
result := X"0"; -- local-var zero-init
case counter(1 downto 0) is
when "00" => result := X"1";
when "01" => result := X"2";
when "10" => result := X"3";
when others => result := X"0";
end case;
return result;
end;
begin
dg_comb_proc1: process (all)
begin
dg_w_outa <= X"00"; -- wire pre-zero-init
dg_w_outb <= X"00"; -- wire pre-zero-init
dg_w_outa <= adder_zz;
dg_w_outb <= (lop_result + lop_lastAND);
end process;
main: process (all)
variable resetCount: std_ulogic;
begin
dg_c_fsm <= fsm; -- reg preload
dg_c_count <= count; -- reg preload
adder_isAdd <= '0'; -- wire pre-zero-init
adder_useOldZ_asX <= '0'; -- wire pre-zero-init
adder_useOldZ_asY <= '0'; -- wire pre-zero-init
adder_xx <= adder_xx; -- latch preload
adder_yy <= adder_yy; -- latch preload
dg_c_lop_oper <= lop_oper; -- reg preload
dg_c_lop_rx <= lop_rx; -- reg preload
dg_c_lop_xorMaskIdx <= lop_xorMaskIdx; -- reg preload
resetCount := '0'; -- local-var zero-init
case fsm is
when MyFSM_init =>
dg_c_fsm <= MyFSM_adding;
resetCount := '1';
adder_yy <= in_ry;
when MyFSM_adding =>
adder_isAdd <= '1';
adder_xx <= count;
if (dg_boolToBit(count = X"05") = '1') then
dg_c_fsm <= MyFSM_add_oldx;
resetCount := '1';
end if;
when MyFSM_add_oldx =>
adder_useOldZ_asX <= '1';
if (dg_boolToBit(count = X"03") = '1') then
dg_c_fsm <= MyFSM_add_oldy;
resetCount := '1';
end if;
when MyFSM_add_oldy =>
adder_useOldZ_asY <= '1';
adder_xx <= count;
dg_c_fsm <= MyFSM_lopping;
resetCount := '1';
when MyFSM_lopping =>
dg_c_lop_oper <= ChooseLOPOperation(count);
dg_c_lop_rx <= lop_rx + X"01";
dg_c_lop_xorMaskIdx <= lop_xorMaskIdx - "001";
when others => null;
end case;
if ((not clk_reset_n) = '1') then
dg_c_lop_oper <= X"2";
end if;
if (resetCount = '1') then
dg_c_count <= X"00";
else
dg_c_count <= (count + 1);
end if;
end process;
-------[ sub-units ]-----------
adder : entity work.MySyncAdder port map(
addClk_clk => clk_clk,
addClk_reset_n => clk_reset_n,
isAdd => adder_isAdd,
useOldZ_asX => adder_useOldZ_asX,
useOldZ_asY => adder_useOldZ_asY,
xx => adder_xx,
yy => adder_yy,
zz => adder_zz
);
lop : entity work.MySyncLOP port map(
lopClk_clk => lop_lopClk_clk,
lopClk_reset_n => lop_lopClk_reset_n,
oper => lop_oper,
rx => lop_rx,
ry => lop_ry,
xorMaskIdx => lop_xorMaskIdx,
result => lop_result,
lastAND => lop_lastAND
);
-------[ links ]----------
lop_lopClk_clk <= clk_clk;
lop_lopClk_reset_n <= clk_reset_n;
----[ sync clock pump for clk ]------
process begin
wait until rising_edge(clk_clk);
fsm <= dg_c_fsm;
count <= dg_c_count;
lop_oper <= dg_c_lop_oper;
lop_rx <= dg_c_lop_rx;
lop_ry <= dg_c_lop_ry;
lop_xorMaskIdx <= dg_c_lop_xorMaskIdx;
if clk_reset_n = '0' then
fsm <= MyFSM_init;
end if;
end process;
------[ output registers/wires/latches ] --------------
outa <= dg_w_outa;
outb <= dg_w_outb;
end;
|
library ieee;
use ieee.std_logic_1164.all;
entity ula_tb is
end ula_tb;
architecture ula_tb of ula_tb is
signal ma, mb, ms: std_logic_vector(63 downto 0);
signal mw, mx, my, mz, mcout, mclk, mdo_op, mdone, mst: std_logic;
begin
vector: entity work.ula
port map (
a => ma,
b => mb,
s => ms,
x => mx,
y => my,
z => mz,
clk => mclk,
do_op => mdo_op,
done => mdone,
state => mst,
couterro => mcout
);
process
begin
ma <= "0011111111111110000000000000101000111111111111100000000000001010";
mb <= "0011111101010110101010010011101000111111111111100000000000000010";
mw <= '0';
mx <= '0';
my <= '0';
mz <= '0';
wait for 50 ns;
mx <= '0';
my <= '0';
mz <= '1';
wait for 50 ns;
mx <= '0';
my <= '1';
mz <= '0';
wait for 50 ns;
mx <= '0';
my <= '1';
mz <= '1';
wait for 50 ns;
mx <= '1';
my <= '0';
mz <= '0';
wait for 50 ns;
mx <= '1';
my <= '0';
mz <= '1';
wait for 50 ns;
mx <= '1';
my <= '1';
mz <= '0';
wait for 50 ns;
mx <= '1';
my <= '1';
mz <= '1';
wait for 50 ns;
wait;
end process;
end ula_tb;
|
-- NEED RESULT: ARCH00582: Attribute declarations - scalar static subtypes with dynamic initial values passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00582
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.4 (3)
-- 4.4 (4)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00582)
-- ENT00582_Test_Bench(ARCH00582_Test_Bench)
--
-- REVISION HISTORY:
--
-- 19-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00582 of E00000 is
attribute at_boolean_1 : boolean ;
attribute at_bit_1 : bit ;
attribute at_severity_level_1 : severity_level ;
attribute at_character_1 : character ;
attribute at_t_enum1_1 : t_enum1 ;
attribute at_st_enum1_1 : st_enum1 ;
attribute at_integer_1 : integer ;
attribute at_t_int1_1 : t_int1 ;
attribute at_st_int1_1 : st_int1 ;
attribute at_time_1 : time ;
attribute at_t_phys1_1 : t_phys1 ;
attribute at_st_phys1_1 : st_phys1 ;
attribute at_real_1 : real ;
attribute at_t_real1_1 : t_real1 ;
attribute at_st_real1_1 : st_real1 ;
procedure p2
(
i_boolean_1, i_boolean_2 : boolean
:= c_boolean_1 ;
i_bit_1, i_bit_2 : bit
:= c_bit_1 ;
i_severity_level_1, i_severity_level_2 : severity_level
:= c_severity_level_1 ;
i_character_1, i_character_2 : character
:= c_character_1 ;
i_t_enum1_1, i_t_enum1_2 : t_enum1
:= c_t_enum1_1 ;
i_st_enum1_1, i_st_enum1_2 : st_enum1
:= c_st_enum1_1 ;
i_integer_1, i_integer_2 : integer
:= c_integer_1 ;
i_t_int1_1, i_t_int1_2 : t_int1
:= c_t_int1_1 ;
i_st_int1_1, i_st_int1_2 : st_int1
:= c_st_int1_1 ;
i_time_1, i_time_2 : time
:= c_time_1 ;
i_t_phys1_1, i_t_phys1_2 : t_phys1
:= c_t_phys1_1 ;
i_st_phys1_1, i_st_phys1_2 : st_phys1
:= c_st_phys1_1 ;
i_real_1, i_real_2 : real
:= c_real_1 ;
i_t_real1_1, i_t_real1_2 : t_real1
:= c_t_real1_1 ;
i_st_real1_1, i_st_real1_2 : st_real1
:= c_st_real1_1
) is
procedure p1 ;
attribute at_boolean_1 of p1 : procedure is
i_boolean_1 ;
attribute at_bit_1 of p1 : procedure is
i_bit_1 ;
attribute at_severity_level_1 of p1 : procedure is
i_severity_level_1 ;
attribute at_character_1 of p1 : procedure is
i_character_1 ;
attribute at_t_enum1_1 of p1 : procedure is
i_t_enum1_1 ;
attribute at_st_enum1_1 of p1 : procedure is
i_st_enum1_1 ;
attribute at_integer_1 of p1 : procedure is
i_integer_1 ;
attribute at_t_int1_1 of p1 : procedure is
i_t_int1_1 ;
attribute at_st_int1_1 of p1 : procedure is
i_st_int1_1 ;
attribute at_time_1 of p1 : procedure is
i_time_1 ;
attribute at_t_phys1_1 of p1 : procedure is
i_t_phys1_1 ;
attribute at_st_phys1_1 of p1 : procedure is
i_st_phys1_1 ;
attribute at_real_1 of p1 : procedure is
i_real_1 ;
attribute at_t_real1_1 of p1 : procedure is
i_t_real1_1 ;
attribute at_st_real1_1 of p1 : procedure is
i_st_real1_1 ;
procedure p1 is
variable correct : boolean := true ;
begin
correct := correct and p1'at_boolean_1
= c_boolean_1 ;
correct := correct and p1'at_bit_1
= c_bit_1 ;
correct := correct and p1'at_severity_level_1
= c_severity_level_1 ;
correct := correct and p1'at_character_1
= c_character_1 ;
correct := correct and p1'at_t_enum1_1
= c_t_enum1_1 ;
correct := correct and p1'at_st_enum1_1
= c_st_enum1_1 ;
correct := correct and p1'at_integer_1
= c_integer_1 ;
correct := correct and p1'at_t_int1_1
= c_t_int1_1 ;
correct := correct and p1'at_st_int1_1
= c_st_int1_1 ;
correct := correct and p1'at_time_1
= c_time_1 ;
correct := correct and p1'at_t_phys1_1
= c_t_phys1_1 ;
correct := correct and p1'at_st_phys1_1
= c_st_phys1_1 ;
correct := correct and p1'at_real_1
= c_real_1 ;
correct := correct and p1'at_t_real1_1
= c_t_real1_1 ;
correct := correct and p1'at_st_real1_1
= c_st_real1_1 ;
test_report ( "ARCH00582" ,
"Attribute declarations - scalar static subtypes"
& " with dynamic initial values" ,
correct) ;
end p1 ;
begin
p1 ;
end p2 ;
begin
process
begin
p2 ;
wait ;
end process ;
end ARCH00582 ;
--
entity ENT00582_Test_Bench is
end ENT00582_Test_Bench ;
--
architecture ARCH00582_Test_Bench of ENT00582_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00582 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00582_Test_Bench ;
|
library IEEE;
use IEEE.std_logic_1164.ALL;
entity static is
port(
clk_5hz : in std_logic;
clk_2hz : in std_logic;
clk_1hz : in std_logic;
leds : out std_logic_vector(2 downto 0)
);
end static;
architecture Behavioral of static is
begin
leds(0) <= clk_1hz;
leds(1) <= clk_2hz;
leds(2) <= clk_5hz;
end Behavioral;
|
----------------- structrual_HA -----------------------
-------------- Library statements -------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- Entity declaration half_adder--
entity structural is
port (a, b : in std_logic;
sum, carry : out std_logic
);
end structural;
-- architecture structrual --
architecture struct of structural is
begin
u1: entity work.and_2 port map(a ,b , carry);
u2: entity work.xor_2 port map(a, b, sum);
end struct; |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_06.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity tb_05_06 is
end entity tb_05_06;
architecture test of tb_05_06 is
signal s, r : bit := '0';
signal q, q_n : bit;
begin
dut : entity work.S_R_flipflop(functional)
port map ( s => s, r => r, q => q, q_n => q_n );
stimulus : process is
begin
wait for 10 ns;
s <= '1'; wait for 10 ns;
s <= '0'; wait for 10 ns;
r <= '1'; wait for 10 ns;
r <= '0'; wait for 10 ns;
s <= '1'; wait for 10 ns;
r <= '1'; wait for 10 ns;
s <= '0'; wait for 10 ns;
r <= '0'; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_06.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity tb_05_06 is
end entity tb_05_06;
architecture test of tb_05_06 is
signal s, r : bit := '0';
signal q, q_n : bit;
begin
dut : entity work.S_R_flipflop(functional)
port map ( s => s, r => r, q => q, q_n => q_n );
stimulus : process is
begin
wait for 10 ns;
s <= '1'; wait for 10 ns;
s <= '0'; wait for 10 ns;
r <= '1'; wait for 10 ns;
r <= '0'; wait for 10 ns;
s <= '1'; wait for 10 ns;
r <= '1'; wait for 10 ns;
s <= '0'; wait for 10 ns;
r <= '0'; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_06.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity tb_05_06 is
end entity tb_05_06;
architecture test of tb_05_06 is
signal s, r : bit := '0';
signal q, q_n : bit;
begin
dut : entity work.S_R_flipflop(functional)
port map ( s => s, r => r, q => q, q_n => q_n );
stimulus : process is
begin
wait for 10 ns;
s <= '1'; wait for 10 ns;
s <= '0'; wait for 10 ns;
r <= '1'; wait for 10 ns;
r <= '0'; wait for 10 ns;
s <= '1'; wait for 10 ns;
r <= '1'; wait for 10 ns;
s <= '0'; wait for 10 ns;
r <= '0'; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
use work.uart_bfm_pkg.all;
use work.transaction_pkg.all;
--=================================================================================================
entity uart_vvc is
generic (
GC_DATA_WIDTH : natural := 8;
GC_INSTANCE_IDX : natural := 1;
GC_UART_CONFIG : t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
uart_vvc_rx : in std_logic;
uart_vvc_tx : inout std_logic
);
end entity uart_vvc;
--=================================================================================================
--=================================================================================================
architecture struct of uart_vvc is
begin
-- UART RX VVC
i1_uart_rx: entity work.uart_rx_vvc
generic map(
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_INSTANCE_IDX => GC_INSTANCE_IDX,
GC_CHANNEL => RX,
GC_UART_CONFIG => GC_UART_CONFIG,
GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX,
GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD,
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY
)
port map(
uart_vvc_rx => uart_vvc_rx
);
-- UART TX VVC
i1_uart_tx: entity work.uart_tx_vvc
generic map(
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_INSTANCE_IDX => GC_INSTANCE_IDX,
GC_CHANNEL => TX,
GC_UART_CONFIG => GC_UART_CONFIG,
GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX,
GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD,
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY
)
port map(
uart_vvc_tx => uart_vvc_tx
);
end struct;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-- ZPU
--
-- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected]
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- The views and conclusions contained in the software and documentation
-- are those of the authors and should not be interpreted as representing
-- official policies, either expressed or implied, of the ZPU Project.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
constant Generate_Trace : boolean := true;
constant wordPower : integer := 5;
-- during simulation, set this to '0' to get matching trace.txt
constant DontCareValue : std_logic := 'X';
-- Clock frequency in MHz.
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitBRAM : integer := 22;
constant maxIOBit: integer := maxAddrBitIncIO - 1;
constant minIOBit: integer := 2;
-- Stack size
constant stackSize_bits: integer := 13;
constant Undefined: std_logic :='0';
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
constant enable_fmul16: boolean := true;
end zpu_config;
|
-------------------------------------------------------------------------------
-- Title : Block-level Virtex-6 Embedded Tri-Mode Ethernet MAC Wrapper
-- Project : Virtex-6 Embedded Tri-Mode Ethernet MAC Wrapper
-- File : v6_emac_v1_4_block.vhd
-- Version : 1.4
-------------------------------------------------------------------------------
--
-- (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-- Description: This is the block-level wrapper for the Virtex-6 Embedded
-- Tri-Mode Ethernet MAC. It is intended that this example design
-- can be quickly adapted and downloaded onto an FPGA to provide
-- a hardware test environment.
--
-- The block-level wrapper:
--
-- * instantiates appropriate PHY interface modules (GMII, MII,
-- RGMII, SGMII or 1000BASE-X) as required per the user
-- configuration;
--
-- * instantiates some clocking and reset resources to operate
-- the EMAC and its example design.
--
-- Please refer to the Datasheet, Getting Started Guide, and
-- the Virtex-6 Embedded Tri-Mode Ethernet MAC User Gude for
-- further information.
-------------------------------------------------------------------------------
library unisim;
use unisim.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Entity declaration for the block-level wrapper
-------------------------------------------------------------------------------
entity v6_emac_v1_4_block is
port(
-- 125MHz clock output from transceiver
CLK125_OUT : out std_logic;
-- 125MHz clock input from BUFG
CLK125 : in std_logic;
-- Client receiver interface
EMACCLIENTRXD : out std_logic_vector(7 downto 0);
EMACCLIENTRXDVLD : out std_logic;
EMACCLIENTRXGOODFRAME : out std_logic;
EMACCLIENTRXBADFRAME : out std_logic;
EMACCLIENTRXFRAMEDROP : out std_logic;
EMACCLIENTRXSTATS : out std_logic_vector(6 downto 0);
EMACCLIENTRXSTATSVLD : out std_logic;
EMACCLIENTRXSTATSBYTEVLD : out std_logic;
-- Client transmitter interface
CLIENTEMACTXD : in std_logic_vector(7 downto 0);
CLIENTEMACTXDVLD : in std_logic;
EMACCLIENTTXACK : out std_logic;
CLIENTEMACTXFIRSTBYTE : in std_logic;
CLIENTEMACTXUNDERRUN : in std_logic;
EMACCLIENTTXCOLLISION : out std_logic;
EMACCLIENTTXRETRANSMIT : out std_logic;
CLIENTEMACTXIFGDELAY : in std_logic_vector(7 downto 0);
EMACCLIENTTXSTATS : out std_logic;
EMACCLIENTTXSTATSVLD : out std_logic;
EMACCLIENTTXSTATSBYTEVLD : out std_logic;
-- MAC control interface
CLIENTEMACPAUSEREQ : in std_logic;
CLIENTEMACPAUSEVAL : in std_logic_vector(15 downto 0);
-- EMAC-transceiver link status
EMACCLIENTSYNCACQSTATUS : out std_logic;
-- Auto-Negotiation interrupt
EMACANINTERRUPT : out std_logic;
-- SGMII interface
TXP : out std_logic;
TXN : out std_logic;
RXP : in std_logic;
RXN : in std_logic;
PHYAD : in std_logic_vector(4 downto 0);
RESETDONE : out std_logic;
-- SGMII transceiver clock buffer input
CLK_DS : in std_logic;
-- Asynchronous reset
RESET : in std_logic
);
end v6_emac_v1_4_block;
architecture TOP_LEVEL of v6_emac_v1_4_block is
-------------------------------------------------------------------------------
-- Component declarations for lower hierarchial level entities
-------------------------------------------------------------------------------
-- Component declaration for the primitive-level EMAC wrapper
component v6_emac_v1_4 is
port(
-- Client receiver interface
EMACCLIENTRXCLIENTCLKOUT : out std_logic;
CLIENTEMACRXCLIENTCLKIN : in std_logic;
EMACCLIENTRXD : out std_logic_vector(7 downto 0);
EMACCLIENTRXDVLD : out std_logic;
EMACCLIENTRXDVLDMSW : out std_logic;
EMACCLIENTRXGOODFRAME : out std_logic;
EMACCLIENTRXBADFRAME : out std_logic;
EMACCLIENTRXFRAMEDROP : out std_logic;
EMACCLIENTRXSTATS : out std_logic_vector(6 downto 0);
EMACCLIENTRXSTATSVLD : out std_logic;
EMACCLIENTRXSTATSBYTEVLD : out std_logic;
-- Client transmitter interface
EMACCLIENTTXCLIENTCLKOUT : out std_logic;
CLIENTEMACTXCLIENTCLKIN : in std_logic;
CLIENTEMACTXD : in std_logic_vector(7 downto 0);
CLIENTEMACTXDVLD : in std_logic;
CLIENTEMACTXDVLDMSW : in std_logic;
EMACCLIENTTXACK : out std_logic;
CLIENTEMACTXFIRSTBYTE : in std_logic;
CLIENTEMACTXUNDERRUN : in std_logic;
EMACCLIENTTXCOLLISION : out std_logic;
EMACCLIENTTXRETRANSMIT : out std_logic;
CLIENTEMACTXIFGDELAY : in std_logic_vector(7 downto 0);
EMACCLIENTTXSTATS : out std_logic;
EMACCLIENTTXSTATSVLD : out std_logic;
EMACCLIENTTXSTATSBYTEVLD : out std_logic;
-- MAC control interface
CLIENTEMACPAUSEREQ : in std_logic;
CLIENTEMACPAUSEVAL : in std_logic_vector(15 downto 0);
-- Clock signals
GTX_CLK : in std_logic;
PHYEMACTXGMIIMIICLKIN : in std_logic;
EMACPHYTXGMIIMIICLKOUT : out std_logic;
-- SGMII interface
RXDATA : in std_logic_vector(7 downto 0);
TXDATA : out std_logic_vector(7 downto 0);
MMCM_LOCKED : in std_logic;
AN_INTERRUPT : out std_logic;
SIGNAL_DETECT : in std_logic;
PHYAD : in std_logic_vector(4 downto 0);
ENCOMMAALIGN : out std_logic;
LOOPBACKMSB : out std_logic;
MGTRXRESET : out std_logic;
MGTTXRESET : out std_logic;
POWERDOWN : out std_logic;
SYNCACQSTATUS : out std_logic;
RXCLKCORCNT : in std_logic_vector(2 downto 0);
RXBUFSTATUS : in std_logic;
RXCHARISCOMMA : in std_logic;
RXCHARISK : in std_logic;
RXDISPERR : in std_logic;
RXNOTINTABLE : in std_logic;
RXREALIGN : in std_logic;
RXRUNDISP : in std_logic;
TXBUFERR : in std_logic;
TXCHARDISPMODE : out std_logic;
TXCHARDISPVAL : out std_logic;
TXCHARISK : out std_logic;
-- Asynchronous reset
RESET : in std_logic
);
end component;
-- Component declaration for the GTX wrapper
component v6_gtxwizard_top
port (
RESETDONE : out std_logic;
ENMCOMMAALIGN : in std_logic;
ENPCOMMAALIGN : in std_logic;
LOOPBACK : in std_logic;
POWERDOWN : in std_logic;
RXUSRCLK2 : in std_logic;
RXRESET : in std_logic;
TXCHARDISPMODE : in std_logic;
TXCHARDISPVAL : in std_logic;
TXCHARISK : in std_logic;
TXDATA : in std_logic_vector (7 downto 0);
TXUSRCLK2 : in std_logic;
TXRESET : in std_logic;
RXCHARISCOMMA : out std_logic;
RXCHARISK : out std_logic;
RXCLKCORCNT : out std_logic_vector (2 downto 0);
RXDATA : out std_logic_vector (7 downto 0);
RXDISPERR : out std_logic;
RXNOTINTABLE : out std_logic;
RXRUNDISP : out std_logic;
RXBUFERR : out std_logic;
TXBUFERR : out std_logic;
PLLLKDET : out std_logic;
TXOUTCLK : out std_logic;
RXELECIDLE : out std_logic;
TXN : out std_logic;
TXP : out std_logic;
RXN : in std_logic;
RXP : in std_logic;
CLK_DS : in std_logic;
PMARESET : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- Signal declarations
-------------------------------------------------------------------------------
-- Power and ground signals
signal gnd_i : std_logic;
signal vcc_i : std_logic;
-- Asynchronous reset signals
signal reset_ibuf_i : std_logic;
signal reset_i : std_logic;
signal reset_r : std_logic_vector(3 downto 0);
-- Client clocking signals
signal rx_client_clk_out_i : std_logic;
signal rx_client_clk_in_i : std_logic;
signal tx_client_clk_out_i : std_logic;
signal tx_client_clk_in_i : std_logic;
-- Physical interface signals
signal emac_locked_i : std_logic;
signal mgt_rx_data_i : std_logic_vector(7 downto 0);
signal mgt_tx_data_i : std_logic_vector(7 downto 0);
signal signal_detect_i : std_logic;
signal elecidle_i : std_logic;
signal resetdone_i : std_logic;
signal encommaalign_i : std_logic;
signal loopback_i : std_logic;
signal mgt_rx_reset_i : std_logic;
signal mgt_tx_reset_i : std_logic;
signal powerdown_i : std_logic;
signal rxclkcorcnt_i : std_logic_vector(2 downto 0);
signal rxchariscomma_i : std_logic;
signal rxcharisk_i : std_logic;
signal rxdisperr_i : std_logic;
signal rxnotintable_i : std_logic;
signal rxrundisp_i : std_logic;
signal txbuferr_i : std_logic;
signal txchardispmode_i : std_logic;
signal txchardispval_i : std_logic;
signal txcharisk_i : std_logic;
signal gtx_clk_ibufg_i : std_logic;
signal rxbufstatus_i : std_logic;
signal rxchariscomma_r : std_logic;
signal rxcharisk_r : std_logic;
signal rxclkcorcnt_r : std_logic_vector(2 downto 0);
signal mgt_rx_data_r : std_logic_vector(7 downto 0);
signal rxdisperr_r : std_logic;
signal rxnotintable_r : std_logic;
signal rxrundisp_r : std_logic;
signal txchardispmode_r : std_logic;
signal txchardispval_r : std_logic;
signal txcharisk_r : std_logic;
signal mgt_tx_data_r : std_logic_vector(7 downto 0);
-- Transceiver clocking signals
signal usrclk2 : std_logic;
signal txoutclk : std_logic;
signal plllock_i : std_logic;
-------------------------------------------------------------------------------
-- Attribute declarations
-------------------------------------------------------------------------------
attribute ASYNC_REG : string;
attribute ASYNC_REG of reset_r : signal is "TRUE";
-------------------------------------------------------------------------------
-- Main body of code
-------------------------------------------------------------------------------
begin
gnd_i <= '0';
vcc_i <= '1';
---------------------------------------------------------------------------
-- Main reset circuitry
---------------------------------------------------------------------------
reset_ibuf_i <= RESET;
-- Synchronize and extend the external reset signal
process(usrclk2, reset_ibuf_i)
begin
if (reset_ibuf_i = '1') then
reset_r <= "1111";
elsif usrclk2'event and usrclk2 = '1' then
if (plllock_i = '1') then
reset_r <= reset_r(2 downto 0) & reset_ibuf_i;
end if;
end if;
end process;
-- Apply the extended reset pulse to the EMAC
reset_i <= reset_r(3);
---------------------------------------------------------------------------
-- Instantiate GTX for SGMII or 1000BASE-X PCS/PMA physical interface
---------------------------------------------------------------------------
v6_gtxwizard_top_inst : v6_gtxwizard_top
PORT MAP (
RESETDONE => resetdone_i,
ENMCOMMAALIGN => encommaalign_i,
ENPCOMMAALIGN => encommaalign_i,
LOOPBACK => loopback_i,
POWERDOWN => powerdown_i,
RXUSRCLK2 => usrclk2,
RXRESET => mgt_rx_reset_i,
TXCHARDISPMODE => txchardispmode_r,
TXCHARDISPVAL => txchardispval_r,
TXCHARISK => txcharisk_r,
TXDATA => mgt_tx_data_r,
TXUSRCLK2 => usrclk2,
TXRESET => mgt_tx_reset_i,
RXCHARISCOMMA => rxchariscomma_i,
RXCHARISK => rxcharisk_i,
RXCLKCORCNT => rxclkcorcnt_i,
RXDATA => mgt_rx_data_i,
RXDISPERR => rxdisperr_i,
RXNOTINTABLE => rxnotintable_i,
RXRUNDISP => rxrundisp_i,
RXBUFERR => rxbufstatus_i,
TXBUFERR => txbuferr_i,
PLLLKDET => plllock_i,
TXOUTCLK => txoutclk,
RXELECIDLE => elecidle_i,
TXN => TXN,
TXP => TXP,
RXN => RXN,
RXP => RXP,
CLK_DS => CLK_DS,
PMARESET => reset_ibuf_i
);
RESETDONE <= resetdone_i;
--------------------------------------------------------------------------
-- Register the signals between EMAC and transceiver for timing purposes
--------------------------------------------------------------------------
regrx : process (usrclk2, reset_i)
begin
if reset_i = '1' then
rxchariscomma_r <= '0';
rxcharisk_r <= '0';
rxclkcorcnt_r <= (others => '0');
mgt_rx_data_r <= (others => '0');
rxdisperr_r <= '0';
rxnotintable_r <= '0';
rxrundisp_r <= '0';
txchardispmode_r <= '0';
txchardispval_r <= '0';
txcharisk_r <= '0';
mgt_tx_data_r <= (others => '0');
elsif usrclk2'event and usrclk2 = '1' then
rxchariscomma_r <= rxchariscomma_i;
rxcharisk_r <= rxcharisk_i;
rxclkcorcnt_r <= rxclkcorcnt_i;
mgt_rx_data_r <= mgt_rx_data_i;
rxdisperr_r <= rxdisperr_i;
rxnotintable_r <= rxnotintable_i;
rxrundisp_r <= rxrundisp_i;
txchardispmode_r <= txchardispmode_i after 1 ns;
txchardispval_r <= txchardispval_i after 1 ns;
txcharisk_r <= txcharisk_i after 1 ns;
mgt_tx_data_r <= mgt_tx_data_i after 1 ns;
end if;
end process regrx;
-- Detect when there has been a disconnect
signal_detect_i <= not(elecidle_i);
--------------------------------------------------------------------
-- GTX clock management
--------------------------------------------------------------------
-- 125MHz clock is used for GT user clocks and used
-- to clock all Ethernet core logic
usrclk2 <= CLK125;
-- GTX reference clock
gtx_clk_ibufg_i <= usrclk2;
-- PLL locks
emac_locked_i <= plllock_i;
-- SGMII client-side transmit clock
tx_client_clk_in_i <= usrclk2;
-- SGMII client-side receive clock
rx_client_clk_in_i <= usrclk2;
-- 125MHz clock output from transceiver
CLK125_OUT <= txoutclk;
--------------------------------------------------------------------------
-- Instantiate the primitive-level EMAC wrapper (v6_emac_v1_4.vhd)
--------------------------------------------------------------------------
v6_emac_v1_4_inst : v6_emac_v1_4
port map (
-- Client receiver interface
EMACCLIENTRXCLIENTCLKOUT => rx_client_clk_out_i,
CLIENTEMACRXCLIENTCLKIN => rx_client_clk_in_i,
EMACCLIENTRXD => EMACCLIENTRXD,
EMACCLIENTRXDVLD => EMACCLIENTRXDVLD,
EMACCLIENTRXDVLDMSW => open,
EMACCLIENTRXGOODFRAME => EMACCLIENTRXGOODFRAME,
EMACCLIENTRXBADFRAME => EMACCLIENTRXBADFRAME,
EMACCLIENTRXFRAMEDROP => EMACCLIENTRXFRAMEDROP,
EMACCLIENTRXSTATS => EMACCLIENTRXSTATS,
EMACCLIENTRXSTATSVLD => EMACCLIENTRXSTATSVLD,
EMACCLIENTRXSTATSBYTEVLD => EMACCLIENTRXSTATSBYTEVLD,
-- Client transmitter interface
EMACCLIENTTXCLIENTCLKOUT => tx_client_clk_out_i,
CLIENTEMACTXCLIENTCLKIN => tx_client_clk_in_i,
CLIENTEMACTXD => CLIENTEMACTXD,
CLIENTEMACTXDVLD => CLIENTEMACTXDVLD,
CLIENTEMACTXDVLDMSW => gnd_i,
EMACCLIENTTXACK => EMACCLIENTTXACK,
CLIENTEMACTXFIRSTBYTE => CLIENTEMACTXFIRSTBYTE,
CLIENTEMACTXUNDERRUN => CLIENTEMACTXUNDERRUN,
EMACCLIENTTXCOLLISION => EMACCLIENTTXCOLLISION,
EMACCLIENTTXRETRANSMIT => EMACCLIENTTXRETRANSMIT,
CLIENTEMACTXIFGDELAY => CLIENTEMACTXIFGDELAY,
EMACCLIENTTXSTATS => EMACCLIENTTXSTATS,
EMACCLIENTTXSTATSVLD => EMACCLIENTTXSTATSVLD,
EMACCLIENTTXSTATSBYTEVLD => EMACCLIENTTXSTATSBYTEVLD,
-- MAC control interface
CLIENTEMACPAUSEREQ => CLIENTEMACPAUSEREQ,
CLIENTEMACPAUSEVAL => CLIENTEMACPAUSEVAL,
-- Clock signals
GTX_CLK => usrclk2,
EMACPHYTXGMIIMIICLKOUT => open,
PHYEMACTXGMIIMIICLKIN => gnd_i,
-- SGMII interface
RXDATA => mgt_rx_data_r,
TXDATA => mgt_tx_data_i,
MMCM_LOCKED => emac_locked_i,
AN_INTERRUPT => EMACANINTERRUPT,
SIGNAL_DETECT => signal_detect_i,
PHYAD => PHYAD,
ENCOMMAALIGN => encommaalign_i,
LOOPBACKMSB => loopback_i,
MGTRXRESET => mgt_rx_reset_i,
MGTTXRESET => mgt_tx_reset_i,
POWERDOWN => powerdown_i,
SYNCACQSTATUS => EMACCLIENTSYNCACQSTATUS,
RXCLKCORCNT => rxclkcorcnt_r,
RXBUFSTATUS => rxbufstatus_i,
RXCHARISCOMMA => rxchariscomma_r,
RXCHARISK => rxcharisk_r,
RXDISPERR => rxdisperr_r,
RXNOTINTABLE => rxnotintable_r,
RXREALIGN => '0',
RXRUNDISP => rxrundisp_r,
TXBUFERR => txbuferr_i,
TXCHARDISPMODE => txchardispmode_i,
TXCHARDISPVAL => txchardispval_i,
TXCHARISK => txcharisk_i,
-- Asynchronous reset
RESET => reset_i
);
end TOP_LEVEL;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- =============================================================================
-- Testbench: Simulation constants, functions and utilities.
--
-- Authors: Patrick Lehmann
-- Thomas B. Preusser
--
-- Description:
-- ------------------------------------
-- TODO
--
-- License:
-- =============================================================================
-- Copyright 2007-2014 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- =============================================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
library PoC;
use PoC.vectors.all;
use PoC.strings.all;
use PoC.physical.all;
package simulation is
-- predefined constants to ease testvector concatenation
constant U8 : T_SLV_8 := (others => 'U');
constant U16 : T_SLV_16 := (others => 'U');
constant U24 : T_SLV_24 := (others => 'U');
constant U32 : T_SLV_32 := (others => 'U');
constant D8 : T_SLV_8 := (others => '-');
constant D16 : T_SLV_16 := (others => '-');
constant D24 : T_SLV_24 := (others => '-');
constant D32 : T_SLV_32 := (others => '-');
-- Testbench Status Management
-- ===========================================================================
-- The testbench is marked as failed. If a message is provided, it is
-- reported as an error.
procedure tbFail(msg : in string := "");
-- If the passed condition has evaluated false, the testbench is marked
-- as failed. In this case, the optional message will be reported as an
-- error if one was provided.
procedure tbAssert(cond : in boolean; msg : in string := "");
-- Prints out the overall testbench result as defined by the automated
-- testbench process. Unless tbFail() or tbAssert() with a false condition
-- have been called before, a successful completion will be reported, a
-- failure otherwise.
procedure tbPrintResult;
-- clock generation
-- ===========================================================================
subtype T_DutyCycle is REAL range 0.0 to 1.0;
procedure simStop;
impure function simIsStopped return BOOLEAN;
procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Frequency : in FREQ; constant DutyCycle : T_DutyCycle := 0.5);
procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Period : in TIME; constant DutyCycle : T_DutyCycle := 0.5);
-- waveform generation
-- ===========================================================================
type T_SIM_WAVEFORM_TUPLE_SL is record
Delay : TIME;
Value : STD_LOGIC;
end record;
type T_SIM_WAVEFORM_TUPLE_SLV_8 is record
Delay : TIME;
Value : T_SLV_8;
end record;
type T_SIM_WAVEFORM_TUPLE_SLV_16 is record
Delay : TIME;
Value : T_SLV_16;
end record;
type T_SIM_WAVEFORM_TUPLE_SLV_24 is record
Delay : TIME;
Value : T_SLV_24;
end record;
type T_SIM_WAVEFORM_TUPLE_SLV_32 is record
Delay : TIME;
Value : T_SLV_32;
end record;
type T_SIM_WAVEFORM_TUPLE_SLV_48 is record
Delay : TIME;
Value : T_SLV_48;
end record;
type T_SIM_WAVEFORM_TUPLE_SLV_64 is record
Delay : TIME;
Value : T_SLV_64;
end record;
type T_SIM_WAVEFORM_SL is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SL;
type T_SIM_WAVEFORM_SLV_8 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_8;
type T_SIM_WAVEFORM_SLV_16 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_16;
type T_SIM_WAVEFORM_SLV_24 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_24;
type T_SIM_WAVEFORM_SLV_32 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_32;
type T_SIM_WAVEFORM_SLV_48 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_48;
type T_SIM_WAVEFORM_SLV_64 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_64;
procedure simGenerateWaveform(signal Wave : out BOOLEAN; Waveform: T_TIMEVEC; InitialValue : BOOLEAN);
procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_TIMEVEC; InitialValue : STD_LOGIC := '0');
procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_SIM_WAVEFORM_SL; InitialValue : STD_LOGIC := '0');
procedure simGenerateWaveform(signal Wave : out T_SLV_8; Waveform: T_SIM_WAVEFORM_SLV_8; InitialValue : T_SLV_8);
procedure simGenerateWaveform(signal Wave : out T_SLV_16; Waveform: T_SIM_WAVEFORM_SLV_16; InitialValue : T_SLV_16);
procedure simGenerateWaveform(signal Wave : out T_SLV_24; Waveform: T_SIM_WAVEFORM_SLV_24; InitialValue : T_SLV_24);
procedure simGenerateWaveform(signal Wave : out T_SLV_32; Waveform: T_SIM_WAVEFORM_SLV_32; InitialValue : T_SLV_32);
procedure simGenerateWaveform(signal Wave : out T_SLV_48; Waveform: T_SIM_WAVEFORM_SLV_48; InitialValue : T_SLV_48);
procedure simGenerateWaveform(signal Wave : out T_SLV_64; Waveform: T_SIM_WAVEFORM_SLV_64; InitialValue : T_SLV_64);
function simGenerateWaveform_Reset(constant Pause : TIME := 0 ns; ResetPulse : TIME := 10 ns) return T_TIMEVEC;
-- TODO: integrate VCD simulation functions and procedures from sim_value_change_dump.vhdl here
-- checksum functions
-- ===========================================================================
-- TODO: move checksum functions here
end;
use std.TextIO.all;
package body simulation is
-- Testbench Status Management
-- ===========================================================================
-- Internal state variable to log a failure condition for final reporting.
-- Once de-asserted, this variable will never return to a value of true.
shared variable pass : boolean := true;
shared variable simStopped : BOOLEAN := FALSE;
procedure tbFail(msg : in string := "") is
begin
if (str_length(msg) > 0) then
report str_trim(msg) severity error;
end if;
pass := false;
end;
procedure tbAssert(cond : in boolean; msg : in string := "") is
begin
if not cond then
tbFail(msg);
end if;
end;
procedure tbPrintResult is
variable l : line;
begin
write(l, string'("SIMULATION RESULT = "));
if pass then
write(l, string'("PASSED"));
else
write(l, string'("FAILED"));
end if;
writeline(output, l);
end procedure;
-- clock generation
procedure simStop is
begin
simStopped := TRUE;
end procedure;
impure function simIsStopped return BOOLEAN is
begin
return simStopped;
end function;
procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Frequency : in FREQ; constant DutyCycle : T_DutyCycle := 0.5) is
constant Period : TIME := to_time(Frequency);
begin
simGenerateClock(Clock, Period, DutyCycle);
end procedure;
procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Period : in TIME; constant DutyCycle : T_DutyCycle := 0.5) is
constant TIME_HIGH : TIME := Period * DutyCycle;
constant TIME_LOW : TIME := Period - TIME_HIGH;
begin
Clock <= '0';
while (not simStopped) loop
wait for TIME_LOW;
Clock <= '1';
wait for TIME_HIGH;
Clock <= '0';
end loop;
end procedure;
-- waveform generation
procedure simGenerateWaveform(signal Wave : out BOOLEAN; Waveform : T_TIMEVEC; InitialValue : BOOLEAN) is
variable State : BOOLEAN := InitialValue;
begin
Wave <= State;
for i in Waveform'range loop
wait for Waveform(i);
State := not State;
Wave <= State;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_TIMEVEC; InitialValue : STD_LOGIC := '0') is
variable State : STD_LOGIC := InitialValue;
begin
Wave <= State;
for i in Waveform'range loop
wait for Waveform(i);
State := not State;
Wave <= State;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_SIM_WAVEFORM_SL; InitialValue : STD_LOGIC := '0') is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out T_SLV_8; Waveform: T_SIM_WAVEFORM_SLV_8; InitialValue : T_SLV_8) is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out T_SLV_16; Waveform: T_SIM_WAVEFORM_SLV_16; InitialValue : T_SLV_16) is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out T_SLV_24; Waveform: T_SIM_WAVEFORM_SLV_24; InitialValue : T_SLV_24) is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out T_SLV_32; Waveform: T_SIM_WAVEFORM_SLV_32; InitialValue : T_SLV_32) is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out T_SLV_48; Waveform: T_SIM_WAVEFORM_SLV_48; InitialValue : T_SLV_48) is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
procedure simGenerateWaveform(signal Wave : out T_SLV_64; Waveform: T_SIM_WAVEFORM_SLV_64; InitialValue : T_SLV_64) is
begin
Wave <= InitialValue;
for i in Waveform'range loop
wait for Waveform(i).Delay;
Wave <= Waveform(i).Value;
end loop;
end procedure;
function simGenerateWaveform_Reset(constant Pause : TIME := 0 ns; ResetPulse : TIME := 10 ns) return T_TIMEVEC is
begin
return (0 => Pause, 1 => ResetPulse);
end function;
-- checksum functions
-- ===========================================================================
-- TODO: move checksum functions here
end package body;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.