content
stringlengths
1
1.04M
package arith1 is function div(x, y : integer) return integer; function div(x, y : real) return real; function div(x : integer; y : real) return real; function exp(x : real; y : integer) return real; function exp(x, y : integer) return integer; function neg(x : integer) return integer; function neg(x : real) return real; function cast(x : real) return integer; function abz(x : integer) return integer; function abz(x : real) return real; function modd(x, y : integer) return integer; function remm(x, y : integer) return integer; end package; package body arith1 is function div(x, y : integer) return integer is begin return x / y; end function; function div(x, y : real) return real is begin return x / y; end function; function div(x : integer; y : real) return real is begin return real(x) / y; end function; function exp(x : real; y : integer) return real is begin return x ** y; end function; function exp(x, y : integer) return integer is begin return x ** y; end function; function neg(x : integer) return integer is begin return -x; end function; function neg(x : real) return real is begin return -x; end function; function cast(x : real) return integer is begin return integer(x); end function; function abz(x : integer) return integer is begin return abs x; end function; function abz(x : real) return real is begin return abs x; end function; function modd(x, y : integer) return integer is begin return x mod y; end function; function remm(x, y : integer) return integer is begin return x rem y; end function; end package body;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library altera_mf; -- pragma translate_off use altera_mf.altpll; -- pragma translate_on entity clkgen_de2 is generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic ); end; architecture rtl of clkgen_de2 is component altpll generic ( intended_device_family : string := "Stratix" ; operation_mode : string := "NORMAL" ; compensate_clock : string := "CLK0" ; inclk0_input_frequency : positive; width_clock : positive := 6; clk0_multiply_by : positive := 1; clk0_divide_by : positive := 1; clk1_multiply_by : positive := 1; clk1_divide_by : positive := 1; clk2_multiply_by : positive := 1; clk2_divide_by : positive := 1 ); port ( inclk : in std_logic_vector(1 downto 0); clk : out std_logic_vector(width_clock-1 downto 0); locked : out std_logic ); end component; signal clkout : std_logic_vector (5 downto 0); signal inclk : std_logic_vector (1 downto 0); constant clk_period : integer := 1000000000/clk_freq; constant CLK_MUL2X : integer := clk_mul * 2; begin inclk <= '0' & inclk0; c0 <= clkout(0); c0_2x <= clkout(1); sden : if sdramen = 1 generate altpll0 : altpll generic map ( intended_device_family => "Cyclone II", operation_mode => "ZERO_DELAY_BUFFER", compensate_clock => "CLK2", inclk0_input_frequency => clk_period, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => 5, clk1_divide_by => 10, clk2_multiply_by => clk_mul, clk2_divide_by => clk_div) port map (inclk => inclk, clk => clkout, locked => locked); e0 <= clkout(2); end generate; nosd : if sdramen = 0 generate altpll0 : altpll generic map ( intended_device_family => "Cyclone II", operation_mode => "NORMAL", inclk0_input_frequency => clk_period, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => 5, clk1_divide_by => 10) port map (inclk => inclk, clk => clkout, locked => locked); e0 <= '0'; end generate; end;
entity bug is port(data: out integer); end entity bug; architecture arc of bug is begin end architecture arc; entity bug_sim is end entity bug_sim; architecture sim of bug_sim is signal data: natural; begin u0: entity work.bug port map(data => data); end architecture sim;
entity bug is port(data: out integer); end entity bug; architecture arc of bug is begin end architecture arc; entity bug_sim is end entity bug_sim; architecture sim of bug_sim is signal data: natural; begin u0: entity work.bug port map(data => data); end architecture sim;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block LmIAva8BzlYi2BFSqJuUfYmDJQXRnqNzj+895Vo0YjLkyJIJLcWc5fRF2VDZPxBvcmRTbCjv/MQk wLQoqRbg9Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YGlEdqwoFVDtb2FgfaRVGoVFiMmI8y/XsJSPuAxM65DGtg6AFs8f3Ab1J+8Nqaxb/E5X6yLVitN7 cRSKjfPHe+ABtdkz1x7ESInfjhcWY2+uhZapTS0+TBfugUhIggGW20K4Y7xjDyVaH2MGowihUbb6 acbah20cfMVG5B7StW4= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ncgjODAK/ms8eGnT+k86C+JMYexp+jSDdlmkzNTjLnyMOnbUWbuzTAnls6tTMH77mUC2otuhmWeV XCLoRd7PKm8cbut7aCIjWA6Ni60DrO6P1ni+Yjcxyb7lDJEmoLEaLXBjYWwoSmIWVGTEPoooWpbI WBiU6BVifQvQBkb+YIo= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MIn89j2cwh0Nm3llDWwW/RaSWJoG8fHddN6jrU2DaJDMRaOTNf4apTkM5wyCeTB10Poeoea8mLeW pZLhdKzCmGLB2eBYcWzsCPknhZL5Mdk8eVjXzskLCS5qsQhwaiBPHBx18AJxDLMRQrWNd9x5VByo hMe6JFBMUkLPse3RhM4Rq9UpUoxqgts/7SUnCgthMcKSGl8hgZDvyU6gB0XErpgqDaB4nWtZvFXR 5mD70brDWb4IKOlWb3uiXfaf1cxXGE55BRSltxQw13GxSA3W74TJjfC2cl9GtoLFOFUY+YmOTmaO 3xYwzFOJ4rEFR0lhuK/Hip0rHU+nwPw6FlvzdA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FpoTxW5CE+7hPTVxvbpU/c4Kd4D5K3d8Z191aihllIbOw9BRtg+5d8nyYLPZnKifKvpCsiilp1tC HMYDjbbSFYzXYUjI/OvX336wKRUHT6+Y6XX2Gsd2pTBsHtD1TLk0sIPawg5smqKAismsx1cfjJmo MahfrVdys0nZpmWP1oxjwRYupPepiF2bFse+14rHjlcw8U6uh9kSgoA4oGkty/RbCuKBykc4Svjv DiTxGDDaQs6FEmZaefPA2PL49Wi24M71YC1rAcxc/3ieaui6HtnRxAofYuyq/FXBm6jHgJMRvvyB szWEaF1gJhjhH9pZJKE62RxMVIAij2+TBDLyHA== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cJ9ZyE3dQNw++TzZGEI8cjSg9HcOeXv7Bx5Os1O1Ml+WSBJE81TW5Womkah1NzeJb2glj3+/PQGg yL+drqFMHPvs+hfgW/bZbHd40bBAddcYBVgsM/ohn3A0QpQ3ZiRjnVo+7vsuk3whHyqfQFe6gp/D IE7CprKeJBsV2vBu8vSyYnDXaw1N6Gx2lMdtljDqz3m202IKEQjB+Xzns362ofQetZmiiCsR1eBB qFZjVdfdN+byOkAEr5MfCtgmfxpiIcR/LhgMPRT6cpRVBYfnVsmOzfvUDXiYNsT0dsdwJsG8L0iy rf2v7Nf/By5ZaicFXglPSgLk9mZmYg2N/8Nq6A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 137008) `protect data_block hz7WpIywGZsUJnwTzxNyjEw+HaKbmSeb8RmDMIay8Cof1nvyVB1CPkTjo97x0raj1DsDPTW/QtGW xWDVpm4McCM9Xugim/1hPUiIDUx7zuH94JiTrXNz6NV2bw1PXQuNA6mb+Bb3in1SBmtdIehYIeIc QpgoMH1sOpjCXA6GCLcMIQHBZUibfwKJOakIDYgCr6kiF2/xeVZf9V0v8n8Xr6yDsM/22683+EXy hK55JX9g66swDwMqewmtYnArwfMXTF53WU+6TzXhINiTB8AIGvF7T0/8p0omOqvIjRIBEZipDW2O bIgJG980gAEZ/ZhiduSP7vPUqvCaPLsv6HK72IbDB+kXnZ4h8OkGXbH4SSKhe52BwuwHfrmhAuI5 aenhAtJstmE5cHVcKeKbZDzxuweOEFoCZ8nvlmchEms1+T55b4+E2TUF/HhCWE3P/WBe7Z8HAIdQ hzPQ0abmGun06CdXqoe7lKIN8LrvlemEMpgqW4mDt3nF9JoO+O/sO72pcP9R+GsnjLuhBWZ93kYG CTk6KAe4sjv9wjsLn8D8zXXppql8uVvunFJOSAplQXW+LQFslVBXzgvsV6uHKJlFwzbq5kiQnQ+X yObvG29v0z6EuTPR6YjBf+mz9ijVksTnYO5bzZyqXqhey9Prg6nmUkY0/nGi4gcpmkUUT4yQ76b+ qLn9GYgGwgpDRKx4Nfo141aAdFHOI/g0WqUtvMkkQSH7XFj2eP1hyuQLhdpK1LItBcsP8hMnsIqt A1b71X/PCYWM0q8aQ0jDoDiRlVFfliYDqXZeuZf8pUyIIx+JtzUzPmsCjVXkXqy0uNBV33kNV6d7 TBeZm3sB2akpLmKbQ9YUSCP/kD/3ztk00hl7ng/5ZSzjfYuFPnvJ/dRbTme5O+9Io8S+IS8PiNf1 zIAUP/KhYJbJ9gQnJ5tyYfH+afQtGbwiDiX+0mwyAs3a9M2DQoAu8oJUCIUflNmxtQQxF+S+N7ss xXf/YlgxubbStv1pa2DHQtIT/7mHyeLRBCPWn6jyswp/oG6iNyMlcbPU4xt/mA3YJDRFGmxtGJpe 5gZUXkXuyHTL6djwtW4ZpdfoCkVMLYOG05USNWoG7MRLSVuZUNUzj1LLiPRXxDqmcFCK0nWMpIiH Gj0+r4ePa/MRkOfCGHRBUkFK2c75THA7K1BCnX4HykQss43OACaN+7uJ9Nq1a47mdGf4vg7Cc25E sEpuWecZ14pm+WE1NYJljUgUSQQ63AXm/VLt53e2cEgnLF6cAmveM+5Ep+/2kEVwoxUBG1R3bVen Crc8wSdTDuvk+Po62faxCmDjsO8CFiXZD/Ud3sq/s82EyxPq2x3XSLaTKL0A9jx78gXdiOegpkIs 9a+I3jbzNxsQ2eEYQrEMexbKDzghZfZ7PCfUvK3dcHkbGsz/Q99hfrGTsXolXC1LqNNDiVpaz31b XLc2PQMpvb886iC3g/cY33vdGS+qzNmzDjFoyCVl1fhCJHOwSJjS9WXnhOcNW0ok+rqYEBKX0Req rto2++XihmqtJsrn7YTUhE8O0i8xJzqqX/xe3YrHy9Z+oA12FMmB4/pT240ksmfnMWevCF7Fsn6G 8rszdRp8E5dey8bjkgKduFvW1anLtsiYoVT2JTxfVM0YOdoRHVcr4hcnzmWEp92/U1gunRcMXS4q cTqDP907IVkHShYuLuJVN0zadKeI2r403O3El080j7FwrdkKqfzHirbOmZLNkRVkdadwBC/cbqKk tCbTdpglUJyY4qjaV7H8zZaqvIajZT/pBhvJmTx4l+lSNToWq8sSSvksg48oX5/gxPTA4sa0HPvK BmBlMEN/BZAXvZ47yu1Zsof8BYgF6oxqNJdtYr5y+aHTKFljxhqaqUmYMN4xLfAWCqFje1H/Ozuk Lg9quQ4BYqv93wxmZ0kvoMAoNuepnfV/objUrqpzaESZXD6HiEuTxCfWb4pXRXCwifjCBGuwve/E ZvxftkAvjLWFacLF+TmQmbhBCsoKfmgj1np4szc38j2O+DcBj3Vudd8C+u/jJTOHb83viAkwtIYU EHnIqg1yRo8gXfE4qyWSbCFPQZKVBQZnyCJJUS9kbQkeBjR2z05nGftc1RNsKEnVE63q1mFXQXFF 2l/dc0GS4GNdJ24kCJdf+qqO2owJfOiMkr3rbgNzvZxdbXNsFJqev8YcpBOH6oawWRzAuvy4ef+3 gmleY+AbkWZv9OBN2SnGU5zpZx48/pMBviCaMoblZKzhmTMahHvSmwfLbnfyklKP5RKx9IGHLdGf aWqRHvZNv3IhV07p8IAo8lyMLGgelgLNwrJRpCTdnz2mQsMucrBEPGeyGDUZDBZTalrQm3JJ9D8r PRyNHcArK0UKdcN9hKtkdfePNbCBGYteJp4wZME3ok2/IweWmMWglfNDL6+e62jgM2c0wqCzcqdY +t0XJEtkTeqShtlQY5xId/1WfkCM8lRF2NHzGh/2EgoL2/9RUA+PHGLSFCen2HtDgXkjHWWYhpvS SfiPTZQ+fZxXgHUXvu48+5q8Y71Yhh2TwKT9pHYnyYODe4koRiLWYQ+EtrP/lmL17XKl1uIp2GRK 2rd803F9vS4501JJpqdJS9feOAvFt1AGrT897DSljL3i4+fXrGyTpmqT5iFaJktXJ2f4egJhdHDh Z1nBeWXKuIKwsf6T0lTF8afJNcRFYHbyc4txqUZ51sxTaGJQFmMz0xbfZqoNicmwxLnU22yb7a6r BlJwNhYSAqiULp7KXjo7Gni61ly9bzeXWkBdECHu6DC0j5mgPZJKIJ6yDW3nYVDKpV5tkSt+XXWO fWZto2S/z1JvZiMfu6Z6Hf6C/NZUUYf0bVMwqatSvg0NlnnyGCUOUd+eCzwAJOFTPCZgyiNk+bPw KHApv4rhZJfuKvyhUk/xWchYeW4m4o1UXsCGT2XE3ofSybCVpPR75fGSo6RSJ5SnohE0gJAgm08d 0+UaGCH/gFcgsneaDukub16nxzwJ8OYM9K0LOscwtj85QpFDd+0Ez7fd/4RcEBZ35HWMJ60l5Rxf kNwdEIiAbh8SE0PqiQiB06sW9X5HNXbsPBhaTFrPLDom3p+KwFNn3QCVf5CAROsiIvkBSj47XT64 bdcRJwRB2O4eUBe2nMx25ed52UqWJVOW82fB8+dcClIFO5um4WZmqdK2/IF6/BxTFJEHxPR/oZF9 /LQTfMTSUVtmkbeIVl/sOAvpeFG/u4xzEONcF62QFWxRIqmNCaXaiNTanPDmooXgGhxY+jqqrnYX S4copAyDs7p3ef0e6p70N3oSTMUT6n3bcyzhqTsddqhmF5hqqOoS8CVjJh9roP3Bytk7aU9mdxDW lBvmSXK2UZsF7Fu/HYks6yvRen2RvX6kX36e/aCieMCSZiRYxpDjjd7yDfFaIJI3jiVawMEbEv3j Z3SBptXod4sz1yZ1KpDbZLOMBIaXsaM2sGiEVkrkimanGWG0BZSVkmro8uOrgMj4qmql3VAkTUB+ WRayi6lHkynt858KB8G0R5VnEHSZ3lfc02MvYrO/vJcrSceKyVRUmTfYCFoFal0raqVprqDPebsq QV0XmqYLUG1YwQZSEWYQjqM/oyckPI3NKg5HeaY1TB5TvIvjeYqa8f98/uz65NB1Myq90JCgvwPs I+XNw90Kivin4ch21HqkLvMUxg7lPtWDsckBSNnk89hSymbe/aWgTpK3ZeJJmnhpoYcdEYOnM7w6 PeXsbBb1O7bH/gDL0QgJZp3WcbKmVTX6sEsRFb11nZyq1z8ICCNI5FkkFKynDiofFmRW+pf7e0rx Dm5nQMB0P1RexEzlooDeDjKCBKo95xiz3t+T7DFe7jQ4l1hWV+RfyrQWQp8Ojcivz3pPkWYzQ7It gE9Lh1hVsox0p5wk5hS/O0+Lp3TSlLQYFQKSR3H/YMcxiCgNlljs/3F4/yyKseF5/jQQ9BVwOd/d MeRVWfmobqldqFE8zEUEg19I2OTw28JN4rtCu/JQ+Tnh6obQrOr06h1zTiru3q2lCKodbKigLFhE afFK1GY9FndcuV3rbRmDcxRDuz6dSVZJKYMTXZcspHSWQnoCRXO5PfLxNRQjcKRoG28rDzkqIu/h 6Yf6EWOQilTZ+ry6KhHabq42ITV/DfnBBg99JAOxk+srNwDexvTWxus7pxXntK4HqgHs9eNrEttf KOjGacaLEsb45d+gc6mKU0vWYE1fKQLYmutDuhVp7c1kI5diySb2EexMvcSAp9Jl1MI3648YAw6n x6a98pf2FJv/39xYyOxuQRw9qUsKRYirwPXdjzF+mlU/KWzFjxPJFmQttp8bVQvSf2cPEE0Baqz1 Uj2TNNdvrymc/prpS7520ZApUz7a1MEgxM8lK2ybkJwOv4qpMMSX5VeJXmhtlfjr2tRolhU5dV+E Erg4HUKCuaQ06sBjkJOTU8R1LmEnDbnQi/XhyHAxdF52ZWcX0BZlcBqxDmwwDklyNW9GxbJvLSPC mMgo3TeDzTxCK+tnImNPQJRSavxb7Rydnl2VBo7AbcAkjcOu0tB9tSlWNSOFvkIcgHzb4UnCqpBb ZnIZbC33g6Zzzhzy2HMq8lXcV3/aZCpW1sStjZy6M07wQg7LCAafaCfiYad9uEOqb0lXAb7Dt4CU GYPtuSqmxq+UswI7RLvG/G0PUkkw1OacJe32VgOWeR0QvKsOvuidLYBxV+PiG04zTMwSE0XO/mp0 t1ReCGPCppblrvC7vI0wpdOTzBQ0INJr6rd5tMurOA7kW7scIVeiHua9YSfxtWQv5DGcGl7XLYXa C0xX8g8IzMcYUYP+BKhQRBnWpjxc6dFpYWYFYx3u/Y6crF+/xweBexBfMKw5sBS0o5B9buq8Eqmc I1zMj6FijYOH1LnpHjtZXWqeFaRpf+tYTxbJf8OI1RIuL5fpTfn3Y3f07FecyevXkZt29CV7IXgf jlG8LCOiqEcPASRD1JxQ+Dkk8Id0kVEKVZsh+TP7XSyI3zXJTiXnrbsMU410/A3Z15GN7HcRK96h JxsJSMks5dywL+QN5qiuYy8UzktcbG4qwa3m2ch85J4czrgfXwd4nHwt75HooJ4A/dd9txO0QWee 4yy5XvtGrRJ3dRZ4XZP8pR8TrsHKk0QH6C1/7nN25AJk7LshGJIM45TLeAtmZ7ILoaSYlSLAShc0 eNj1SQIGfa3F0FGnB/XofGBJaYOO5BMxbVEdOU/I3bzh68sWoIRZaqLKl0xLnJumpXUNwchrpGEC /BEg5BLAN9A1lbVnrLbH42gs9WghmYJ0AnOiVXiAU1I5gUrsgEA/QAvnBiYIklWcxdKqFpYm1gRY hmpBmtf9hvumPGb3e7SUQz2MoCOWcLS+hObecQj/RNWTEMWb8wmsCr4vXQsZwWE52US8BS2j2hYq +NgtazMhThx5fDVetv5OHII4GnqFgxuLXJ/B8kjghGwzwC/mzBQyghF4dZeIOTbGcQrwBzG9MbmU VdC7YZAEBWEl8v2h58FVSJrNF9LGyForDAUCnt+iXsV8H6JEGldTl9l2Oqy5tSWyxaJEf1nSRgoW N+aM5FXzLsvJ5zuIoNKrbLc2UNjRj61UVE7cCuNiKVLQbLGG3VFQiEsSYK2l60PW9SmMFbc7YPYM BF2DgDsJS+EwQoapFEY5PcNCROAkO0Dh5u+UJ8/mD3bZkDUaTJcp/IwKEcad2rJJq5U5p2sfLNjJ vBnxGOfJhB0nIBRZpu7uNkvd3vC3LqFNAwg+B7gUxqsm5jKET1pEHTIUJ2DlNo5f6Ffbjbxd9LU8 /p7XZARaee463yI08Ws92B38nl619JuLeUC3GWrookPqFH9X97G+MfDYi49YI8ZdkToypy02fr2e uo9FvHNkQb7lmDn5/2nFG1ToyPACsOKdNlAcPCRzI54dS+i3AAyVJFymNsNIdEFQ3JerFdavZ/a6 cl0cDwFtYyZOVdkr7XPn6lJsD13t+zaWujOcqXU8+cMz1cs/JNJmrOAaX7bos6WzNGdh6CLY2Th8 O1o9NLtyIkapXbCpvvoLTwL8URY4hiCNgUpx+NGcaArkBjzsr+D5L6wgQ9z2LjxIIHBV3OtxJuIh 3mLMFrpmwWKAa6+yFBbUV2OqeKBux3geGvp+XFdU5yOeGnIoBTSJBs3cU79O2nFOx2Ewyq9k+BeJ E5DjIXu9wAdXRC1QQQxWXJFqx1EvkRHDQTZxH9+UUwy500/2KONbPQW3vUG82+pJ/fPIVHh0hi1F UUk3vhhWV8TTrs9KaiEBezA+ICxnVwz7esxyRS2un24qcKIhZJfqDHIkWA7hRWnd0s1kcTrByBYC cEenOzE2VjRCyywjLcrerm7XWyAP4dIhxc2UfqKIICQW1Ot7cVJdU3JtnYXCtFPvp9aI7AEPjHKw ByGP9BH4/cJu6OH35NgAdBinh+sWG3DC9MKplAXgnkwZAgXgtmX1CKSt7FqbNAFQ7gm0IQlZ+Kc5 ffO+1bjnQpHsJuwFlLgI5uzMwbazpiB97OI+xCRaoa23IeBVGbjI/MNrjMUQ2vqwxu+/lcHwg1Aq wSD1d3Y30JhwEd8imrGukFCIaVOjkhJpkr7bK+RmyNQLiQYzINYw5zTGDoQ/YKTABe7DIR+ROfgT Bk6yQuAWrvoHixL31/kLKhdWTv2cNWFbMIjMj5fDjlluOPBjcZOLhr3oFu3eDlQdjD1kvQeSsIvT +/f7US5ckNgXcZ02WIPAhCHe0hBDJmHCg4HMy7KAR50mBVDdxbozXxnMbhKOlsZfvRnVA1nLRlqU gEct9oMRFuTTlrSbcR30+RXlgtHUAH7d99R9QqEzNE08VOnBhtsYtKm895jqVoS6S7YJw+bPZFS/ VKIqHhtjTOxEtpRdBKrHqqj2Wc38vnVdtVNlJKk+Jp8sylnHFO5/HjROdyRctWjaxE4RER5M+RgR DPCn5f9pqhTn5rAtTPkkwR7G2deHUGfnMrmRaakp3yK7HDO4QCcJ0LturknPIElCTRWwmo9qQiUQ h6OQGK+I7VzPQmvmCi8bwj0q52xZxgUu7PdzsiABmmDJ/YxSGeAkJwwQxXka0JroDsxYRhpMQLhl 8qN3nEVcZ8EPeLxyQSGPBhbWAGb+iH/KQoTSf5uKyKYGMN7Wgcd4dxmF6julLspmrBBVHsJx5ZM9 5KjBLWApSrTyRBwghwqY2tN8FwmxWFmoNDxaX7eI7lNyvGe0LReq1q80tpQPICv97Ou9wuVufj7h ++0y1zIo6lrtmetm0KGFsP1HZKI1Ec/ALPxR55GvORuX2R8oQVNzHL3SZhDL8JpLxRiN+OfRe3l2 ISM7bRqvDWKPVcQwlMjfq8NoesDq7IyzeTvo/EQ/unUM48lA8YX7mKxorY4zinCtvnbQr+HS4Zuy xbUDq6d0r4Gkw5Ct1z+FrlCABV29gVZiN4XbKwqENrdh6lgOz1/DE1A5mGVEpLzVK7IFnsM6Xbhk VAAokECq4lI7n6zQwchJTQqSroyhp7DaQM535uo9PMAvLBCBHrepYr+PUIMCSOhyZRYSrNCXWQg4 QSHjskaAL7da03jI7+dYNFX027qOrveLhxAyMNCqMGu8w5+9EJWK9P9pbTwWfoi8YfTYZ7h9le8h S9C5gAvOsyS4ald8m5yDC7g+W99xC4RDwU3JUrIJWSWi6kfzOerxnj9Qn3we+kZLU/NHm4r4fn+A +t8x486DygOUc57+dwDmyx76PGPok5RRIevFcijwHg3tDaEHBdutk4LrRCYagFNJr+NRxcCFTKX9 DiDePjDc/KyUw8jctYyjkVJ/kemTu7WtJGUmReyW3alrUhnGNBHSmnB79sTo2y+1rja4cjKkhKN8 bvb9bx/pYFT7CH0GpMpX/ExmMcfV59Bl+pOU31mqf/K7VZ3T9YuKR0bnMOc1/Y1hE5w5fOY5i6/L fLOS4WXIO5A4QNQb49HR0m0TD9fvXN22di4+SlVHwBs3efO6qT7FTZlSmRUH6B0b3fEXTlaGsy1/ sQCC9hqUyxjUAymrNJOmRe4P4PCIB1tsHXjpuY2gXq15VgNiCH/G1PKB5areRQWNX/+nq8BapoeF /tccTQTg+wIIX9j8F1mHGOvc/LuFT95IbmbcVXzqCicm1KFlg40xjaiA5RUkl5GMGM3Yguj4ki0f A0wOxMl/cc1E5bPrY+qxn21dEl9YI61q1r43TJWYu4mH7zNCqUYl6J3L0qwPU57bz5dZSFyEYOQn FdC5ZiYH/cm/FF80IyJFUmvAenJ1bgZz7ifHK6rpNnrsc00StBECmKJeUW1Zq4gzO4IT6v3Yx+5t odo4at1Pm1xGzH9H1nQa/kcEbtsT5bG97Vl2jfVdDwM/8czHqx6Sb9T0Xt5ay5NcJT4AzVd5yQWJ x2bBEyiDsvN5XcSYHAoW+5CFMLc1fPNChi00Kz9h7sXTYF0VCnQfOdiYgYte1ifY7CG5p69Ge2cp w7M0SOgPZE00vj9vERhd/lZXSJ0jGK+YkGQsoEg9IF3OYTRcGbwet4Q2ycCIZRdYt/4VScihXUik qQ0YcTlVUgQoX3alKLnVFc3aoE9iQ9wZeJngYvtMXqeUqaZHmaDAU7OvN5F1GtXUO13WMqrRkmU/ HbqUCHe2jAFA+IUHW0b3ueVf2g06oUxV5+OQGLxMQvBg+Sst7WVjBbFEtn5sQbEeMFa2sL/saUCm J1yo3NAiPZRG7YaF05wVg11XizY/Uk+7xu9bORX9lG1ROwnRNRcP4dIZbzNX8SnaYNur102ta9l/ 8jTotVCpB4DgTkPIZSDD3akIXAa8fuioZF+nu5nEM9LrQA+KKmfGCi5VkcUvYxbDA67XqT7q1gBN 2/G5CecvLUZSRvpEv9EdN/fu4xIblrCI1iYUaxBiNkRRiHbhZqUiqLd0k4TkkGmDfGcj4cGJF/Aa /HdabR82zaPsJDN0ADC7iw5ZZsntE3yq6iE1MZ8zwzo3CpaV03KOj1YR/wkdoXx27FzljbEpUpaN YdLrK8wrBhIy5eNJbSeU8FmqKfqzAHdWgzuD6C600+83MT961si7UscNHH6ubvV/rNdv/0Lmtd0W LNxc1Ptzhzm8sCEQOg1IDVk91jS6YVQut7l4RozKuEDxS3EximVE8Nm6zK0GKmh+qLYlkJJGXQ/z kbtyAfr3TGjWgB4MjCT5cKJh7XyTAamaxS4j5iizrdpV5MMJE9nJR+Eyd9vWbyBCeQvp2FyS0MOn fDMOf/lBfcTANfytrS++fHGU2iy2wTIcW2NfA4uGGmfNzRqoltzcNYUqciSiOOclRt6i8OpIrEkA edvX3gbdyAaZMaFNHTIK+M8Q9oVlkh00bGSJTfV360lLdEFpqN/pexuizkx4/JYBupvo3Tjgs0vT /0DzER4uLaF/a3ClvvSZG97w8ky8T79/P1n6sLtPh9gj478/8gJvCC6jcf9vHiNkCKXvheqPLwJj aoN3qHF+ZoJFE4gzZQlkSozuok09Q8QguFHM5Fu0oVuvoKTbYCOjB85ppngcPG7zPtxfphtg8kyl aXvRrD3fwhN4RvjlkJu6U3DeFFFbG5GtGv8eJMl1RZ+KQwmQJSYzxAPYY4VnXnn/QuPp2NOnUfqw +bdqbN5VzEjqHNVBFSg6ENq8gEupfY5wWei1TrY9+VDWTTdqspneBVfCVJXK4kjJUpvYs5QP8qBB cKTYIX6JSts6pY0vVQkCTLnOIJVY8EJPG7MCZGSwPFDkX/2I5ZjubZAqm8OI2Zu9ywEmnGQm1kEt gouVzEXCjhBAF3QasHZ5JFJilXSJiDi+TwEDKS9nmm1hj9Eul1v+4k0JTozkKASgV+wnscgcD3PC F2I4gNoMJQrEdZ5I4gmEs3iDJACd4yKpeouUFdvWGvj6mKOjV24VldMuoVT8nhssO93SV52Fs69F eivCH/6ij+UF9E933cC8N7Qeiodq59B3GroU/MGFF+EU67KBOTHNzNPsdpa6Eu6LMpkNoM1M6Iu0 7gIomvinoz10It93fyK3qtXZ4bt031Jy3f64kWKXfI1Kup+lczSlmUSwXzgXL7bmdjPJ/EaGe5Hf OE0Kx//5O1+B4GDqr6sQLKDiQOaOZ5BsI4oU2sbe8XI1rDrADi8GpdLBJ6ua1TRaG1VfdViFY6bk VLxf0IBm6OcZQkSuphRP7XMK6uWZ4jt1GD+fTyZRg++10UhZ3L9yXR4ifVR4TGtUn6JvqZrt6iCb 7Jof0A4j+guQPdppLegybvn0cjZYCvM+epe4haMANPi87YaUOqHq1JoYmcczTTufkFf2ScZ6MzLP KfBNsvbpHLoKX9upqPn8sgTZ03VMay2MFkc6ErWFefmeSOSqsKb/t/TR0ycsd9zWydH/WivNptGk 06eNUxHqEV1SGVSErmcxbs3wyauE5/DBCYnEVPn/mVJkPp56ig0NjCva+LJYBhRgq33WOFKhN/JF JcDbR3HaVBgQIc3kwjnOAzH2GLMpuNnIJSbD5jhBfgup2pRrxfdsCVSDqATuFSIcE4GhYyUsKsLm hx4ZMNayQVHmy3ZcQ5STl5P3vM2hyqan0Vn0m0anAyF7YqlpRsv+TkBq8udIisP8Eo34nM9D5JvG ij9OvOfeGizeah8AnFEZYCAk02nievfNVwSUQZGRb5yYWnKWkr1P0OXWqGdksqLwkMkaHwdL1MDv tgI2tOoQIYZ8VrwcX6kWnyieDlJUTNYm/XFxVkXtwKQNsyipToV8uaZWeYz6DXkOmODShA2irD1e 6voTLmDbqevhcHRcSW1lviF+TgEuO1bz067oK5krurWGaGeUjPVwE9sQB2mJeNxM1VrNwI3v7iPF jWyxB3VpmaNpzTUleZULeuM2KP1m9VtYCxJG86X8GBRqJExfn7ohtlqzu2s30WqyPjVX2lwf+yPJ rMtMcvydbBTmk2OpaiCZoSyMD75AmphN2q7e0E1NayLe3g3Dg4iYokCpirHcidycIIqbZyMxjSgA ecbExwaIoPd4jADRJKGRNBBxdm+Gkm24NSWoMbB/J8IE5CkXIRbcHp7RaEUPhD/YdAEs6orE0VRA X88hSGrk/aABjYmDgVOstnWpO2kVpXqpnlWB21yGIN3wyViinE3X/nAPvEcLRNR4rJ0Es2xyMHAn N8ZpeD1dQEToom84zbg6CpWLCsypRhE0UtUsac2azZyr6kObiQTXqkuUOtX2+D46sXHx1UnSj2+Q df3IOivzWoWrdGs74cK1oI8tgWYIWAwaI7Uws6HmQdz1pvGDI5e2nu3qLYLG5sbcVtVkWyntKRrZ xm3o8Av9YC1UEasQ4lx+SNHDac95FNcfWToM7zmzEQOFgsBkOceZeTBxr2tfJpItsijzW+GQa+KG Gyrw/NOVdGyp4V7buFIfbdVYFF5BMjWbSFdm+T6Zo8R8VPs5p+u8UWYOGVSZcVfiu+b3EWjNnxyz NMd+M0VbYvSOs9AoPTbPhOr8zIrE+fFcgxJdxHjFjL2MV6G16e6fiQycOR1sNLSBGtMfhpPqjuNC n04uPC6ylbOWfkrtrS7fs+D32981rktIpbjrznT/dQDLASO9uFgxwtusBRvpDUZfgC4DDPxZuy2Q VbbcAnDf/PiOhtjkDS4/MFSt+JNao5kQLCebpv/2OCqnF8m0BIQg6yF4ntM0ybzudxBuWu1HMdTV xGNOlMkblkA6+q3AGsboBWhuz9/sQ0CBInFp24hMU/NZUUwl0jE0e3TjsI/gjmieK3IE6AcvDqwb l2qpRlXbDaCKXV/8UavhwuMtmCvhMlbWzFdVwfQF7kpp/JPa5YxAh2Yj+Ad5NsnHTwWJaYkcbP8d 9or2Vd4j/dlK8MmSvc8tVufd8GDiZlNmEgzLq/qIovGV7qxZIUFwFPHUfMooGy5VLMely4cP2YBx arjJJn+KSkzmE4QTgQz3wBCRj2LsZcYcoLs9NteEr8VcmXp5e9nLwYDqipeaL0GMIbrY8WEj1pS/ wR3MoLkSiN5sGKB+hQ5Y/3f06hz47DSq9K9DJOLUoaPxLf+BsZxI9R6C5RZoJmbWyQcDqjfzciEP GDAJGt7wn0Y08sQrlF2VkL5XjB5OSvqzBi83Cu0zQV2M7EeNEVZRpotdJDX0QFUgCRmTVZou0efr Vf94OaX6FQVRRpOHH9JGL0klPI5MEwyaYGs39WC1gvpjdJCaEVcu8D1ZqT/efujN+SOFSzgSOx44 bfoPZ7ElIt6NZ+P3XYJi2KYbmLG3G3C9MoPv45wQRUfGWKSuu4ssPn3BLG9TWcrIKQviZHJXHv4P ONzVA+Kf5eilSVpLs/zXmimtFanwlVnwWYTM395m/Ka/0UqLbA7NG0M0quoJhtuOa2ajQwHPv36z vT4NZENbukLMZe8nRoD4JZBoVLAAob5PinXLLK29ynghvZ2xrSK4Q6V/36qhZbhUFxGByuQlJOZa vZETxirR7x38lGLoOVnlUVw634640TbXCHnPXDnAx7efSgfoTVCUpKKN6kCGA+KgKH6nWpzVul4A 575LPMVDDdVTuC10VkUEfbHxu/V0ZZKNSVYvJ6yx6eIOFPAMFBrtWtB9DovFYxYFeSoKh9ztp+sh LhvC29wTjlSTlncO5QmzNOrOg2OiuhBSf/Anuy4XFoWMYk5CTSDPZDWb13FV9gOcfUG+LpHSAtwc 8DlQtXmgYRG+SooIwkBAVNLqiob4aunp3cNFdBcxuTlknjl+sJXeZpOSe7mun2ulDLPv5I6zYoqB HdOlujlbNNYt19mYj2urg8IkRGEQ7D0vtzHsf0JSFOg+mE/+lGiCQ4Qp7avgDKk8zgt/8q9HL6Uo LRAHdLisx03BxWbid1990sRdZ1zJ9JoqGX6EwIPygGZ9cVlgaMumQiHawqAAXsPEMtYgtW0GGrP3 j2XLsXf/4VpclzvZaeEPsWqucik6/oWHaRT9EQZzrksKAq8XSPPt7T1OvQNKOlUFOspbQGAREU78 +jC+2LmgGFnMquGLNCpdsGjll86/iQTbmHBO/gvpak74nuABtqKLFogbmaE4iDa+/DvIRiDIU2R4 9FejqYdJnlBtr3xLU6Dv2YEVhhpC/g1evgdpJsjklEUMBAyX+x0kK0eYLlTPRjjGsTCncrquAZqX MuH98eLvFhDgCRtyO3ETuGI34dnkSf5kj91bWsaJMn4TGT4Uy+5Tnsx6ctspp8f6ClGODCS8L0S2 RBteT9tlWxJXH5aCGyBpN7EGZusVphVFv2m8CW6ZpR+wjYdD4lEtgTDYpQDt7QkEe7h5CYkSWCFG gHJ5DCIub/iOlicE774GytNWG6t2J4T+g/CZ4d3QzgbdtWT6JEWugn1q5DbG6y5x9iuPMXeXSqNw SYfuKE2ZOSbOOGeAmrisdHkFOwpsAJXVivmEn+6qu+VPKR6mm3CSIqfN9jmNA9Ewy2vyAYCAT7F3 EKim8+ASqT3dFKA0j3KnnzTsAggHUf2RMujP7SxjPAVltm/qexy10MXoeIP1ByxlwbPO+HiAnV0R VgX2Dbk4Sguame33pYUSflsyxIt7E+mBqbPDQkJQydvn9CEMItm8cnOCGOc8eDC2rz7PFiq/J+7S sq+gOjRlJfNs5rk8Lcjwk2e66ayAGkW04+cpbK71Uu2kwrJ1xWT7Rx9E/5QGr5hPvkRwUvucM0wr uhKtXtrTasCPMTSkpTOHWVApy1c9sl16LqjZZZ2vffttznDKIy0athyveXqHRPEyZskq0kLzoJtI ZZPMAZA8VvXcZJeU/TuwZvDU3T2tDwABLTL80jgprdrV8IUs1oQComN/3aNQfOuFHOfskI/RCBQO p8W7LdnF5NoGVTpky143pYCfKtLplPIHFIHSkWWD4KqdO9yRmxxsuoNvla7ISO5qRQP9e/Wcm83x QJLnAHbRhKB7nuoaOelkWAgIxqYTiFjIYYv8vbxC5Q5NU5THV57uj644vg21nWkKp8riWS2QGYu7 ++l2Pm572M7XcMrfyMBSrPsT62JRnBs9iDWTLqoHSp1+mTXxkP1F5OC40JsdobMLpXL9WPtAYtGu LaibMATzHcciYaL9zlzH+d1r7fgN6jYZoZVMOroYxcwac1aOc13yarbhdDqw08LBypDFMHMCnAZG EuqcnY1lZY43viWtYWludTRkm95+K0LZrMLnMGL+TEYbzuytqaKmXl27SHdX/I4shAzWLTukU/Aw NJ+zW/k0O+DulAoBgMr+E09BV5HdD4L23xozas446pvMqNj+wau+lIos10RHSOdyh9KtE1DGNysU uZyQJh2a/5XuuaSjwluQTsWn6HPBvZWmXANtbiqTUFKtGwn8Ju8dFBXQUwNC5G/fl9IikrflpUVi GUyFceKIn2Mze23sCgxRMNC8gTNhr6AhF+xHWnbogE25FQ/Tj8TUqsnTf++/Iy1+XlCFBF/TCXfg nCpBY7BozLDhgB2CWGBn2LEiiXWgImD0cpeb+wxCd8oZSBLAJ7U2wbinUWf3Mb1PzS/1GBBJU7LN 5LvwTBK2PQUJPduYNXGPtPxS13/ix/tldhBvaVQ7/zwNfypflhNDT2ILQ/+u67MRNRiyRNPgcukM j0H5Y2FkEudaTVxyjGok9H5fp7HcWaCgL06aGbxlPxMVwsOs3OknEE6n8xjM9UqJmzvYHGXeMjwJ zjeOATN+ChNxqhCcRLEhDoSuNUHckcm+hT6MdWzG9d/ZZgv8gAgE14tnsFF2vHHNn3chElkCK94Y grmdBgAQKagiloVELvWrC50SMmca4KCpoBmFQyjN7GkRqzVutqtepjKyysaSk6AECvyTmwdK8L9y iDUnwTcsUavtf5bh00GCTzWZdzx/F3X3VRsnbiClFsve2BhAI//AZEwxGInMDvDi0E4ViEort1L/ euC/k2co2gPK3rGTEHW2+/5TVJjT25NNw+SDJAgV9YwsA6mZxzIw4Ki4ZqnlIfg3/CoYlfeGAtNK DsLljPbOWT/SR5GkOeXO9wO1ZxBDtAgN86dJj0Sl0bPxRtGclCkqM3X8adIAgIs2BrX6z+sbt3Uq rx4PGeSPyMJnu0tYgOCxuURJ/9Vo/XcIke8DiVwO8zyyg4BBE5mVQ7137Jx9juQ6+GkQwqhFzpiO yOl7oyKhPESbz5zxn40v1BZwtzCwalPdyb4aY3ExEoaaPywd+LdJ/5l435JKgx1fZ0ZXrahpAz7f GXlgqV8GQDZbm7vMlQyskCZp3idMgJGwr6M7WsfrTvVwx9eJCfcT/LaURQYDu0kxindR/jjS2n/l RMCX2XUIlqG9hZ6470QK1895S8WBsk1lgbMctHcz7SDvyUvb0PBSQZJTydKueJoZHHGiKrsr8qM2 Lwq2X+gmMKi3oQE3fSogiLDs5iVJH1kEPAumX8/3nckS3IWY7P1TbRiXOz3bnSk1Z/6QS2TY6Kyh NUC8ZDZREuWxIcVBgv2PwoBKt5Z32+K28/rPzq8dMlMVajjm7M8mLeAUQIr08uepIw0W3QO4Z+ZS 7LbskUHA1vHCbgXIOVZePYzFYor+suMNPOlBRACEHFzIIG7Z3/2DvZL3PbIYKjMoSki7daw86ibH Y+QZagyMAHizlxwJ6fIuTHmYcW1Rcm5jOleMuoycHfHO6BZq5SaWzE73w8x/SH1vr7tl2B8yFHTF H+4WfI9w9ebA6vghJUyJlpzizyWnUbRp8rJpx2c5usb5ODDHAmQaRnY+TR3VOdbWzz1FgNAtwdie kovysTgfn3WaJ2+9keLeHpanZhp/wpGoc7oDg/MF/3kf2cgSLtHwDCay1UhTwLxTgoNCfkU60lWs UDQ93IOoarNPN1J69C2r6rGMonLXn8paROzgN9BkCk5CLCJ4h8dX6cajyFzssRASw8cA9eyqs9gx xNPSfNTxgB1o6ZZQG+izfLT7cXohVd3g+2c7QXzdBs8RoVUfdxOlfXApPahr5CyqwfOzL+XKG1Ry f3iIoNp9aBEpbabJ2VK7BVjqoNU8tXqf1u9POU5F6aDHpoiyUFrzv5szEz+U4/LP2qM+uujKdrM4 mvllobUELjGTb28ERuTICKZHclu94QN7Ji31wJAJNSDgreceMzQ28N1g8ut00WG3TwYbREVQ0MGo XvVaw3pAOsNzOakwfklKCI4Gq1fZ7KHIDEZvwMJJa9tXACFIP+U5mODE+qEzTKuFsPv6GfciHl2l rxcGlx+ekOIt4gtzCGhQp2OPh7e1JniILlB05TIcurosWWj24+Fw76tpOTa/+K0P8QDe+mtdhnuS bDT2gBIii/KBbo+UZ6b71GS+cQkd1CZ5ZV1Z9O2H3/OVbNoKp/khPljGl/A1J8x1E1pNzBW5mHGl SEKPOnlUer3HDoZ+fWQbBTCMRgb8dKaR57tuLXcKs/Kdo+EMeOj1E408me+NsCjxSwXgPQ7HTUx6 ZHST0ivZZoeOJ04HIYRpkgpj+RHKITxu/FJ08tciXawLf2CQryluEeozWGwn0kGx9FAa/V9cjzzg CB4rl+Pf+ChN8Wm2w8rzUzd/W7nrblJFdqD2wdTw9vOWgEMk//Jlomy/T89CKKOCc6/AHdPHBpUp 7bfi7BMeA8QtvWM/t8w1j0i3gpcTewvB4ITCANG91opvQhRPQ8Syeb3W+FskVDNynFX1DulAVWnu 67FxO2Dtn94iMBQ+owT6tqfydtagaA7M3XSCFo8d5nKmY78xP6mESXo3OBgta6n/QmvOpeV+X6bP mwgGTVoDuVG9TPikyP5bUyiyKd64rKsxZWm3JGB4dweCjqPhPzEwscStJb/uYHIzrdlPt6FDu2bu wgW807GWz0qDeh0EPIsBCHBkWnaQUyGp7zSSi+y0nbvmuAL6CRDiaw0jWkwK7T5P//MhUU4lwXGR K2bq+Zvzo/sOP95kTxk/iQFzY3VtjKjQdG+Drxe2E8VWH2BBS7N7yOxiuWbGfZ35n5v8YWsXmZUY 8VAj+xUjheO0MFXzYwBgnn/ee1iz+/JVJSUweo7xYLo0X3faxB7IIKbq1wFUlklg1XnQ6FMc5RAL j/xucvU2g7Ptq66iYiT/je8NMnl/4rZY8C2sLw/6178JgQFym62Ro+3iGkxK1oRbF/lqy2h9mCBa D/eJRiOgRhas/4SvC22Kt+zf5a5+ziUeHhZupXlLxdBYkJCT04zfjfGTHKO9YrbZAfGy3dGPcI+E AS1gx8U8Wuece/mVeDOIi+bnqx7MfqnJt6gw553/vC2GpDEMbxP4+PC49wqaPqn5NmJ1HiEzbrFh 94k7pcrFB3KJMSiJgqF/VW/+BSKZWAu/yT+E+J+ilsiY/ef3Jvcdgp+ZOPSwEQtu95uB9+fAIoA7 Q6gP07CbztAhQuQW2jnYFMp+y7q5RjbWFpF7G+Rg1dAmYMcNqhWCoVFKIQOp8JRDD3BH6KdbY8PM svSfb6rcJSkYf1IqJdBKjNWg1DCM9nZtqQ35+YwOLwpAvyb35s/BuD4TWkDLML86NG0Lfmu4tK8P 0L/LFPMTGXdd7EhgMBWJxJIdC1YMF2e+P90nE843CUt2TZpAq21a1urLNsRTvvWsPAZm5zwnf/xD bNHKxFiOkP/z46UBTGULBJESo3nhEUb9PUTnw7FabfN0r5uMxRrPePl8RuzKnnBgfUGVp/N/2ObF noHVleaBi2vizLe44vZcQ/kMo+GsLX/dii1Af8/yHu5SF94cArfAUuW+JnVTfHf2nYhJIUuveLcN MtdbY7qjMfS6Bswgua2huUyqr3ylzjezAn6ZmqZxCoJBB59iiFk3Cyac9Y0pHxHMDi/4wtzxxJgv U3c3Nu/jPd+UKCVnsN5X/iEO2Nf3uZRAiBPUwYNHfTOX9bP/j2XJmKKRnC3l3evAnUdOeClx8SbC JLpNqNgbjov+ADEiyzKkOUz5CW5SQGBRLRj2aDUc3J3c2N/nMmi8bhBCqDvbuapsKqz2s5g80ygk aDvtpWveJV75KINpjsH8uWepXtrVLM4CiFD95UkqPy2sVFReqoWnWCRGdFlGlo+fifydU8PPSkL/ JDGHxT4ROSjZtSxvIhz9SsXz/xUNn+UmV4U8Pea0K/PxAOriFPwk+0Dg4XUqd5GFHOWPjRHgQysh Lnp0IDUvOB9cZv+hNGq21q0sNKKlo+Fw4OXx/NzBF1f8Eq7pZ/duFv+WUs9EIQH9QfFdJI967dBB ugNYn3LoDhlINQBqsx5Pja+gMNpLFm+bn5JwE08OWWkTwArgWIj8oZfJju6dEnqGymzr8hCxLCPR MGmPreszWpbjAtzhEfIOMnAOtAQ+XqqCs35xfO72tICQHtrdh/v+j/S1017h45llF+kXam/6rm1X 3WXNiOAlbzd3jbFQP+phyBEMlxwYuO99TJKaf2hBYvPBoxSoRCzq0NC+udbL/Dh9iNLVDwj3y4i5 QMH3KIO/K4mGev0BDzyFRmLjBD2eITzCw4sIuUUtXVb3poVnl0lNuEXiq2Qy0lCon97sqWAJcpl6 tsPmWc9k1F+5FAm0zbi5YCylhunpIJmaEo7rS50VeB2RBEdtYGiW/elgcOWkzvCtizDW4N7E4lle IV7YaVD3ESk1JH5/oEiGlxTNFhQXPovIu5FczVmq4w7qK4MIncAliP4HinfPf6NJRMIgKESArWMT QLb0QSUPqnNSHwHYBvjaoSRVxLTr8Gb/qOgufc8UDzG1zCqAbNnH8oFJFFNCO5lX+FF2kuLSFB8N 0qqcMqIOODqRZcvjW3i5JamlF1Tj68yKT4rC349arbNUTcFev81XMOgB/mk/TZKe3yG1VyuCs4N4 YaMBZtnw1kofI90JGQPlxLrAXqJ5jkgElUpv0RJzq2iJKyrmzFCOpMxc8utxmiB+FXcTI79ObTS9 W2JA9cueDM6EBzEHb8C7AZ/Cr41nZdL5FaaECJTuG/+HuC+cGsl/s1zLb5O60Ki4jUzLQ24wOekS 53AeP3wNTKCvj9wYHvI34Q/X1kvhNyOXxiqVuyQb89pY/o0yVqI72aXERag7q85wrGhCsIdpGl+8 eUUDiLl4x5kE47//VGdZFwY6cNKRRIlay0EcTy51I9bUUeghcdiIrb3CeZUuFArNdsMG3cVek6U3 O+fb0R4AwJtpumpho7EBqgILN2di5ekb22qNzBNXOy5h+g5N8RsL5vOVylQ2b7IjgAsjNSWzEryV VarztBdX2IpTPLyuIUyc3NxQJoponzb0Ymjp6r2HTUBsyiB2gmavaWJ6zukBjBNskEVWpX0+Vws5 GzrDTU05J85rGebyC6il/8reADdY1dFcxigGBPjc9u1XPJsNX1djXLUwPkuc8grULX5x35zBlkHF OHA+g8ScX8kOCNABp9yTi+LQZ6/vg2tvkHGgcPYTU6YkEHQLu7nMUucv3PemcR04bBN/aAQDm7lY Ek9RZKZGalnmlff2UYZAdZ55RNsqYsuG4Ws0eLQvmVECBAC1oRAmyboFn2N8tcHscq4bZxm/SlFV WY1Qv15k1FJzzL4DLJpWygOuySR+dHgfKhuE6kUeUBI6s7wRp0+IyE4G8+XqU534WWkJuO9ZAmus R4+nnfW2o58TLf9puCCY8lyJNNDFYUtEDnYfFKsNUJaMFy55jgs+4oYz9Jh/9Cf2ajOKv8k9EDML siZzZKrI6+gW7sGf+SxhIEc/IvvwI1spbJi0D11jgw1Flbpq53cA9TQVdCM7Y+CXRAYAtUD+1+Fa QsLPMLrf/oBN4MCQPrAOLO4DTs2Kw6wy6lcEgjIWDDS8a/IEulWDADU0UN3eZAXSd8gN+P3cMYbe fJEZI08XgSV+isqkICBkKUDE4TeOSdOCWoK1oc5Fl9wGA/XCWabTBxbxq+TIEOoECQyZezFWBO0X ywSqLR764z0Vg7437fDAY0EwNA0/fQhAWAn8qILdlGMhlGYWpnznMn4nt8HBv9DZxjQSFTINAMbj G39WbptJF2NjD4VCrsVD3xt2v8x8Q79rCBI70Z/nvB79I6Ntik45z0CEUrBkXNU5J7RSFu+wyzvH S3d+nHBzOEQe26yLmgodvBrkLsc+J3MbBa/OVUy6hQRadCzbtya+TuSiB0lEalgIsYW6K4bFtfpP JjKe9nVr79+u7Zsy3SBGYD+XG0Rdt5QhryNCSdBsJwoVr5wSyiXjw5xIgkMpnK4PGyARfrIAu6+C nyJT/DVtciZn+qAjhvnR0zBwHgQBrl2IHyLZgeYtyuDYRg7BaanHBoqq/+pmmvqf5+UmocDoLK2g 5J6xa/c2SEAQYQ9cUwixtDBgCYwvJHrXMqwXlyI4pFxH0NnY4wvb51PTyDl0es34XHzEyjHRwh89 2Wen/h3OzNgldo1XlnRM4VCf1NQBrOisVaFNYXiIMfKqElPiCoRtPkIk7G9W8j4eZwtpB7OLU3R1 FrlnBKylwnnbsz3pAfoKXuinnox8Ipn2Z8nuxCPgIESoFjE6GUPr8Tw1LwzcesjGCId9HE6P9ol1 0G91fAwpje9eZX3mjJrBQfc0iyFnDd7teAniCocQ/fxqII+UzBwgiCyzMRZHiqbY3voHb7n339tX 5ndFizrzn3e1S94pftx+q6hgRz/6v/aL82YF09YVLX2Ql7e8JcS7Ni2kqkVaW/i846Y3quApFaNB mzdCVA/yw0Qx6Wl/yDtwOikb9kfhcGiw0kloN70qEDzcjsuOkwypmEeaWuKvtrQEgHtdIKqCPevh 4EXoK9R82IMjt6xwT0S+TiJJFzr//57wrZMcG3SpKkcVLv0YbOY9sn/w0A+x0SBd/ViWoLU0roc+ /tv71U/Dlo/lwSYiPPQteVflhwp9wk5mhh1vvAPpM3n23RxGqHHhRaVEQqU3oty3WU55UhSRzpa9 Nwzk7n0tbs+tAwXbH4yEFPuzRhF+pTCVLtr/UaY/g3rRQgcN6txXUSKYJ2v9sNQQrfe2wH633Rpk CbG6vBPQkIKwxOrZeYsdtuD48GCmYFSGCPjiGgJyVWyYxWt3edK/K5BhfN4ksnSvfuqf3reN9kkN fpPIHL58yE4jfiv1vYGi8nyyxFEL2TYemvB8DsinCnqoJtbHBI34JSptQ+A6LIAR2u5HVLQVnDZV n3epTKglQ0GQFiqiI4r1d3KQ1fwNntKwRQGX0S092s5qA5CoEE2a4jhGFDy2Z+V2hPO/Ut61khjR sDUdwxmKA6JNWomdxaixbPXZhyVm3/rWXs5jDMyWdIA7mBf9H4/LBeP0fnRYpFNR6+6ckKLHGJ0k U4VQ7Jy7D9tZo9Xy+tA/DPwDW0OoUom16TmFKjYG2oD4wDfi54DEbF2Y3Se/2/k3gLJKsdpivmcA iNCysC6gWjE8tJjzK4s7wGbabI5D39TLW2KxD/e0zU3RuBc+6+nDkBzVBUnTWOWtpPYjAdPTV/kE cDIGBED6NeQcyXmCU+eutg5xuwzOBM8ed90B7c5NXaKfEQkXCWwgSNSc49MT5gklO3CarYqnV4IG mZ9kBvK/ye5pg5FR+0wVOVK43dOT7LMbUrzo/jORUxtEG3WQjJm+6ngGj9lwYkhqb7nnbCTy3w6g j5A2ziVoquxdAU8cj0ufSRbaCDQYoei0Yqx5ITmub4dE9Fbgd46d/buhsZg0miSrG3Xv/lWKKfDf 4CkuwoSTCGz+JaENC17zhA/yLgDK4RE7pIEA+fCO68Zk8lccBAy6rWyA+SH/3OMPFReS4IrW5INi O6MFuwMgCFl/rIxEnwfcD35uDyGjuEzbMv3FYgEqF8zJjoHV9lTBWJOryjzDd4l8ywIroNCsErP/ bkjl9yDnpeTy5wlNf8hXmBrDbdYhdp/oKdSDzsuFUCexXVLTk3h6AOQ7MAXRXEVyFyIaLpbASdLd ESi0Aph6cVImHKupGevMjxgxU83tx5MvtrASUcnm78oc1CroaouT+Ya+h+aglI0odMQnOMbXNbYN o7j+kHTqYzAbS2/u90bs2QnzDIGTSXuFY71oG8d5cEuf7+6qS9qUKINGjat4xPw6B7o/kGCUna/k e45+1OCiCb3zb2Ps+XUTl3uevdnTF8ccv1Wu+P+XI5gTKON36eEDr0zcXz6CeT5PJSIuy+PI9HJ2 QSyzE5DGRU849f8N+5CfOyxivJ7GzcygAEPFOQX+ydF/rvk1MqyNZRnOPA8Ddf62HALsB8QSgmMi FyuFh/Tj1KwAiIykN2qgmu63uyCfou1YA2IPTBngdStUbgaz7gU3mzgzQuMIp2SvMmRwtBONBwRH 4/yrqTfS+XRCIXUCrJtAHjTR1kf+ux+hxTXdTyU0WKQ0c7Wl2BUCMxxO1jyfCYTHbYjgVfyz3ZW8 vNBsgGpU0geTKYYRq9iIZg0LXkOi0UgGE86w4p0SiRmw3U1/v3BXtYdkP2SFsVmQT2VILeYN/DNG 6MfSQlzVubrRxyChaSMGT7dpAODVOJAdAPoESFGHH5OgmMFiTWPCNaeWUtrbVkqBZOOT+7Prvu3Q bl+vAQD3qcsD7pB1VUjv4MAj50JU2zKr+y6jbX9WiqjikHItTblswCQOEtwomdjFgixnHyD5sV9N r3yfXRnXLVya4jJFx4lhSMuaa0r3iYq1eeBR4dA8HX/PzyJkjBZbj2kDKgiAJwIypJVgMXAg5eny +ZhJQbBKJIPIVLXLNFo+P/0dlutb2UtY/o6sN5V2QRdFqDQyABFVSE6SeO6v/jsGLGrAHqbcvyhi KDQI3jgZGLvUDr8sUuNrN3omdGmMkKINF1PLJbtQ8qngqqUotK/bbgdRu8uTYAAeY8CVu48iGic4 BWi2U+V/GbpDScWkUDPeiTjiP/yzcMpTWcbGzdaNklJe6AXRoiTh+3TbNNKOQLRTDVFsdPoyVrmH bBWc1wZbm5b4ruo0pFbfhk8ngKtmqiP8SSdi/jcqxv5ewmRSoAxvNiVC19OMaMg+GY6TE1x/rR/D X7Vc59zzKJVTw533MbdBu2qNkXKtJz55rQsRucAyvAt0TH1mdkmENplsxfhQ4PuqroDOULUKANTS kk98eXHb7ATGJJzf0ykwUQOIwZ9Ik3T1CD97u8wYdcA3gaGfhgwmYe896sQwTbGd2fJ8qrlszL8n PRDr1Xsyu27mdD+PaKHkpSsIDFlMyNc5BPkGGXz7pERvmJ+ITTrv6AyJZhohN6VaDDTAhx3v8qea hEmqIK+akOzeX80dHjlJn2lhPvF4NA2eMpNjlLQQmSWbCKonYhfSqyVXmRT6WQaCaD3gXAcdfIJM uTiirReZsfTOjqUxRp6PCH40BJGUhVyq+A3uM9I/KAW98OEgcL7UjKqLVTv1YaGqIMAH+n9qSJgX Pi8tsCj7wrDUulIRUUJO5wwoPvw9m0znYr2iLKXXNPxfeEg8Wg5LPM4qxKMqO3qKvfQ28SGGn71y ZwNwQEnO4ILaX/GKitj6XHMH0/oJktprYUOWo+ezI8TNgtRB51FFGGb+OF5vVEh8izQmjmmmO9iC cWRDRh8nN920rYNOhYIj+1K6QLSWGEiaXWFSF5XuLGDylUxLKiGuTFzFQTN5aPXYdKmtWw3Zn+ay 0HrfCuFRCIDIR47wK2izCwp3WniCrpneOfaHsOJ4kitp90Gv6YfksrgrJpE+bF5ZRSyvSkkDy0BO jfuI5+ZVP975OUZnlaq2vOVMHpLm7f+N2eKhhMQcG8Lavk6NIMEUnhcbNJ0SB8cSiw4r5HaX7kMd qQ0GiSUbI9/dRyXuu/NxsqAZDAphp3u3nghDZN3MsSmlAyHhL0bOnf/jQN3mZx3LaZDqe8HDY73i YypPp7nedylfHcnChylTbosUkE+1Sh1hPl2fnzvWsqGWwxue2tSXipOij5t14ww26HlGoPS3HWNs OC2zdmGyZEsQdHGnNATKy0P8Y6u8vjupdee9qoEeqAJPMwlWBBHQv6tqsvSBDWLw4gua6aqMBBHz eIfcZgo2XRM06XZJM5wV2tW8rnTNogyAeMfEOmIiXBDqVVANGlwfL3tDmpLpxyubrdh39durx1uv i44gfwv5aJYJDPDqw5ac+e0lQj94S43tG6DCLDp+Ogz9Ywozs5ogWFMXSdvtHpIBmS+dp8rxOAoK Ut9iPFPU8TyVoNO1MDaVgq1CDren4SXUb22I/22FlSOfd3a1ZD1FA5DW/CZnHsElh80KYPw13CoZ LP6vUL8kIp61rQu3wXOworZFqW+aU7Ye9Dox+eFbUdXLcmUoqtxZ6525VbF7z+0HrOb8PNdbawpc b7ni7ALTxHyOkSf/oHqam+ZnDQm0JLisj2jOhLTfZQKXf+BO9MXWQIjeZhMM2l7IwSr00+Te1zlr 0dPPIUCvn4YYO4ThQ5bX3fx/2OntjbUHWgPKTlOcxG/nz/CAZgmwrLJOZ/zuzZvlHC6huLPpv4gE 5jYaiFBwWPMbE9fpxCXKLyQWIm9iFzQWxfDvsmUcUCkkrrFal1m59hqsPlzVoYaa4b/+8FebUdpL A17Im/Lc4yGdskRZWK3huLte+hxHTex/x99e9TsNLyFT+CQbktU5h/voxapaUdS9obTcpSATH2yD Cvvqt9QZH1pfoK5EX5Y2dephJEsnDC93s5yrsu2XLWjhgMqF8neTMvuX6mi1LeR16Z9/7WIqNuEa lacZeX2KMqu/GCTYAyXFrwqxCeNXgZ8reg4dbx3AoaCX9hC/Ml1WJv7cmOjNrVlk7BqvZhy/tvJS M24SgSpr+jAw1leB67+hPuoIrGpEIpzNE/XKENwi2zpckhuNgvye+T4XRFh+o7YBA93CFI3hmqce pzxIm/wdjMhF/gQYRyWaFkVZeKatZxVML0dbKl9gDlkdRBrOWLs07V7X4kjglHjPZ8ZVBTs9XDGu m/YiSDvaKb50pcZ8ICrbgkoaUgMe8aSNRMDT5FU7BW/T0SZ/BjJtQMgEf8IcQr67BOChXlWhE9uQ ZqCz+h5RW9WOARLdbdKg7qzruIu5sYrECvJR30LPQj6CpUrYVABBDcNe5VnfczCPO8ig9n8W6C48 Z8n5N3LcIUzSkP+egMx5XxcTalESOHiI6FxWtf2Ip6R+qXOKMYCSwoaGEGApvrW/Qf0UNnByPwg6 ofEWxmXLvbbPT58jXZOT2PwlZtNYrAFF0vV9TwysCwDaKV2ARcKzyiZNYY+fl05IDoalVCGHNcwE Ib6VbDAaPHx9pQH4QigYDbxS80EHxDz2mGa0ZVN/f+0viRb+cMBFhyGzcesOhW3fUy8Mvj9GsfO/ FTPmVCOAMMnrPOlozCOE5rEYwqmz5wpVKKFL74ghOpC+hIFQ+AFXA/WKfoaHbCGpuwS/DIFQ2Z1e d5Hf3ZqNs6IDSSJ+tPqtWBL43QIe1258oeoI8hxRq9Qo1pkoThUTWZQyf7WqVwIVAxDaD/Ds2Ces T66CHRxz7wqFidpFjL47M4f+aPhWANhuxqB7tX8PubAz6z8VxyFH4x7gsBIFxZb6PEKPBtbxtIg8 ofDYF5tA2HqVBZXlNZTyHXqiW54IukPwy7TVSAnSlVUfgtKlo2UonjeptrGw5UZvHk+qmET97sB5 OCrTpRLqlKYVmz2vCFmpuKpKQOSEby5yaqvxgriSrbuJJs6ZH6ZSw9O/Yzc/PV7Odj0K6MbHGIK+ as1Noc3Ia1REZZNDXFiLDUmK2v/nQfGYH+kct8F/Z394NGIDm1AbJw2P7ZbrBOQ/VaQZ4YEfMt/R LAR99fwff1cnHtoOXr5y9RFAXT9Lj1OjqKuSJI7Ce1UgApkvvaBdFrw5jrx012ocpSG8dcfxcnCr ZKaZIrp5pmT6MRgDio2Guwpbx4BWjg2Au4PBexUloIqPRenNae6JtrxXB9KfLWHLt5SyxCvPKRxB SqXDyUeHsdv+EQG5ZlPLG9YebMV47H5vPpTZmMqJquDStKJW+WImz1BsUGWRo246sqmR23T+XoTM NDkyPahsmZPGwLe1ezFdhD1B7KkPzd52ENIQKmynG/5+0czzL63ZubcKG1O4vzDWgJXvyzoelG1f GH4cmumQ5DqEQjzErDknMHNu28eMQHiVBvFL8p71nq5gItoK44bByZt2zLv1gbOPpWvcUhR1WhfB +lzn2/2YI+CgG8BLQtLH2Gj9p5mYE175ch9kKh4FqTG7ppcg2aNRtKjHd2yRpvYDn/scNvSsAnJh mAOxwzA8qUl/W/L1YRIVYzhPx3KLkYg4BPPK/KbJx51LPbF6KL6D9EuF/JhCcvkmK1d1hFGiwoDB v36fl1GrdL+ib5hHCzKODPGsY+ZM6WmG8klQjGSlV0R5cxP2R8ljlVJ1TBAp5UbSAkdXzzn3Xz3K O/Oq+b4j0fvbWqjVxGDoTmOLWOOV9bCP/6hY2o6gLejSIImGQSEmyGLI5kBff7FqRcCa0cAMlFXk K74nivvHVq/Rda9xqV8ldPPutbTEk6HL04gtKH1qLS4WyX2hacGfKFepmoUQaIyv/YSGgQ8Tw9es xfQcsHx6fl4S7FEUUJ6w1oDGdE3NA6qnFtUmxCE7hh+TEfHPoouRw9jE0rIIg94i55XBPbWo3mgb ghRCfj39wXRJD89h5fHJ99WqaaoW3C7xEZUXEawMoAnynabh9lewMwltd9+qwMJ82ne42EA/ND/z 07EhdZbvlZyOVEf/BLlogwNKg3UYq58QXtpWTmwjpv+82AFaMO501HY34X/x88//3rdvPkLH52tS ZQpUv8k5wbBn+xxZ7Rz7BDmEujy4SnV741nXyKDauQxgG7txvp84m357+BiJsR95J9DeyvsS2i83 DYaiGDSll44jaMOcT45HGp0F7ml9zH+7AohHhn/97oXFbQUtqW2f26zK4EcwQXq1cGGlBZunU0or Ii6bbFyYtm7UB4rzjbpTPyMwxJlGJlURYYTLVXC7zXFbf00XPtztqK5SSp9JcFeixwZ9BHg7aVLF X9tMpx/XppH9c6ZTRlLktoc3jEnVK4vusQX+42LPzyNVYRWJW+He2C26XTNrlBZ6u1ECSf2Bhbbr dfmndBqngax/qrDZIftGxua4/MZh3GL9GwPi46vk+5V/7P7h7l0pnfnAf9IxriBFLg40zfCZTuQ7 DAxN1F3kljTXD9TxRytt2+EMskhSptb4KxPIiHbTUYIPqynVLaG+ysP5YKKOQB/WQiS5JNFl3ftX KI3ACC5kUIwfwtxoReLZD85AUYDyMZG5fGQi6gQfkurPxGCfWokOqnBMtuxwlM9w34h55xJ0juFK RgW+OHBbWiKW3WHZxZT5pFSmE2iwkYqqq2KaCI+d/DLZC+bFoZ0vUQN4RMHI+UKRFTWVRBOY0Eaf hvkZdUPxJoe+WHTFeer9H5kX1Ps0riDzINuC8CTGjyToGE9iF9tQByeCVb39lWldD63bHy5AlkxN AGQidQvOqKhygQ5MSfra43ru2dm6p+imJ4+wXtHqzAwSkEqHHuppY+ogfVhBjwT+0OiO53rJJwaJ SbaXa6Al/laTpFXTNtxYrsVac7KKZHWWdPxuBvVd9O3uiubHd6DmVfcL+vJyA8k7XBpUUNZ8lQZt Fy6kfz6lZEhggKpQGYRqh7+73dGh97BoIi7R90s+T50hv+/Y90ZrmJ3SQSUHILPmoASlvo3Qooiy 4D79gmV7JwTON/gqUsOtQNb6aUMY+IXs5sBV4653LSNObE3n07Zq3xeS8tZu6q+NLmsmeTyKtFqo esc0ii8FH8/4EC0riPVE/R76q5MkWJsUSGrjHpjCCNBJTNfA0Na+4azcLhXOx8StW4PRLRp0c/RP VtMu0I+UNrAIME1R0DiQowz1eAMlB5updWzBGo0ekAM8dMrxBk8l35+fl3v7Bjrkuu0U/Jk+VeOf gtwDqmqcNW/unRXMLl//xe9+b9erqMD0XufPd8OK0olYO6cMSVKdH2jem2dKR62wSfuYempVq8a2 PkAjHP1X8GXus//F80aEDiGOEK8xwf1Fu+SFt5Yo/GVsdbfhE4pKDkh8N2O2ku2O04xcYXeqxbL1 U7qykhZTATWob7PoCskOlaXFXBgi2DrEPXfZ5KO1bYrqtX6UZfnDo0uTOV6yzy0lH5QJAFVGohfe ficBVmuksCT+Tgf6/VO1/RE4tiurGqizyJs1Fqt6GuivFk96A4Prikbr/IvJX+Pu9Y5xcsnNxo92 beavVbwCvmQfOSThkdWfdJcvn9SJvm7cc2Idxw4TnyAPivxygnRy9bXmjksFPeQ1PB7AvU6cKqSE UsJz3XOEpN4kEE3Q7/jmdr29sPt72IQbU1nlXLerQuzjQoCu8pTarV1D9ihiLe3HrNQTsaIASDom FDtgzqEiLno0bmdN/BJwwhfZVi4ut5gl3gQbc/p54qwL5+x8oaEU4dvNUMbF8UJ7p7xOl2JsVFeM ynB0ZY2NSW3BEo2NMzOzo3FS0K6kzywEDyw9LT8b/sHNtzrBnTdB3G4WZnWE/CXLYwF4WmhDJ6df waQRuTKNbV8v5zXELhfEZjRN1GucepTAjAz7kaHUZIbZY//yuXGDIYLDXlG2OAqt9wGu7sbiLn5x /BqcLwFxYkymZy+dCwRa4vYnjPOxSh3ocBUFnhmIPYtH4DeSt4DXgT+cCT6ZgS/v8EP+yL5XW3FV 4TN5dpvqf9GA7UHmZlPKjJz+RZsmJshs0jbiUEkBcqcK4YcgefNb25BpZa8gtYFrezqrOXgW8sZl B2rkbQsa/UKeHkTV+dpVubipdR71uk0gWSfsbgbw5D7BVcGGa2QfI548k0uSDI6tL6osYdNSwoma UGVRKpHOhhRFLi1e1T3+Kw0I3Sio9hMerTzjJGoDUC7Izc3bty7br3wexdujcUEBcbuCjDIWOl3D k5iwKLVaUf2N6q+1Wg+7GF/1cFSmeWRNEYPmtzBVJwVEd8Jjf/D5CzxLobnxYJ01NEMKCsH0Age8 9EyLJWRZMGgshr1j9LMG4qWRCAXYEI59e3he71yT6d88ZVy8LcYxdwcIjQBwgkzVD86qtPAAyPYw PbqFLEynWghr9Wk8F23rYJggZBndZZ7wwpdeGYB2HczuEERZWt7FW9Wfx468Nvc97pGSryAgfwGh VhwJUiGpkvdst13FJ+tEpbXBeqPZSxODDfVzWY8yZPZiXHm7CQj/NLL2s+DMXe627Z1Ak9dMI/ar 9TbFB+m/mXj8hDz1hYuPoecECQiQOLK0tcZ6Hx/3RMgpy+oNUkCOm6fjmC0HsgwLRcbTK5rKdEiW GKu49V6ZdT3VyJbrFOS6hEeiVmuf0uQXy2vlP6ItL56FtYB4gWla+oXZ6MAcx7DqWP0fW7PeD3v2 t9K9WRJT0qPhFrxTbChagZyWtSg2KPW/GTR9UzKLixVdjaIUjVMhUfYBbqeAwtYbjHTd+gwXrIhJ v+ve1Vp0mrCTIa2WTwSfKpvBIm1Ip3Tcbl1960L5ZPdQyv05gugKcgCjcpYo5KXQiz8SMX7WONuO QvRWJ29aV/LU5Nv5Wz7JwrPDOxzR+QHZ7Z7AJ/u4qKmL5wTfMO6sUQ0Der7ds5oILMLG7vT6e04N Jpa/09KZOzBIo3EtSQxNxFAx8M0TweES4p0Mwq/rR7XwBFitYLOj0H5YkFkIMpf2WLmYQGu9awTu CERVVN4Ot4GYwIhjc9uqb/+IFKdARnqOJubSznPrZ3nOjJ9bELlOQGM3ZF8YmWMKIdSJJiguGWpk DEfFG6C/htcR10H9a7KQ9rvLU3mTI502izDF37lyQz2UE0HZqWvNNrt5hJtuqR04lnMtRrodff12 jNGiifKsOWjCfdME7COCzYmnuj+Sz8KkQ91JIDzFzUx1H9hQ5PnGgqGWa/NU9nDkyi4JLTbJvrxu rjRVrcLC5WbayTjmE0aXJqiz53XOKPIM+UxnsIBt1Rr74Aw4YBWIdEw5ORJ4hqxJz+43wtmp6pHp I7kFx2CVt3oWRzOsaVeu8b8FHVoSzJO7QVwJraHXcJcda9zk0Mdcx+d5M5WrzKIk8oFhkhvTZDun dQ7wzwCgPSQc/I0l3d1uXDPj1dcYKBWwUc4JTN1k+QMekMzYRSEC5mzwwvcbjMC/VN/jToLCeZNv LKMg3UboiOBrCognuOsz0A+Pz6dULjXWgzeAuKuRAoJW1YX87AO8zNE1ouYqHwLmQTy8fCTg/WFG wGMRFHxBeOXfHLjORBQGAaKsv7EjnpHAd3ez2/t4K7xUTP0BhBIy0Mn9tM5yRTIvUOePLqgY3YiX eZmmmxuKMueXSnCweK9HUauLZTs0cmGOkSMZ+Fxs1k3xhISg4gKv8QrJxx+EQSuJxPqA9p5JmLY8 wwwhMSPS0uLe0Zkc5ZUXOiZ6X5vl6nuNuYJsb28m/MtbH9NYjjmWQ/Sf8g1NAt6pZ+hM4xRZE1X1 5uxoOPM9/PjT70cPsakk7gKWMxap/H9ciMFxczm8/yMy+aU6umiF1sdcArAhLDAGrjyqtgo1rm+/ E92ulUI5t5heV7937VtyOWdx643O9JPMi9f+gPG+yJ4XNnElF7s39J23xdAjwom1d4jl7kkSq8BK injedKXu4pR+2Q5uLRr/uJSyrkyd/5U4GICmNLsag0Y1HgOQRAzCtIYLBNDtB/5xygbFfenWth4g Uis5EZi0DKJvrZXNtuIJlsToDRcQaANPEuVYIctadk437Im/mXhGL6f6Alnv7OixcOv1HrKSjfFF 6CmF6HXs8eG8SCEw2wqnxwg3uFIGNILysoblAP4ACEPamC185Xd01i5xOgjVFueZrasTMOt9GbWr 6F+oRIOltoNxTSF8EeHZY6s83RSdEXHjcV5MxPDnD2n233iYPM93ka+mUkiioy09P2xCQQFTgW10 PLxcRMevxfHCWmSkB03swS1xwDl5IFnCHHaNfpMybB17eqxup+qpRplgRMcGrXJ8lsOvzbUpXeWH JXtPj0PZTzjYUYd0lNaRNr0WPSW7uQNX8CyB59f09N/kVZTfhZ862/ZP4VCY07TpYZV9sAhwU8sy 7ouFN1DsACvK0vyD3GKDemsc4DDjS1OGoGv5CNFLlTotYZfnC0+rTSzBH7ZRe9+bs9KAEzxTV8lJ ZjCFQ3J+AH4XtPBQWzlNUXOU28GhyCr6zFvxgGs99rXNH2Tv9SZWHol/0Jlp68fwCnCXOXBbsHJo 9tqKda1hXpeV19admwZLWCQE6uFkyblWqLfUH5U6i7weeq4/NdGiap1Ma66wlRtsYsBNWFonuN98 kyzLHjnCE2k6jpdq9IAFWT8wLgbAZdI298Ehactahj+JOKLk4hvxtISffDm2blbuXWpA/t+iD7m+ 86cvwlzsn7Y/8Ko2YpBXiCccazcjXHoCxtCkr5yCrNUGL7JeZnr0WnYOCtElQva7iGyDTXfFzNcy x9iE3b6PCMdIwJU95QjjKQnM0489TzeazhqVqjnWZDcSOfph+QhlPdQjtlPqRGjiZIpP5eKAojda wcSAcogDkYs8bm9DYXE6PvH7eI3Ucvv+lDSLh210fd+4v4x/w9fufo6kXKoHTLUcK/XmDLyluzWr P+uqeHAJ7B1ZSEkO1/P6C85MU8TQY23wgDaXqzo096kIHfRmq+Z2oDhEaes2uGlEQ7izXh11sEfZ abINJoHNfA3jhqynVRilnNiuxQSN4o0hYILfPPPKsf7TlBzV2ZsIi9eP10TRrt5wOSXUd+OQvIpC dWsE1RhmJftRARGfEj6ZlHYn9EObm65rMSjL3hBJnq9OpY0NF9wL7ANfrmwqvJcCL8bjjk6KwNFl q6pcSdzbVa+Q1NRuHcQeBmaydak0aPrwKf+/7wYW0R/rjk/iqGIaFXPOeoPO7mpXJF53754Oy4Pb On7CboYUEMdO5lMm0ASsFhzlovgHttqNyL/zdWatnKkiED+XcmHVW9nwNwwOeDN/ykjmcwV4wFAy M94LEIN30KuCIEHFDb/8HU4xpllZXRKqVF/Pln6d0nOisdYFhGAepGqPgm1pbmr2ScIDFBSZROfA EHY5bo5Gx/zSE+/xwjxTSRPPopYJekp+GxSoL4utkioJD5neCzkqO86zvGkyxNinclznpESF0d7g Z+yj26+TfOePmh/iOVHRjEM1i/L4lFSs9rTJWwIAvDwwX6LI2Dw+E2wN+FT+rgRcsH/UtInDYbeo EgoemJ2hQwo73eLjk6tZ8eBJGVZjuGzEbYe/A7KeXukCV69y8yYwfZkh+u100KXsoCWUQCjATFRe bRffQht5/ht4k7D7sx1V7T09lIaq/126V3FiLri01u47Fr2tAhBYtGOZOkS0gj3wuHFigzFmfHrA w78kSuEVP0P6fY26nzG9JmGHAmRMOueL8aDv5MarfIGk8YQpE7hAt709vX52Mv0PyG+kMfPwKcJz pm1rNutBJBmYEUOjFKrMCDKI4+ifFpXxuQvJqjEJq8XawRIED+B4JEZ6XppSnSIwaF5mRcPBxS1y P4ta+F+/WRukCv1nLGDAI1cXjr80i8ghBOE5ZZoipZD9AcyJyVfZL+TzXnNXTsbzh3zE8NuaXyaW ctbArvv2kNHXzxRGbZF1AZJ6YJLIuUTqLAw3CV70uJtqAe9TjI1K9EFgZYRt0DLHlWgYUDXwydNk BmPQ19uXpKb2xkOJhMpR3vBT8gTnc2ocB3ZxePZibMIl4NM4lmpYtYk7+Y6rgWoN0D6kSlLxRY58 n+GCJHZsV0CnLwJZTNcI3vV5kzA/HnVYXmPRI8/yl+WC4di0LLS+dK+pEfI938J8ytEJNaHQiFdV k3IYKShE/z4S3XEt433vJz5Ipf/hsWX4NvYZMBVLV+lQpzJU51BGArrQ/fyr7TyVv+/0P73p9o/w +YIagcQQoBmkVNkldPO7OaSxCkGGynD/TTJb9EAMnypO5PaG5jCTbj1KXBvGvMrwKNcpUt0PE3Rx suycR0W+CkmsI4+wiW9E//5gRcV26690hm6l67aJggmohw2dyNKsDrCInodd79ATTHFsUkQc9klE I5nctuN5XNKk7xT5NYsPIzOdztvK/DEp54f7NqmU+OnwnSaZKSS7BE5t5gu+bNXQEMdC2hlnpJj9 Ry+YIwSf3EmPUIXC3Jh05b79JUe/nhH8mcO/ecx71Y+ZW9V7ULzafpLSjZiN7Vhd3z+fNmRNF2jZ XcU40Irs5CCoVNg9hXc3lpVyR+rqlv0+H09QElg0zkTe0ADy11ZvolKy1iDQgbuGDU1sM4Hk18Ec UnQlFPKH+bdO67A20svzbidGyIuA+AJzcBt0vKCPE6pKiuX8xT+KOlNj3Qfg5n63cpuaLJYUjd9o 5+JVwHpW6oHUaUKCHy2ikaGQwdmnoTV+05/Q7fuvRyQxgY/K7b0H2Mi9uIxj9kzz1bP0ed9IngFI c1p+CVNp7EtkcIiKCPEDqxLiq6vwx67ULwE6KBTKEDUBaPsFbjWLQfNDT6FEwUGllgToqAZOJRQa iup3F3TFUgcbfyVkte+tCoKmiC+LCy5Y2ZOu4Rkkg37FHYHLsDAYgbuHm2THrqvGqUj9D1AJzmsG RuZWKMuXS8w6XcCEw+VswJXLsFEZKxOezOl5I/Xm0ePbmXFjWI7KF/zosTv1bneaNLaR/XeBp0Dh nj2bZUMmrR1pvdAVbF/hKmBTlkd7CCXfB9MFwJ0Zj3bAqzMJujDV8pipKgy3OA8YlU0SCZuo/I/w 8OEqridT/eOJbvHCgdxEcIsn0bWDBiYzik58xiibuJgVVw7oJICh2oeJqdZTZnXECwBcjTCrWhYA hxF2NxyOguV7PSOubebvlk9zZw0fcMBtZzNGimx/KHYBaE8Ub/hh0Xk+gRnLu1WeGjxRFwSJmRCU zKni7YrZcgHPXlfYMPbdA30y1OyOPt57KXkJlIYkITisoySrMoc5hYS/Z+QeXORRCUkjJ1CPTJ9k GLEOCXNoDIGkKT0f/PO18zyXLxVIWO6UZrpYl01LCDqw15ojdY/FVnTsZnv+ZIh7kiFVZmJKIGgn 9cEFl1TDyY1chp1VLz3my83+xUOVj+8n246KSP37cVGgSPU0FvrTYYUD9A7NZ42SZp2ojNYPJf7r g/CWifTH0eWezz8aTn2WWddrDcivEhVmKm/umadjaMVIoNzjBJPRHd+n3NHkUqOtXieS+4zgn5ka TA0+uvX9qngFvQiHM0nsF8GbzsVQEx45fbP6grKdWJU1h3lRsywHy97TFpx/F9oh9ksAtnteGMNz w8Iq3PGjp2sK03hDaELGXvSUdL2dnGbNKmtrEGlrU6hNw2PZZ+kKATw+dP6qjNLB1rj2kvhR64GH tjDQ+NDESfo8hMQOdPsgw1lrG1/k8X77l8u6sfvCfZ8klxzHvoH0Hb9xbhzUrQRqvz4Xlua1rDD4 I3HVzt6rwrQlMNw2IAdbeHNGYwMTCDRnH6jfEDtvLszUgQgMiPJ7PjlBhBASLWNlG06EpXHTPKrI RySZjVoLehSxQx9Sn8XNqFaC2uboqNLrw5BNBshesXirLGHDBewBfqu52HOkQGdWoHIuBhmMTmuL H8wULW6btYqBxc/7cLupKLK9DUKX7ebnlPj39mj5TNPX2uSPksLPRHbLYN2/kcUT6cPKOdDv6Ghr CHAw12Yk1j1g+IJaiZ7oP4vf+oMIVrrdBkXU8Xhm7TVdhHvtTlX6dytNHVfgdIbwOuRGWcs4RORu R9kI1k6O3uhNHyrveKU6ibmlsu9iL/YFfL0SClhW7Pri/kvS+O75o1Lcx/PXXWuftIsb40kPezLe viMbWxEC8ylDYwXWoxk/SKehVCxbj5cW3Dsp9FjhUe/50kyB7yVt5xgPUAklALp4Yv/uwTZa4ImU t0Hla9N6WSLBpSRdxnCy9j7VhL0sAdJniGdv2wDC0u8/Ft2CDpOztEQAzovpDBjrBRd1g11gDqok pG6s8TF0SPyhHxQGUQlqcpX59TXY8hWlN16oGP2rV93wy4lme04j32rkYosbV79ozV2pru0h+/La bgWsjJx6JP4X2SdErEWldz7YpgECvB5QGnbtsl/dHFSom/80kiTWXzxsdcqySjVljBhzjgsi1KJA GIO/vTVVtVUgOvaOWlEQ2VrANeSJXzn7Am+cgYms3twqilVpw7l4PR6WgcwhkNFo7AxmSFajVaLG LUnjQuLzVjPxnaimLeFRe8CHjQNikw93hqap9TIpNnGI8t4p4j8ZgCoc1BybZdkaWeswJxZhzcHw YR4hTcEffRYr7Jia6ID42XtfQUvcefOq3XjZKe3xJ6W0AzvpvoOej5dIZ/GKaxW4ccUr7Of2Mi/N sWRnW4HjeVRmG6Hykd1P2jsFsBjSNEcc2RZbkbURLG//CX6ZFkJUjg5AlO9vPWb7Q5GN9JxRwOon VIeMiVrG8uYvr3Ro/wlm9HUis8VwWf8e2/0pY/HjkS54uPjESMLfWQuQe79NdNuaA60pLlbnCzsc 48t6DDfD18LyezugMNnFaCxPqZ8w3aubCC0jEdKq2GdlcoDaMzE54PLFj1PDN5zDpaD5tKMXJe9f OfHmYSs4qg2rAdImofDxGrRAJm+zvmuGYrKcQon7HUUDNRbFXeyd9iRiO5ayrOu9T7qoLAkW8DN8 eJ7gOYl0yYw10Cr6rSvEPxcr7o6vn6HGQCJ0ECYVja5nLfdskkQ9liTsWkR5n0wPDc5D4hElqMcr 0zingiYVvsKxCURogs6bihPSNkDPNli6+v3nwFIDkQ0VoZIstw94Hhmww72NtcHRmSNn+QUSKvZb jlgocltwHJc7viVatYwmLSN3cQddKFInDgle4QGkuNXCzMjbATIUygRP3jdwtLesjehdW1HlJ8aC ZGgSmzCZqQZ5F8h9ThN8eWREGVYQ0D81gojK1lCznYaMLwAN38nCp7nB1IKXohxHTqhQUT4m9RB4 U0NRTGwe76V/AkUc3kcS/zRLYCNkCQjEtvptNMdxB7EXMRL0oCYPNqLcebaqmq3iF9JcGbv/5bhJ NFo5ey2yjHzICmpItC0/lasr1m/DEb2+5FJvBKOyG3N4bNW03SV8FLpoeDRIYwo8nGm8ktRa2ipK /ePkqGbuGcK3GsxpJg+ZrsrokEpfJpVYb0sWRdpLyYRfr0lRLaa7UCcig7rRydn7R7n7RLsIPotI JEWTxALBH/UzG33C4UyX6ceVFtabPjGnF4WuGHf30x3GeGcSuHGbdWgwhUpfrm1XomKP8IwRr6e/ nZhTtj8FAJcY/Hhr5rA2tulutZdyGlAsm56XoSHjgf/8n5V66LfZ+JmtDg4A/X2GNaJndSvrk2dK Q3cE5WdxisAD2K6KjpK2wr3iCY05lu3wZRmjjLFMQLecf2G0ekZ5/qXgkMFaKv41tFRqGXNl6b2q yN2bBEnqoRMNcfHfNnV1Gz8hWocxsrH1uf+/M4Fi/K3+gIIYJCEDr8ofJlmbbL/Ew6E0KIXa/VaQ AzCh218erRXKnp+sycWXNTj+fUzBS5hOZALpYxYwaFRXjrPpoFCi+y/x9I686H0C+KYF8JXxvgcr mT+mZL/oQ1GWurdntChPlt8A9oYwVrnuZXKRdoUDAnA2/UF1ea8OGVhQgAxvEcPSabb7Tmi7njgI +lkC+thRoRndoc2nCqOO2OE2Q/9zOXcCUbR0r3XibNNy2cFui3/ub1r0rRpfHbgNrKt24ZzjXuuj ZyWS4LDm9O3W7yxhkWqH78azJz/xpMmBcxlJLREB9VphRMvcTgyS+g8+GfAK//67EqFM8bZhPtYq 0b8qAsJ9FYJ9NBzz+vhNYcfudPfhTmE2iHJJE4v5YkmkIW3t7/XxYbSRZekw0IbFjd2tHtONiOjr z49nm/eBCML0BZIb5pf47x9lulGp2VYZJy5ITClR/xc4Hzi/8ys7I9zLgqTize+dHuL1CesCyouw IGYXFwVqEUS51ikcgMArSdCj3RnqrLFxAuHdn2O9HjYDnzrAO1/AnElVs9rwnOfCTq97UnPODAl7 8HznQXqUOVzppOyrjn7VqjuAPYw0WK/T3l/SJ6BlLVDrRoxA0j9ZwGTEAiarQI0lqVxyGx4SM1nr wKFUlYz4SpTS3IosQg88rvR057LYUpPxEwTnhuRT63uj4QHQb2nFs/5InpXVW1Fm7uKz4T5R+DmC qlTgkAXj5cizwEHiXcERXpmTUmLnVNMHyn4SW/8v/1zzu9XT+wSF8GFhQTDITHYvtgM5jQ9bSRmS qTAzxVIGYDV7miMFFBUJbSeAVZDtSqEffbWp8McG/dfxrnokcm3carKA6prmnFIPSH1yZaPSi8Z2 Et1ytnw3bU2rJVMSk7yl5yxZRcGGFQE/qXLZvtgKbAPVHUoFpOGgx0u2harxjjI6NhUYFrz6CG2A Z+zRmye9GpuBt0YpkTGVeTInEo/VoXyDFo/HbJGC0hM86wPk3eS81pWSn7klpt6iBX3UkLA97aj+ wSKbHG7LDAXlUWZIS7HSOKnBTcFuw6Ul2tJD35VwXsVRQ8BjEktrfKXpXVAAoRbVLRC9kaIGtrz4 ITCxzet6uv1JdBzZN6b0WehD2kHNDQd6J0+6orLWqy5ZQAFc345xNjkCzI5RDCXU3cmt/n1K55iB bk1dt3DTIDyqqkjiDmadueGY0Hba09jWHHXfya7dOVCBme9n4BQ8/r4E7hkhaf9pcB8wODkFpIgG rW9lfC/8PnOVg+gs4Yq5qyRb7GQaP3AUzyUqsVAeIvYIbBsg5H2bgeJJknfofTajpVlB314X31uz 4YL1hJ59z3xxzeb6wM5e6T7fOYACEZxNPSMGQPPNIha18aETjFPasHzPsZFJCIcFcdI71yP3Ndnu 2F5syZfycrdaQ76jEkc958q97ZjGH2Cz5yt7rPZN+lGimRr3u1alPa13N5OImSwCa+n9QyCz6J5D AGFrv+Wvzn+40H3JMXWy7CIVKyHa1bzQzcHz+3dAmv2l9hrid39BjmHqkKEfJvHCJjcwKV59usYs eJP4+Hv1lcTDT7XdKsnqoWLXaTz+iiXzhZ1uSHBuyEwskII0We1rYsHmxDftHOMflsfKuU4k9Ley ciw0VZx84s84LesQpDo68wZY5O2R7LgbVmB1/EZ1hrAHsi+dUcqj3p9fcwpbdvPDr07R7TcNHNje 0+yyfmNbsNODRZAqstmFxrlz6u8iRT7OtX37OScgFe7uWnHhy6Nuh5QYD2vHs9S8ZzbcJ0QUBxui 2lXOywT2hA314xSoub+Yn2AkNyJ3tZ8m8ZS/o1BhQxMU3f9dHgMJBqDbkBOUJ2Hkhf3+qnS2rz5z l39UlCSpq6fJuO1jNhwH3OaOdAyq9I2KnNonOxHtlVYzGxlzPJm1sY4e4i8ofi+rRzWyuHw/VCVr utVvAikEPwczRNwHVV06FIcBZacYZ5i3LdhFEFSNLFmmh13NQMhDhDUNUTVByWfQPocIaj0ernLV aRBZdrHIE59S9o2+VGoZd9Ph71oaosK/RB4Ky+kjCVjhMiJESvoUHCzbyMN4kbwAtbtWrmuGFmY0 i3Bj2KQOFo1xUEbyMGQdQYSUg1VAXpsDmGIRDXlFNolUDSGvNIwuzDOtj+a9MDCR8/xYDwF/+PGq q7Emgb5tq3buCWmGEY47mlUpIMFmmS5Z4HXMVpfe2mwdy4GOjn5oJLBqxoasIHq3nwJedqCVOWfs Y2a4e5/OtWtsAlmf0yVGM5dfY9qPapxMHuofXPceps6DYIur4iHUHbmXcbyOadJoJC9it8PTruqV NCJ4Gf3p33xKQJMwNXs4jFwqvqZP9dKPo3tReNkj3J41R0gE1C2CfQQb+CAQnVM5h7YphZq/0G5C kVvUMUUwNvCVM9uyYMP7Ux5HbB0bN5zL6Q8pueI42KJeHU2Jxtrgh8FYHxNc0NFV2Qx1K13vMFYE g2WJl4kwuTplo8+3a975SIszzpsDtfez9P5oyJKDYfsiieY78dQcqK8YF6g5i+v/L2c9Vz79M6Es eYVBqYG9RvQWN3xf8f1ufhKYd87d+IkRTNBWX425cqjlgvGeqcXzBc/LiBwt4BdY9K+/N22+Wotv yp0ZB1bkdeScJkwAri2oEMuBJB/YYvq9x5giaIEb7sdkCWUKW9AEFqFXhpvUpC0c2SVFYMe10eCG uBlzseVBwyWxVO1RaAPZt+U1QwT2zfQWun9Tok3KyjlxZJfpGOyBo/8qdoc9KBZKt77XddFDvJXo 8N01IPHq3yldbk3DGOm0IXAVrmRoDEypK6jepkJAPmWH4FYhrTorPvNwDORcfktV8oYiQGhw/gg/ DDeq+aCSzaeFW6p1NPRTJbzY98h4JXfwHS/sOS67Kx4xXA6QChwUIEUPcDKmwA7kaUOAtR7qQoHF LtAbJNFsDq08jbl8gONPrXlBO6JKvrrl8tYcDcINJzkvve+OiDC117Gs9lOU6/RANvgy0tyeCfza wskcE6Es4X4ajs8bodzzd6n9VJ+V17zc04jZKSB4PKT6nuiUg0dp+DtD8+Ze1h3pdJwubRgqYvnx ag5pVLts6bcLNYGQggw6CUWzZo48DmVaUCFilD0DUqwGY3hboIvyvFPG75bs+qW1wKJh/DvJ9S9x uuZzww7V6fXdpr7i6hMRpvSfIBQT5ZDFXT7aAp3r8nXn9QrZfywSqquRLY1CuQXMv9wm2AFIuxHj q+1VCwAX7VssR3abn+dICCuswDhNjqimJ7MPS/MpYdgQT9mc7Qm5MJa033ONtt01sma5aDQYhz03 fmHVeN9c1QeWGNjm2+eiRlpQBkj0CoH7wGBNA4BtNDSE7BEUSOj7HpOIXVirsheITd99HEsQ2eL1 7QOnGR24re2Ob5VNHNkWG8Pa4DKA9CYghH8jDBoD5kikXYq+Nv0nJWZuuGTl+FAPNxYS1scuceqH sa7LqvGXIKSheg81V2eMeU3R975n9vIOwyFvZ/gHI4pADEZgM86q/WNGhFOUX4gZCCj8PdisfVTG Gwnf2ofCNLL+nx8gO0hBxTlFGdqsfuC1ty040ibimOuZiNfJNkfxPGkoI4GuVOQ+k+GQ0ymR7uUX JhsTUSMoHTUuUQwlGmI8EzomamZ2QsvMwaceQraSVany8pxwrQNhpCPopoBLVfLfvC58v8i+rLhq 7QN2rXKbDpfCLts9SstdLg0RKa/L4fC/5ZJkUiTJTk0+YFOeUeK4RDXFOEscTLmiw0OqSatgNV5/ h7+y/81mtvyEadBtpLoJC/vClwsrTi2m923Hr83H8W+Cx+wrEqezEtIqHniZorSNFM/JKMuUfBal R4szioSDAYQoAd0B9B/KX+JQpWzYfATNehfwPdUsygGZWl1nUIe32tVF98BrZfo4VbN6yDrbAAmi MnwWf7KmtyfBcEti82OnD6asQajmp491kHGt8wItY76xIZKvqnvwTI6vl8BwAAWNQDMpQQ54VzuI nZoa0B+BXQbr3U5CLd6TgQRP/yZNB3JtrH3rI+vgRlftbh6MJCusqg17iDwYQ9rzDkl5GD5WLbzo IJft+3LyUJrguNu/bnSnhyCKpJZZi67pTsq85zEHcsIiZ9NExX5O4+dXt666QxVbEzjvZiYe24fx f5i7dECdPVqfuw/LVhYRZRldn9JXv86+VjuTHGceXevek1sH/9eEapYRBuQYFYOTZXEpzlZWKfqc ebqbZDGhQPo9Ov6ARJHORNNllYpATEiKSAkT1kk7lkJfhHE57mb6yaRa6AXGjeQFtZCFd29aQ8Oc m0E+m9XyalmKHLz6ldCrIDyOGSRCsvZe93i0BP4X1UrmkddMq8sjfbEKKt3Y4wpkHERSOLCN+77Q inL2uzblC/NrSbexgyWxeIJ214pgo7u6kdONm7oApVq/8KFzHXGF5gywsqmB8UxBFTGc7tUhZL8g ntVdd7OuHWmF9BivIxpeP8L5zuBikm+AiOBZ8eOi4gyFToZgvtKHBvVpizpWdmQeb5ck21xZeoGP Kp92oYFAdJsD8kZRMKom0rvSwHJPyL/1v6JK/JmjWDwblc2LcqoJw063NiOY41b3hLbyCuf1nAjH tnYYBXAelaEHFJorObVLcq2MHAT2E7SntObXrj+N1+1QNP6IrnHa+lXe/lLTEYJzBz7G2/wZ/+h9 ZPNYqL8H3IjJ/DB2GU9zH2kjKC6m1pOAnQ+GQf25xYhz3gBEWtzGbqyLRoGSawvlyEEJ4+KSLggV nFFbgAgQYQOZKGRtorwTM5Mh8Bw/YP7WKANhlcP66cvVMZKAk5o0sSWqYDzpzaXZOrpabxbLpo4z m1C1dRq0spDU0/hHoeoEmK65JA3zzEq/EUw04RyOFiTpANbGp1CIsMkCWhIS9ru01FznNCa9qVuX 032ccAv9h7GAPmKcyhowvXjOpt6MDEduddqAFY5JCSmHCdSeKtAJuHSnnyEc8J9DdVhvvwA1CTNy C+zIKDkEhJm6sZ8KyFcg8PZ2s21eO4gkpDAlcRRnpHSTe2El6vQyWaqdmOdhotoyDzSYe+otwPSY jkgnz+1IDhlU8eg416q4/rwLbjt3WHbc/pef7UDgcNOQqEcVscWH0swFTcgpcUh0e5TrebTX2Kzc 3mW7Xe+V+3pX/kmApyRYMsjyemPpI5Y+UGkrBCqUjMkz6dMhkapojfRsvRG3G1l8lAkuK6bGiViJ ygQ9Gpe5l2WO7CkKnfle6Hsy0IykMawVNfhHBA9uoglBu5mn7wZmb9Dy6XRNkcaS0UTGOHTIxPOL 5h1edUmMFZsKv2vMu+7tKb4ZDuYv6XqlSJqhlJpzB4ibpyBv1cRDVemcvnottYcnCpBEyVtPedyB LZfZctdRayESKVuRxBK2PpgyOB/HLcFNiv80/j2wrlwFHiSoiBF6FQ4Q3yywH7tUjm+3qTipqz5s B78mVCbUgX1jUNe5RrQ2YIcbuZf1GiFB5qeUlkP7n73xInHAcMJA+EYXtb4m6VNkHb6iPBLNC10q q8tJxX/1Qbl0JAao8YObZVz8obVhTlbmOKpGNkAdST0sOIDfa8Mv148m5CVN7BaLVfwwvjb4jovH WZlGfUU1uLvKEHlu1n1Wsavq6dbNx9sizdbzNkQ1ZnSv/O2bl88yLRaw3vxu3DStss8o8y8X4xY8 spSuJOsaa6fCK6N+TRIdI51CFcVvJB1DjQNU0R6Ot1yN2rVb/Zg5XaDqXb7DAotwrS3TQ1pfgKM5 ZSQIWqy0kuZxYMiC7uhGKpe8ugpAST8ZMb3P+JUFTtraKNGVPpmzs4Q8UfgVuZP7LwIJRiRZn7dH GLLYadABNIJEfzxS1h9/yUzM4T9xHjSkgjP310v8X3wnsZtsc0niGydOOoyDGoylEbf0UCOhYoW/ Hyn7GSuJ04vQTycBpAa8AwoVOFRs5mJzMVaCz1aGjajwx1Vjq/kolg4aEFEXisTmONPIz9CaqnQw cz9jscPZCrf7gVVsffwfwIeQSIj3Uya7nyEqjDhoPwhCO93ZuK2dCbYTXKsJvFbyLqmHI72E2XWb ybs+dtVBU/HYlXoWfLjKYf6a1n2bxxFgQJVYwMA1bzbh/Mk/SYWclUjIj6O1rsZpRPnhZsFHageZ Yh67gGfRMMm9kBRSL1FbBrBGDhRJFm6F+rUebanjxg1u6hOXE9x228IhRCCiSUZ5hJ2tFr6LxyLr YMI4sUAHY+w21X9YjHGqAE0UQeDdCIeD4O0CpneRd51d2jSrhmS9cYPLq7uZmtbdHyh+uhnkeG3Y Rwe6CftYoHEy84WbjcCjECGxqHgLjxDK4cMbZzo+awTPoQflpZLKZo3ZgprWs6JBFb+4nEyjKrrn XuheFukjlZLjjWzvpE3B+fh3y37ArM0Hj5KFbVou/v7+8R21eRgMlmvcj/ERNgI7QT3VXUpN+mmJ k3ZgoI1xW5M3ddkRGFZS0PlbYwuOlSRIDHqde10UPNKB1TxGYZd8hqY8v5SE6+8nUhC9b/acrn92 xxKLAhF2xw5zEwZ+lUo0vDQaZ+zSk4YY6dRSD7S7A8wsWM1u56SyWIp6ywKlttKoStcQsQExdbzJ 5UFFzfk0uMnlH3KE803YmjCv40cOorFc708YGvGNpKi7mGsV0xOwU6dOFnQdw4XmjVIoKrDKX8zg Sbnpqk5LZzvrIMJS14DAFrsgWw5/dMohBY5osysgQ8Bl40qKUftRoMfSfz5cNXTAVlTUlKBv9tfM L5u1zFsPfmcBTn9PFWt1RxJvXHYuhiX+qzkkvgxE8Ll3tiD4cZnhGcmUSGSUfaxC7ckc3BSSwQLp inmVas3M7/itjBPE8tr0k3czN2q3xPIuOVd1uy9BzRT7pmz4vDYi1mUu8sArs40CwXqfaoyeTgXd GO1YtutItpckkZ8sZMX0IZK0usmMETMBj4misXuLmsIvgnlA8MPFyfq3PYmSkUVbq6Uhgnyt7Jfj 4mGaaJrlTPjqGgYPAPK/PAPp1bW9rh3pTmlQIWeso12Pci1DAnzXo4qZWMvPmYzSPy6bDcjqfSQM gFr3eyIHOryynyVkEGzX9218QSWcyyxYdOC9LHTsOJ5sZscBrzQOJVaEgD7TSiTiuMQ0/JomCWIZ dKFnG1YLjdTMr/U1KzcrXTjrSPKtoj71xEGNWjqyMK+x2fOyNB78xF85qNYbXDa8nhiAIdqyHbpu hB70jo8q6JIPIDdI1znnVDB2BlyLgJgWvCA808/Vtfo/ePmYyqrlVbaTeSsvhzBf8shHPqjB9SMc 3eibXxh2MBeFD5mHxC9KBdrsVff/9muC2yJgG+dl3ogD+hVeH8vPinHoy1asESHvRXF8eP/lTNHF FIiGXbS0jbNsumwKQH7vo9x5boF1zMGdsUDKoNJaN1XjL8CkY/9xcPW3hPC97q5xRC1du2pnx2Vu JRWaIPp2w+2VuG34lvxLA+GtECBV/Th49SzDwXWu4xZ2c3QeYDCvUgQ4tONxssvU2hw47ZhbbbYI RQPt8fXB9e33IOQOXHM9JMmix5VgWSKpmoHdSGXlVswE/Crcy8G5LQPCjHeAgD0rAYjaulH2cbbB 9TizYmeB3WkdsPb3aroQLCqc6r8YR3tiKJfVGlPP32kEgoIHwX6YzLST9JRugh4tPLggUr7I2BwF 0/DaBRf9TEi5gKmKYMaJG2VVCbmuzHaDm4qBpgLk6PwelC6S/QT2I8JkN9NPHZc7kvFmJdazH1i3 W3q+k/5z1gNrjE+yH90Hzvj9lyRs/xzAiEpVRb68yUvxXhsS5mGcVRKio0hDSZugaiDc5i1l7Q6e ZZEKgRGYw9043OAjVxb0PcsfmN10oqKzJJQEWQtF8VnpWlmj3U7Tte6OaNU0i59GO3vySxXKVaJS U6Sv4VEAvQgC0yfd6r4H4v+3gMfjppFupNjYsv8NjEGUwtJ4BNlrJBMlbYWSc0bW14cpdmSNe5hm HDdILiKfgA6l5hvJZJcb63CQPCW5Ai68rsdyVbAbIIe5gyN66qSwUSh9FBuskhB+rjn3mBkcLEEl XL/MY8Ffb118qG5jcBAph1w3saBcjN3LDtPM+/kONhRFUDhSRVLu2HXdPyGydBJocwepifiRHOdE yxHtP2KbsSnuGJz1yXj3gDsHXxC3USV+mOikF7QQzw2h+vkUNgz+4nSoUQVqr9QPlBdqFLrMXnbg Lm7lhJ5Z2Lt/jnb0lTKYuliIUg32tE0KA/UH/HHqGnpzS0cZHjSMKzwVRBSSK1+XlRO1lgYE9+HN wMU2WOL4hsA+JxxVtX0yKaULtwa63pWyRC6eaNZ8Vdnsv8CmUyT1h8vTBai0lpc0vosq8HlMWnod pK2aBdTQlcgFdVYfKb3soM8vDHYkmIYfh8xhMJhoEPZ8PXkIjaiYcLUxrtB16POGjQJ3ToBMjxEV wso/MrtYX7iWWnQu6KeZbuaOEXCn+ldBE+N4ZMdSSjk9320G4t2lagM10RONPYB/5/clwK5qQEA9 5YL8FPq3h7ZFntnPmcYQbAILYh2qk7o/+x4hc6k7lSoD+BYdRHg9gnATP0FJ2vIdWdMo7QQOnAq9 /Q8Ae3bOGEQYm1Dee3toTNGMel4F5LE5BN2AtP6Qn5k1AsIDNRcyHuG316saUJoQ2PLjaE59O36B tcxohbXcOonTPEOzu/GsD3im+XAcwglV/IjAlpyxu3SLTmd2tjHeHUDueujjJN8x0cWAkMu7TJa7 vIJLrmaZM5p17ZaS+vhOkurgESq0n6J1XY4pt4jnIzsiPcYIVIa4kdCa0Mq6K//BRiWMwMSMsBGg +hsyGiXMf9aQaoDT7JB9sodN25FR7x/Zxew49+cjvEdKm8gxSY5zmTDOuuKmrSZQh8Hj0Cq2o/A+ IcrR8BHWqhrWuyR3++PcjO8RwfwTbBroQu8zIbD0cLFo0u839KsZVeppFBP0VJPQpoeWFJIGZEAk 4VG1BtRYbUp/leXkB509gpTrmnlG/U2PuSY4SUKfmVc9k84cV+3JKrnh02rSaBtpfMZmxKYXq5SF jyLBjyOeulVSjVyJyy3EianSOKfpQJ+qutaqt2PHXbICP6xDM8RyzHiOih9b2AUDcJjiptIFyMM0 8Mx3ZShEzXN4OuI90Pnxv8267lDrYFN1k8LvlRpNxy9X3TUB81x2V9wBefuLPL0SKwnW9vDuDsqn ksvKnVVbTOb/5lkZOjMoE3AJhQARsDyMtOWBuUiX6yU5hXYnLyO/Ics0AvRzvA3RfYGbGLAYgeEQ +kGi8YfQsWcq2x3ctEjWWTAdUEoG7Z9krzOhWhLWnYyyev963KZb8RCtiWCPUFHz5c0p9jMohbVe LzYIF741wd30XJRv1R7Sds2PG57cdG6Y66QJL8BHRgMVa1dEbf1MfKEbJgkbNaBZJlGR3RiCvjuv miAG6lSTte1c/16uq9BtyX++3URFWcbSpVOQTupzqg8zZ80q8/Y70ky09dbdTAxJ+chU/xI6FJaF EIWITQUmPDheRkOBB4JcvIOw3YbP5xpOWWlc9QqrEMzAxxq4msL43Il06rmTas9h1fSYd5qpOLPY NxRs5cP2anvAjfR2MA2TrkGZuvbccuu4M8aOTQI3RC0unxQUqDnmJHyi9qfTaxldfeT2Lt+bAoJu AYAeUA5FzvstSVu4VmZUzkWwzCkx7V4QHPehcFuAf7FZBrvdcvaBnd2iSQR9rkq2CIetO7qiC5nU QdRH15vPa4ck12jo64UMdHwIpB1gfoFV4gouD2NIVFWiO06zGAa+tgsHsVyeA34d0TSYnqxWtips IIVG6hSdws/X0oSNm2WdCYMncxXKZV7fz0rdxWTCb+5EMkAg0po+3OQUsSO0HoNZoTp7N6uxhpHW PaIsANKMxg4FdYkxg8l+1F2GfeP/T6xciYOZGUBklFyfCKJ3uq+Fm1gRroZQIIrD9wBeoq4cQUTH Hy9u3vKtOMznoFupHeg/8sRjq1PskUGuCV270iFY34OOCOMOcoUOKj+FoAakolYUk5BWfnwd3s/+ pTwxak2rphH48XqMBdCacb69EQD3k4GOE7XYkhdSt4Hm86p3ef1Evt5uWIxEdXCOKGlQXsj3p3fy UPNB1HrjkKysHTgtAUlL6vqVlykE9rSYhwh8TqILM1Vz8eiMmgFnbCepYpXl3q11taR8IbWIBxb0 H43fzpl54xbX/UMry6EkzmZqmVRHmrJSXOUTmGiFmRRDsih14y8sg7f9RWFfhFSk/qTRGz3ip3BD 0lJC5l5OWBuXpiC6hw0IdmVS6g6RuyVA8EE0LckT19jTjRE0swQh3QJ9PEH0aETrk7PfitbwMuZD YjOaWMz0MO3PE03rwL4BeyNQ3Fs0TgmVqtAhIxC0efFhIBz2XkHOlogY3P3o0a4Y1gMCAExsreNO djrEKc+a12YHYG2PG9Da0VXrWxfQhJEAu7lqkEzzrt4bwBIF19aIy0NO3XW8nNlSp/3atPkfdPfL acpdMxk80hT+jaKCxqzhghd6bpZ8r2nKGbw/HLGlQ3oU7w36TBcKHNlrdtoEMFhoRofpGBaKY26g 18vEnn1TfBW+L0ryPKw4OZoMwC1ea/nu5p/GdOL+fBBps3oABI/ZcbBJ+USkvXiS2r6H6O5D5N19 q6pHIIo/qBtpddDL5AX/94rct8OM7EC9e0r1gBX569pgumzIJSbOQSjxVZByEzNtmoy8j5Ft8/0A 0a6qzq25FqLYj1STDwfBLzWehepapCAljJg10rVuQuFP606Am8FjYgqMUmJ7hGKCyYdArRIW0kP5 qDyGbuOhcwPnUIjUgw9di81xia2vNpsWewbBYIvt2E1RCGt06DipSpHPuCiKnvvWRWKKjz1WQ12B /yvDurOoWDwrqcX4UgosABLhT3xQOWTuJxxsW8BbGbfqgZ0aJOeNyvFYb7ZDqQgEqRwB/S+LkhLI rWttplyMrvfhOX28+x6IWXy4u2Gggv37/k5tEl6W8GfbS1OKtAmWCnPVUTGZA2/I9QRIwAbI0qzD NWuG5bJITmik3kPVQT5uqlwXs15oBPL7GjGiaRM5HV/h7ntfa9eWLqBI3X9w1+Thm+1jrhNcOcc/ nKmb+52rtO0odobp5Wor0nsqWXEA7dUabb5gB1eF77Uq6KgEvRpfhRZDlm5I2d9nV0/ZmHbRxVnR nwJ6B328H13LSB/ZV2O4nCZR5q3iJq2liTaD/rHOOot6h184X+Jhfu0Z57XR/5II6tBMRTD2Q/l+ Imxivl5lNFefzJdeW33sHJnadadYMn+avCu3TL5giVnDTbka8Rt8teibTAAkA87lTIvLEceAJiXy oK/eQsGL/wpZIusjS0QwBhSlaGq20yIkI+YndhcfQm6tDD+OTRX701mQuK2/YoN/w0wTkN0cTqiV iV5cEnZBcv29a6cTSEMVRtxKlTZ10eKEb0InRaglESHV8BKav2flePkbcT09H1L5JlxQpSO7Z+x4 +YXRMVq3ke5Kq+1FNtEZe9He9qT7qTLCyDHv3jT4xtLDBxbg38L72UCU4pRkjqMBXijaSeMxkaR+ mDxBHBVglz8rOjWQJParefP4JM+l/l1mZAOUQ4x4hcsQ6+qmFg//nRIOOOm/wxuLX9noYGEIuDJ+ 3mSXWg6G9rH4Yo5E5hIQUfFNXWTXnzj9T3ydMwkY6FeX9OmUrEojfN0JpJe4Zj8BoA3rBfkqQXD8 olp+czQYDFjyBSoa/Yg/YXJrIjmQj0Q7Ega4QU9PH8U9hLD/5h0Uxj9EbzDJu88LdxVmxKqUrebu nFpe8ythJlNkMdoffLJDPbGnoJonKCIRniMwPsX57gnMTpqapKKOKiZEPhu2mo3rAokkkWETODQ0 cV0ASJgHEmWjeX9xNkzWfaoNKl+VO3cf1VLhRSkTOHVm/0hWDlJZHrCYDseosuNy/f011nJ3TJwn /wOx4ZzLqw+WuqDflT6Pt9E2oYZtCoBpkK+R2cN+Tu+AM/5SgJiIJMvEvkUf/6lvIqFKKFZK0Zmy Ah5uxTdh1QPI3Bjs05i83FgzGunZPN1OKoITTkmS9O+NUxYb/HsrJb6B1UWrnBLT1oDMDzckP2O3 bQSRC+MJO9iZDZayZrisurrsRGAKe0QzgWEhDK0Zn3ydq7QdgD0QY8V3ZIftkWxzbFxMUkxlzFrI 9ELD8+JxrX8kKigWI39rgP4BXLkEmLo6H9nWoh15qHWcNIPWMhcjdsIQ6B8GF8ajSON8BgEgTS6t 0LFDJLQi+I3gWf5zYUCfRyKmPyYKpkZlUuTyPhwKFcko1+eQiUQEyiVNeZBD0w2EayGVmuKR5XdW P7TSR4o3hJSBo1AkJhnumS5PmgnM8x10FG8sf7sDYYjEI0PNKLdk1+mFNXa4COI+2+PsJrTBGYTC mBTzgqDLPiYfIho/baMqgy4g0BPyUkfQh+0isZ7M2jZ+31excjejDtoo1uOrkAs5b+PABwPRqVtb qA/ae9tmHH2dtouFYkBAEs7g6lGsYWROcF6kRp/KOy902zYP1rL5utt9PJCABzUoWbVTRPIpqW/r VV6VuukC4BweWrU7qYvDBBzl75n3I/mPfie2jlUr6u637fc78EwN9+A47ZitRT7l2ua1KewLA9Dz MzQ/bUvNvfqeqEMoB87rOuQg4lAJi8kXuHiV0hv7Ay64rWTL9G75zMJwnJbQykkGXpuTKbuDEOUG ps2AEO2fly9puYL3JHmEGTMCT3AFVVxeruAzjHnGyCP6Ydy/UDs1DRz3ClrvEoJUMhBJ22rJ43sD 7vcKln0NXQzzSMEldSvD/ZzPy25m4ieAeANjnfiTtlDEBX1oTDE35aNlhVdATQWP97h6ubSAl/b8 zXPzYFkip7Ma55H0iAL6G89WBmhVK/+XRNiKS9iYE6IoIrNRjit0sQ9f4vvXZJGoT5+c4oWc3iS6 FWOLwpr9tF87dCy1fJRyrZ4shJGwtbfoH6BECXXyHB3AavkP3248z0IiH/BKBseMhp3VL5YMkJsb 11IxLB13bgzFgpHAMU2TZ0sK3OMuojMzRXXwD/ueJq2IxtSPqRzrYpT3A2VpHl5ynaIfV5EPHShw 5OvZzuuoz6I1WJKRfS/kEsdqMrPSfeMsCHXt3x37tJYGldQ6A4pWK6g2rWUrl74FrIatgb3AIpon d06hVJAjjgHTJt1Y7249k5tZ9v4y4UbDaaQPpQ7SHJvSrjXyTzlp1yD9qwIP7RTKnA+bO9CVYuL0 iAJjP+nsiWP3kfi5kD1ZgKKU1ZbAE2OSlORTSDnWXkLdduYw8Fw1U1XL5rBqmslSqjFFxGb9eXda SXH0qzHNG2Vb97FBZ1AVPyKemTv9rWounXMjSY+NO8rzXQIljAIT67CtyI+4ZkTq4/xjNyuovxjE uDnXEcN2PSjW40A5XmunAA2/Jsp+49zjPfvotl+h8bxhP7OmlqFjKSDh3oIzJ6Y/4YhhQfYQT41X QG5m5pf1klr9zkc4A1jq0fH0PRCQkNOoEBJ4/mdTjUrWZsep2B4GCk7uCDb6y7O+E+LlcclxnUuh VjEDMR740o4Dq8DF0ma65agu/9gt/fL58LaiRfKUEyq9Kz6X6AYtbJb+fXZWNsVxEPOD/eFqEfgT TZ7hmw+ke4ngfWamuXXRAIJ+UHJY4uukns3f/Epu5tDJn8ZTfxyGCQ6pZtKN5QG6PH4GZv4Ex3/z UpvMPP0zD5SZmJrmzs6YdqcGnMa1cTiOyIlE/rgS/V1xRGftc8i0M61n2QJkSINxYGXk84DHgZBe o/Oiv3i4PMfEImXcONrE4oVEu9qG/oKhjJCj5P527Zwlq+nN2+zWbOpD1JZ6z4orSjDC5h72V/7F Xc+WSC1AqXmsdos4AXWPhq8NTRYCdakXFnW6WtW4ITaUIec8mt2ytNaqro/6ZOaeD/nqg6PcREvr oAOShjyb116c6RDD/VBbLnzYtbyrhoVRfo+a6or5khz4F4FVnZX50c7sCYwaUPczclAtzwYlTwaK EM02vvDz58ww3/LqPbwueXl1rVEXdkOLq36poWtSp0lmanN9w48KB9ZCnSZ8ynQ96XIZUjY0C1tF c+4LXt/ZXFtbtAKHmV2itCUtV7LlcnhxccNcNuX0RX50enqW23h8c9dbkJg0tqebsetfuf0a9GzU VDHaYz7SZWQfxlGqxmQ2JHaHfctEext11LZy4fgnksBL9dQCkgcYXf/r5waVuOpBWfaSNbnMyBgx OgoRTNPEl1I8J/HQfhJVUEP9dUl7jidzy74e/KQ7XTbIWTZ+ya8zM8BpkHkC6niPmUwFjdTuiIff AyBsvaQ09kN5Yl+LVQ+XcIUmJaca+X9AH00v9Ft40YXynqMKnm6Qa94C94ctPObcbBHgL9toWeZy dFKmJsMef0iU594BLwWjSJte26wypbK6POiWhs3uc9BIKBr3PksAZc+s4zD6ORSbggrQ15dfXpyj aQxDBgb+AGvpKcZKjrodXDIbmV+1ShtpINW8x6xtubToDTiEjvmPg8HQXpKQqyWUTmbIKQvcX7fu UoUKCSux8Q7g2IP3qTF7O+XTCV+YvqqzR1cITTj9wRpHp39haz0/+8NoXz2fK6dKRbHtJDDxiVbT XsMb/nJPOhRS52c/xk1IYHqy+9rAD3fXqxDtEwT/5LW61JyuJzxFAf+gTSPQA2OSMpr9+/34zUP9 NLw/XHEdcoBn5mldlWc4VJl+KwzGTLnhwUPtr0gAklnlXkvwLXxfSVk1tIxHKHPQhDYxXCp3G51P V4EuhIBVGJFHpcohAfC+Ij3PEVPwF6IVP0tcAUFyEsCbzLOtsEY3XzUTUHSEhKWwd8nEJBWXzDA6 ZM1Jj+uR92H+d4eW/qvROTVpQT36j87f/JjBhUahYvmjSbH9LOx5yYuDIzk7a+LjZ/cmB6INciIC kDtxopXoJSkABqYvERtTTV7DxQGSiohT+tYC1cx/J4zkVZ7bYiDiRhMHxC/1uHOzWkpg1DdQO4+Z j8Jr4GvLIMPndf0HfUquK2bA//dZl3f+lXon9SkMRQ5IAPBAXNqWFRG0+Eu450re0hOZzbAIpEZS /PfL9js9DUFwhCNSwXC8+ZPX2W7VrY4vfNiFpDDqqkJObWkiJV6qUL8R/4h41cioDI7MitaPEcw6 JC0G1cNK3irwna+FT/jFRJ+7Jbw0C7CbMNBq4QjpWnf6HG25gDdHad7mwkpuwD2xBVsQvYZ4NL4q dNkR9p98H8BIKht5YTr9+B/+xv0guUlwbY66Ac8UoJxbJYwPpkZbC9qMNYeux67fn99LEBcHC8bk hoSy8Su9YssscFddn49AVAnVpaV4SlpmYVaILIb/i8RQEGqLmJFVdwVK2I/PCew8rBJHowZ0uQX3 sbp7rYuRRilNEFRGBU0YDoqRGGN6Y6TiODqFcQPS2vZRXWzdnX9zHp5dop30l+ovu1IILqGBpfdV UBocnuxK6RB4fpoEBANWflp/ANPaK0m+ST7/8khRDkBege/ahqGgIXMhohCv4oHvmFZ0VcjIt9uk ipECzTuHk0rXAsc4cEkkbbEYp5WpqK8b1k6UTwtP+9c30pyB70+d3t1Evw6rReLmn8cEDfJa+g89 3lL2NENfiuoEXutA1lpPwgEKsCivrMm/2jF2sUzQr+C1gQP4WgzK2zKGG3C/6Y6Mph5IXmAGejyI BOvrawFwm6rkqJq//q3hWLFyg+L7XArzgR+jbcsH3+e0JIHRXm7FH205Qo5qYjsmqze1ZVJyH7y6 SRf3zhynt8ajqXq3T9PcUYpzX73lQSr/UbMUmLCe8O0MgQ4tJ9WwY+MSmU983xxEw/qdR3ATacKB 7KQbwJtvHwOxg5avSm3pltZMdn+dnPHBrtXm0D2lTQh2IL0i0DXSZmrWRjtx5cdmquMaNCwr1dt/ StcXAmW7CdwBIHEs/faUZkMwOKwNWGgVa5rs5EEeTfnGmidYdXJBhZ2p+VC6yTgqCydLfMcRGClA FTVzY4A5kchPwISy+GUVuTCZjSabZgPBTIW2qYo5pja0NfGj5OgQJ91J8qeWSTgDGcGVDcWRiZL7 ui4uYfo5hT0aLKpvbpNafm4sGjzpnqbKKk3uNHCuNRdrr4QXLNnG/eT/PrbUlaJQqSi7JJeW1/S4 TvU4wJEVHt4rtiHZ1m9v70McUnwd9lIWcUzkD54jL4iBruK4dRFot48Q9K3k8fN41cM1DzrfDd2g T03uyMrS6p73/maYFHYuQ53RjkdPN3eSsSJ0y0hqcTXbdJ2gyouVWtD5Rno3r1j532NRT7b4BjUD AJsP6moUEgVJ2u26EJd3FIBEfknfVoJpxni4peucNC3ttEy5d+8pe8l7u2hRYQrrkNaXpIQEklV5 O+fpIaCXQLQDmVeu5mdggCYhfJ3ZCSbjTdAU+FjJ0lMqNw7BaQmYUB0IQQNDPSoTZLL5JPDbp01e acOztvplTOyBTRsB8JOiBoNOUxZcu7U0zq7D8k86xpqotGIxrXjqpZuPWfDKjEKMrfBNQJxRzlTV eF0VAggkj/MW9J9x1YjXEM/g6kbib/cUjMggZec8X3GCQeqsVEA4U0pjaDFWsbFhfdY2CyyFaIRC tADVbnSxVpJiIH6+yg5DLv8zuIL3YXwXXYYZUwJeVB2EwLLCrs/sj4OaAf4HwM40Ekby5M8+hIsJ Y1sozIUBHVwlN3JJaMIRUDKjvNgxVoFL1KiZ73tGE1Q4Na/BffkFE0UmERfJwGX3xNKuYKutdIcX MCIhZcCyb2hR/8vsg1uQvkFC0HXH+vQqpnDH70q77QSnBf9vDwgOOZImgNi6xtbmDHkIGmFBzoag zwssD5l7bk8OhM69nuUBratlCV3XvZ3JrvDv7g8ZGnis4x4NVAZpBrP1AzGwvNiL8pUIEMnNeewx s0nf4Ux2dK/CpWdJ0ghP1nX5wxYYw9hAnUvgTcfu6qjpVinHtS7VDs9SguQ3NGFBXxgWNSiKkqjb n+G+HmRUw91x+uLHekHJoaja5d8X1UU9r+yJitfvIfwex98wNJHIM5fwi7ijKNgtYWTtM+vjjV1i ihsTevMnIs2vfH6mWO+cLf7Fdb0hUgw/j9mNKd/Qc6Abd8AU3b5UOFObSQJyqqfX+wowmfaQTI+2 kWzhgL7+2l8aVExbjfvjnpozi/ivKfuCXx37OxqqhQITx14Y+EGhyy1TlH2j4MjIdEXUAsiFCu5p BK5ZgxPVbEUGVZ7aZERzw1bJ/+SrkLXTYBm51hSBTIzuDvT35ElX99cvHDXnsTv2C8sulB/ryxRv M+Dok/Hm0jVzr30H9OCnFVOf4D7ayMQKDOK5Uoe0ZsMSgCQDbF6hEVgcyptDLu4KBigXE+KjaG8M jHpkS1hChqAaoxNGL8D/TzPL7XgQ4WISg8m4AJF/9bYF9j2Y1Ro0GxkaTzI5XkgyizcRkuWXE9Aq rxYrDf0FqFAYl1S1bOwOkTrq2i7XuJwEP4FgS9dkjNjpMReF5k96CxFIc1wRvF/FhkQW64KgHm4M oqkq39aC5Rrqc1zEveLBpXx8gIQjvvo4BC8BtNbKKMKUbJL0pYtmibOrYKQoKGvCbPCPrX/1mXkt Zk01zCCHi+eqo+sIpdV3SnGtG7Jvry8D8UbYLaf9dKJa4wKvXjYjdOIqZ89ab2ePL/23SCD95cQg TF7z5CAx0ml6xXeEheUODrvYvrHNj3CWHeqT6C4t7ZIvK8i5vqWyf6Ejfk3oWVYmhuio8iq96opy YUQYWWJY5dlleXiVOPvYrJl80Y/0/nR38jxWOqdaH16u2XWohFNrnLBSYjlbum39nWOaf+sH87z8 jWnq0bSb15ZkoBmSdmB4Ms6m1FIGHBU9wwH0X7bUrNsMsLfaz4TNy7XsA1kADIc1KzflDdeEzblV MJwQWhUj4b6t7gXJVg1GXa+9s3GiAwPGob+R0V/2okam36C2oy9vXqIiBS8Zt95LoC27bLWt3CLk y5m4BOTqQck9NjnZSuvc8UzB6+a0DrTvCb4dAM8N2qwNuuQKswuR+qc1CRojV00ObJhj9fwKMKqY JWKV1IN2PZrPTeX+nXDduk7XAoO7Py4PdO8Dk6uQDOqu035Vuy1F/F2Hr9IJvjuifv9N5AY5419o 6xffSnG9M/u1fY6b0b9uTKGFydiRFJbzxeJ+wEZCoKXG8O0YJ5XSVTOmZn6/K/FwQDnaeCeIuXpO H36z2MOviaL5iOrdNCxb1fJs+tJSEveaInpFdsjNCzInSWPSvtE0HJcDMhrgX2DWxE1QrQf2Dyc5 P6zRR6dsbUNRXg01K8iqZIGxRjd4ki+Z2xCWC1XuL3AHfgTmMtlue3jCs+8f1bKHHeA8ycFVWJzv b3twq4Dgaax7XdplHobIPUfSQZfR4ommSctY3whGD/9BZS2r028Z9IPIrh1pl94lStUr1rB+HJp3 o7fyp8K5hM7cFRS5FOp9GCLKBG35elbtqX1+bEoBTMqeLABR1gZfFAzBJfoqNCb6wk7iaPQmSDpx /U4B0sSMeoOxwcnfURM5vxCao6PwPHBzG5NnKyjroONY9mLojLdvENB7mHhNU6WSpLrULJvZn5L6 wf9oKiGCEyC2sGd8D8+Zg1ePZucqTaWLQsenZPX4lbgLnNLaT9MHQXdXqPdf4+okI3QVc6QaZT4J ovBRvADY1FMChIf+dyr+aBi093XcIHqL6E7ZekvahBgFWXYkI9y+D4BXyLdjETlJiEv8tXeFgGmz 5aoj9QoRqjGIG0JnRovbmbccZrcyjBvha5zKLkpHNshoKwdXLr8m3qiilTr5ZEAwS7JejbrEjop8 ZT/sbbkjvSvhrRzVmUm0wnVPBhzWvM2GkVq5Bfj8w+rfYzLWsJZB+8tSnbhs5D3ax5NOYtcX5QgH Qqo05JQ59ev4zkCSbFJd3X1Zbnl4lcu2ZEjvsmfzIe3XiWlqZvH4Dpgyf3CVSBvunH810vkr/FyV VdvoI8AkwUROlYGrehxtEKXJyV+YHsC5DLGVpOpVIW44BXOGbHk8dyHoYKr4bMcRlSU040hOX3TZ EkyxcmX1642VRk11s1p5q6OTMdPvwrzHm6m12VjRU0djYhFEXsMkORMq64tBov49bG7VrEWyNuov AGoIan8xONT3ikZRVHi00YBqKA0V7fDTFNoM/2pG3/2m0Clc5VZ8eLNlEOlGu3uYJZ0nQpzESNee R8ToL6Y0MlnDxKHaWFMKlBwXTWrzAS78fDe9nUa1PlXl5TUAETfj0JfzOEgS04+q/7mjWZ7s8tDu R9b+tZaRFcuzgikgicyQ7CPKescD0JG+44fnFuuAsGl2O/95GNPQAMbxP3qXCyO0piuLQwWqka4R 9+qphGid/cGn2exv7674tt4j+s4gLJtDYtZLzc8uLgj78W3O0NHwXTpK50kMF4G3im7xUKccwknR 1Icu+7xunOBoKj4Q0wIQEw65l4qpgicnHV/lSmQd6V5hI4UM36p3yyyeyUqNGS8blSfAfpNEzy/F 9Vde7EBXP1pyCGLly/A8CqVkAnUzDTgXI1xADCNBlubRYkcnEwZtlYI3zTj/BcFch9M9/k5j1lFu DT3tzI9Du+5wtYjj2I55PGXS/0RvSURAqvCEYnGS4RhsUT4/refahYPBe9uCh7s4+yf0atp6mqF6 MtRefPXVYIq+TSQOd6WqsjWthR8r32/tyhH2L4joi2gDSprX9jRpuL8gx5wlakx4cHt3eKQqUTNE IafhnzaiyUhmu0mOpSeQrMwU4fic7bNkWVFguoksm2BBbgZiFeGH68ur+BO9hnwuoqFxl6H39w9Q 8EDI+Duk3IdViDJ8PJ+g3MLwwAAvCWMZ4+nMej6fZSOptIp17d8OnA9vfR+NpTO02P1bgXkU+P5E hMVpN4ZhdhQRMwfTbGvPx6hCYlgltq3veWJ/4Ui/051Z6RzcSXwqZvsjyyFEA2JtUUMm9u3YOXj/ hCK5Zuc9pvudsfsMr2vFgXu61IgbMBeijnBzE+063HLSJxSTLCs8+jVAhliD33pyCvi9pueM2Ksc u1Mo4UCqiUUoi+cTkZw3lfYDE38GiYIxf27lx15ZX8uiNYacDykSrFcaSgH3N1fOM8OcJWiGGtCQ hribYtHtiHOj4p0G0CLBmsZ7mfnISL6KV9D0rt4X8eLBijAjDnw0ljkhcIliVjYwXTBIMKaAiqiV Qf2akpfC/ExVH0UL0uR0kISl448RRNwftfn+H8ydkHTd7fcod+Hmj3YvE54tHykvA56dbuyJewLc MwM0s5PrWIXS663+X+1vrmQxn0Jk2gOilG6N4/9iTiF9tfYF8wLVGNF3ViHhzZyiszqLGsMZIXQb MJooXm4rSLpiz93oLBzBmwEK6HSDG3IeaWzDqi6jWY+Wo32j7CC0nxmuBZEG/zuxZAAf56KL4Vjq UEd8d5p6/OVVy9SZHQwRUmfAN3XGQJnzigbUpb6048AoiPhoc17lfxw0ZSkNh12qVr3uzmJTXRmK qzJzpKg+LMRxlybw/kqV1LLK5jRrqe3pX8LMDLbRY9DqQ/QTifzJLP5KmZ9/7SZuyp0De9bekgFS xDhsD+T3fiZsBsttz2vgoAZ0JL3zB7qwk7Ig3TTawd82JJVvYtPygSybbhK2mvOJ1ow++e9thdXH Yy9EYNie+D/feydOibFC2uELUfd8RDiVnHsLSvi8xGbEh2t+NTIIcJ3t5O+fa7j1wKirQyLVsQST pUxGgC4nMkD4zc25/QttWbvJCpb7wGlMBLkZJHx4NcP6QH/1u44pSbNO4scL2qjnFgCf2LY7vVGh d1cCCL1gnAPWIbEldZbXxtTwoI2XpbQKM8V/LIFUnNR3coUmZeTDn6Bl3AWLX3TiQvvVXn4kE0yU 57ILycke25iu3Uxm7NSjMHFMgMNpIbdRhk9cH7wdIOSrM8DnOzDTXQS77J1kNLtmFD5apdhIQBWO 7+oXKAObxr63BPv/vylB49CCIZJU1r/zWn+hJQR7ZGg0Ed9WreOuZJoAdIWm4OOTJJGT1q+gVSoB nuJ9bkwAF3ofqYpBGBTfpHuQlEYKLT+nIw/lo8e9omKmbTFQpPoqqe37hUI3A2swbV4iBG6WzJJu UzYLiFiZpKVebIhFhhoV5X5Q7EODiihrcSj6u9oJa5l+uSTE/UDz6MsJtIcUK9KAfle86VgFobwd 9sgM1dTiZJ1yYnAOKF06/l8nlUcHg6qEEB6GX2UOca7+QBJIPx/JoLadlGa8ZDp9oxZ3pktuBPCo 8Fo3pxpRKV/apjRJA+gp9at33knIrzsVKy7/stdiWT+IrmbjuGs1IEHC2DQjLLzosFW+MNqErboK /Lw5jHNxiA0W4ZKZpLyBE6ivkkG2V6U6fS7UI8YGyTFBYZlOa/J5mnRf1xj7TY0IwB56B2LLJafe 2/JqtZrlfl7VZg7/CCwTmznxUR+9bulgM7jxwKyR+Q4UxSbMGY2I3S/Sspxh/QVPMacdN5X2kpLO vOpRAAWRgBUq+2Ob3ab3+ZsBabXwDH1WOcKZ9ykODCnsXO8pZatcbQ0ZJ7C+4CF4AVaIAAy0H2qL ZsZmu/9DV1WAXa6u8UZZ0yyqTxtdYxOyW3OD55/ulVexwlj8yFSbrkcdFJKPjb6+8alNObmNYXDt Eu3C+kmd6OVV4yrwMNfDQiARasO7OHmRPyrQpmmPZcwgZyeGGIHp3HnF923rgRogQvb7MIvFHqCZ un0AinnGfCYt+uW2ItXqtosQSHL8s7bqYjc8A2nNDsxlmV6PqpnhkPNXd1udESLp/XB1ct51Gc9M WW3W6yT2EbX8usFi4xU79rWSSNOJJomluXY+CWs0y28dyT6sEW8Wa93NU6+LInaxYhiicIGKDtEG sK3QBqeG8RRCc1hqiSgKTk7NbM0iR/mKLM83ceeRqfSXDK7TmiqqsHUYbJBQZF6UT6z39ZKvHp2B JAxdOkMUEBIJMbbRbX+1giBL6RaWSC7OLrzYigXwT/rOo+s2pcWl4R6FMuxXMf9+eBAxgQEmhiO6 JF5bkZFDG1ZEtqd6oZvYuP8Xzu1LMp89y0TOQ9Bwinmo+tGVU70HNuNZmxNQrWf6q9vCqI02Smmg VKR8Sy8gN8LCfShvgzkdsiWCUPKbVZbyYvFi/JDP2din1+eoodNOYnLKz/oTZtao6Iwzx8lxkjNk BYuFIg7uDS8E+3JuV1MNqEctU02YxxpixOwKtAhIzipDMEWrwM53ltMz8KpV11b7YNfXaPZmIJgx JZngtt1jOHxt/gDfy4dmlAZTk1R84DRaD/DzZo50p1EReHXjQB0kJvIH+2bCex0esy2mEyGw2PhV iYaZ1qPP0cDZ5znOEA1wUCBthusOWBH117B9ZcxWGxo783kpvGx9IcXNBmDbbqAFuUAxZWaEFGwf oOb862CqCN5jTky/2oJw8vOmf51Etvjy5SNW22eKuK6jc80/i407wW7m6+p9YpuSGaqs9+MPkzVy q9gtrbjieuuiL+kIpCEhKuI2SQemYWcDlHAVuWI4IJhda/oCGAqA9fxi3M9BOHyhxuKs78CNK/LV NSdMYCeuph/lTECWQcP64SiATaftlo4ev0lsYoYA9zCfrQTqgFKdLiTC4FZMxk8wlHYKxY6G1YyI IOEEEzY7RH0YEEaaBjMn/H7BGytBpFg3lsnt3OSAcSZtb9Q/KzBf78knJYU1xsLuTWhhyGA3JHr1 lAHPn7JHMCrK4q2Rl9fdoVTI5La8asnpuezIkptLj3MfWsTljj65oyeC1e+m60ZUov/iubozPznl KJ64VtPWttO4Adc6+R/vGhRrtziuMB4pmWwPGMswB1GHlsWM87SzQQWyEozcXS5NhixlOaTpVqHx GngReGQGcymkCNDSZj5qyZ9kWpOEU8bvyHsM3+lvxOHdMYePg+f0cUeVFnh/NQ9E7vamJeCp8YUK WU32w83oQGBd8bXVxObZiaq/eyTSSvJpwxFEBDqB+pcptbz4e0pzeMMJAmAqRcNDrO0XahIq7eAn wN6fARVQmlTzEuhJlZelASi5URi8+jTE8n3f7JyGVXttA/JellHyXzd3j00BWHzjdbbiSCCto/91 9+8cXMDzd34ZUI1lhzTW6uHLzPOFBKCJlsauAxP09tzEGQjSbbYdEIAwiJ6c56znGihWdjPSPqua EAB2RyubB9MzxhIiKbTyHre6om98dM2C5gZ/JEJ4HW79mbLQWjo/Cpw5zQP+0dvOaWl9HdN/4PNc aAGL0mN3m5bTJ33KrzPhgho1VlaRjziIORuy0F7Lx5653SsWf8RY6ITihhEYd+JDL231ugyaGQAw frSSgXoF82oDzxYZJ+3SsI1cPEhk2hB/H4H8RJ3835Q+zW0WypZOvrcRaVCJZGb5xBv+dvmofI6k d7a/bzAlZ5qf71CA+N7yZRRtmCXpL9SliGv1rmUDwzebGkiEM9ulvMLJhCvQE/QESwz8Xb+5An+v IygT/Dq1LVjF4tBZeHTVaapUlj8qVfhe+TKgNsfhMBW1GYGFkAqypBR2QkzWiv+KEN7SnISPnjsW 8ELAZdAg6iE0R/142q5xqQSS4dBjBonioYvUnwyMiilO7bNrOBludLWPp2c/4iV+9W0U8mFZILXK fDuy+KINniOPproznt9iF0wSH03nutSDDugkwMlcrH++DWqB07RokxFSKQT5gQx379Yw9NlM8OUD ZZ2VyArAsKCW0tdIhu9hrq0sVCqa3NPvMne/8sa2XNLQJLXJMJDfYHKLxbBS61krecyGwpkustX6 0p8+QklWj5s8u1SjNKhwTKPqS0NRtT+OsTZlcKeSdmsoxcec90WCmMzQnu1q6c6PCI1a2cxKR3Ze m7VENCfIXeTObxIdPUztKgm2qPCSmedynW4popstFvhYlMKrM6wRub9maoDlfDa4iD3ygpLtNs8c zjQZCduuOjOVbnynSqN7dNR7ZIsX/+XqDiIqBxayqIRNTQPRPQ2HI5+65ORkwoJOSbgyEXBHw9r4 vXUIsuPc8t4pTfJJOwLkohjMPyKQFqt3OLnb+IX7NTgZ1PyTttW6PQS0LbtUgDiYNQFMlE5QT1ls MFvp63nJWSMEdrZ88ulTQl0B7k4k+S5OQe4SCWFFF8LUvH6PGs6OPiXUmSI5+N0Z2e3i34pz3b4x t5mjyxSUGDRBap2jbBOfR0lGilmIWV5AxnzPl+QGyot7LFTvufc3xk+QV2WYXQXNHIIhXEwyAWQf QgU21iQKM5TVAaPdfllji5itWcHn1lJjtoSJ+ygdSG91t7WUmq096SqP8E7U+/8Byg0MmnXdFJ0p 5ppqVE46J7jwQDn8PevIL43NCCE+dCnBaBrfEZRFghzH+CWzExc3aVPnWMkAPkMBsfj2Ypx74Cew uKlwk8FrYiS11z6RQYnlELmfDU0GN2N53M9qOgdjKPDp0pdUzJVbKE1kKsUFJsPxtohlisdsdk7M G7N4163apNGyhjh1ovu5jILIebeTtFPeoY2Kcjjuic8sQAeJooDvcpPBIwNb3DGXqLgM4lNBsBnH B/aPVNq1HTlKzzHynIy7//4/eixgQbi2cfIcdiLs2UfRcNAiba6G/7Fvct8KI9Y1LNoLicyg3G5d CISTvas+WTO1GRYZBMkZxe+1sKJEY6OEzhmWuBf01GpioJOtfWcNJPDSS3+IC4zquYjCkDwDVm2P lMq1iEr/O7T/jjjSMijokanW5w5TAfG1NhW61LfKOxnEP4wkx8EmbVtBzB0W2VUG4EsQFki3HtEb LEFw2HsdFB6czTxnVleojSnqr3lntI+EoPZjzzloAvWfgNnHp6RcGIqYFzzjW2N84TlaicKqWnYz JhxerGpc3den2GFRBS6KuyMaBKyXqgrCDDWdIOXmO2WfDKhDTnFmMDJTsx43vw26yTIk+CjNv0gN eBAMqHJuLcbbJ+LGq/hrWjZf7oW34HAl/MH8WBksfqT5hZhJbYapLJT0mgHCYtfPxAMA7h3iD2GF 61Y/uOeneabEQ5by6G5H4AywgNIZRITuh/Wp15YX4HlZNRyrGatHsUtwWMDM8TtTvuCofl6FsKmR gPOMfHFvgmqBkBFBgJx4FH9YzuJDcLw0dphc6dC19IKA6wKMklYkhUPELsHhZaoqcL7OQiQyTh0U c2twQ/UEoVw4QaszNQNRd8yHBQENIqxsJRI8kTTPam2LdinaVatsxSb2jmRiWLbLXNh4hbpAYcp6 ne1GsYkJo+1IBm0/QjOi8hgEB+dmL3eR48jLyl/SuUGnAUNBNir9nRHhlLdYnc1wg7K+CthYpNcC MqPg7eXFdCm4HbXhya89mrPiZcE33XlX48l0VnZhsGYiUpG9dO5CkTRAWjY+9K2z1hIFKGeBXMtw EJTxb67EEMFd/3YYmU+RUZPeO+iBzDNXG5qutMb8qR0efNLgPJwqTae8Mjcz4Bzcnz1xNeEypAoy 7kkFn3U+nVAUKbFzqp2cjVvwc7TWsBybwldXN5G731cYUtNqgLZieEHyTh6Fzfgez/wYP7xrSBGC aEwHnGMzKMYlyWGz1UPmspYTgeVaNxzLK97KttnwaOvPm3UJcv8tA86ej7rf1HllicMBg0YjoNRn Z3XgkEMP7OOKOD4/qPnnn/Q2d743jPrU9jfT/qNYCISJ8yLO+3ytre8guqUePbkn4DieYiJpi019 XjY6jVWN/u59CntNRpEDLfL5AIF3Wv5EONKAX485BIBBkdS75HtaNni2DofAqf0H4b8Z/KtnPx57 UjAeUnrrDgRUi2H18h2yrZGkTsU5FhK5HgT6hDPgA39GyXBPUwTEKH9T47hn0XJzXWLZHqIpBNl9 88/C2dydunB7IxPh1qXXP0dnkP+0HWodFA4UfzW+G+dSvt/ZQfX1S34Tnoxws0BcZwKdAr8HiboJ MRXnRj13nNfoNcAYhbCVS5FQqFKRF2UyNlj1jtqtMQFMtj4/vlFDw38VkKXyVk+txPdOJk6iebAV uOw70MvMKXV0KGvx0xlKAepLJJj47xKdZCBzeKpNx71t3U/71PMTFZg0Letjh3P25v12pBe9rUb3 5426n8dtLHXgRC4UQod8NC7RfNOfcufzEjwzR5xQ54AghegCqYcIictY6oK6BIK+4Pd9eAkcTBOR HC1wDWY6IDy17tjQ6fuOpFbp77Cd4mRqum1y6x77xHVCZC4f6VJwYkqVpC04aXe2uw+VlOpS8y5G L1XNpgQda+CpLi98uZud6bzfoJtTs9xczYFmjKTmrix14oQ9DShX2oGIUl6QswppWh5LOfCXAPPV R/t8r+PTgNrNNpKypDAjwrT+HaCmjLDqaBDRFvKAfX2YVlBqcnS5sZBum2/VipvsWo5CEJgzdwtB x2uAjQeJxg2ZT7mwNF52LnELdD++BQeLAPJBAnwv9q3waQxrn8hxIt3dEL7o84NtLfF3RVr/9ItN +lTCoZbpsahlf6yi94agFQdvbkwsKzYMk9chXIbF89TdaV9a9ZQndNo0FbeXuy4gVBM21O9sA2X4 mxuJ2ScCGw5CHWLufyjAmbfGm9jGbgQffYiQPeQT72RLjI/HZTEqoQJZUeRPrzgkltq2MxXlOgxe oTMET20u+oTbfTwLkcSVKx6cSwb56d6PRaf8c1+z9ykSPID0fS3T2rkCVgyG3iv6APe1IDq4FVhl NT9ZPdSHomlhYC24nAImEDcZFg5XP4hWnpCXpNZmHwLBcfTH03oQfGz+TvNzKPRrkNoQhAzmFcTG AOYGbVyu9rMcACMiGVSWdB5JPMQrxCt38VOoNUeUV2I6MJIMZ7GmB6fjtlIzzAjeY0QOj9/NoQqL VxPOKJg4kSqhzlBEmWB1Vq5IXA5jBoSEGm8r4Ki/IoT/kqXQG+7MCD3S7T6oar6ck/ENZ55vEO9i qqpEX5MYRHkDdlH0h2OHXTBpaghqDtt8Wre0FozJbAgbtyBFfKULEllwuuRdwnU1/rmG+V7fQKO1 I8ZDnVjsMZnmGzOINNYeVi6sm9rgaSSR/n0QdLxa1Ru9T8bUXiZqb/hgz4H85XzEQ5FH/EZzm5WK 8dgo+CetNICzEJOyutN7fsiTIYlLDdZNjNgk3LMbYkW8hubpQSiJUKtY5xFTPll8sbzAm80NjDvQ U79L1A+RU3gdD8btENjZM0nw96Phu+C+hafEWqRsa1n1j2zAXlzh8ipoW1B+6Ql05Gjum27OCzIj M9rSrQeVuI9PZmQfBaV76Kx5Yijua3rxaESVWJpxrX/Ks5yEnYCafGMkooGYz5SlMy/gphCUM9GG KYnfM3jD78I9kNbWjJfxDj+xGPhDw9yb1DYMcmzOi/MNACTYH0ySemwvwW4yrfXySuyikeO2/qGw RRAM+S41ypW8gyiFPrRQPYr5Emcw0G37ZEVMgHJehWky4nAGjObOswvpcVKUEDsPNGJ0HNnVPvji dKwwsJOiqWx5OYHnYHOnb5r7dclKqcDngjt54U3LNCLFxxiZI+nRiza7Il9VE3IoAXguB9xQcqib z3+JSYoUKk4uk1PR9EH0M35DVZa2sU+k5m9pTkJi2i71FwAMlgTPUmvMYMHpXnDH7Eu7NHH2AWWE YRClzlCikx7JxY5x2+Zce6rGk7ALroSN7L0QGIrFopkj5pgXtK7LlQyX8vnGxl8Qweams1gCSLh4 KvBzr4MveXzinroOkJp5H6W9Q3fRo3QLnwoylFPNqSmjEesBm/h2jJTkRjgTLAAqKNFOyHogiwDY n095mWVL+njYP/DJj3dBnPXOAHHr6skdIOCxuWieBvxwoDvMc3FzCLP/a/hol03ZgVtgqBj03YDs DNzlkhjiBGnVnNXfAfXAyGtxxTn657yltYXqaE6SCgRK2T4qTa1H/2sF+/PiEPvjHg+IEpnipbVn JkxGskcHyU3XkhwAB+7MLewp2M/uToF5ztC1fHUuOlCUk2m99zjokyLID5Y5OYNwlW5zpZk8YCnK EuYophOtJZL7tEzy3mYTuWt+E4ZSYSLAu72f/CLdsUBvQ1NjteWA3RGA6IfbdgOF492ounNRPm+M /Ofj4VcAaVAN+KhFLrGt6nIXKr2zc1vNYrPN6y5LI1VQu/fG/D92FFNcszLd7LzbN4KY2+TDLiM8 hlKHVOakOcxj6bQb9Zc/eSCz1nlJqif4nPFHeC/+ruj+j1SQ5P5Ujj55/r065v5n7OA8qmOTxdDm crcSTfWs1GBA9ucoayX2HjW+bBwj1w0TQFtTJq7tXtIjoNNq0WTMSK1h9mDJAOlYFbSJmKsDPExl li9S8oUcKImaELCEY5QZ8IFhXsW7bh6rEjlt4u+CXcQegTuLu4sLsD9eP+HupPbxB0myeOwA36rA dD3N0Wqkxb82cs/EGFn9ns01W9nU41dfztCWPuDW/iamo7DW7qP5bI3utFJ3QnndCf4A506leBNn qiXnsCZolfLhyTZsrHox4pDcG9mjn2gCV5moGtdeg9tDhmxfxcZMXCfYdIf9SKJSVtqMxPDKaE1M xO/67OqRelrPBbgAXreAOSdwM8Tva6gr46l5WuPXUh8DirDyJrn/UKa8QeoO/Y+IrJlOQRyXjQL2 6lFuQcAy2T+ns46n5ip4TEsxdQhDCDIfnIcfkvTUOgXw7oDVIOWdH4cRr3MDG2vHsRpt2DFqKcBc tQQ4waOx9gFLO4axY/DQiEZhLUDgUjIz+Z2XwK/ZqbwoyVmwfnUdXKj63SSi2kj4LN4r3NqRHdkA H+If4pZtFAVuZ9bmaPSh4KUwDo7arCjERlkq6whn4/sSRI/mdL28mOF+//OAappfnTZwpATJp5hk nXO+JkSi5lzKYc8H+SPC2tTUNnApBo+KNYWis4N03KJTT1EUVIdVJ9c/tOUC2cGit+BytZIwaVJ3 T4UIkZvQdcN6i+wJ+4/mA5RmrhHElBnCw2djZsD1PdbfuYpMNoxqI2RB+xfvK86wrBM510mMIGgV Zh2UZQSL+pFxCpu2FVdnpXVOEZc0QvtUXNnSV7mKtBTLdMQpL6TraDsExhZvWFk4wcT1ehbXbUkN ZmlkVpZTNiFJcftDTnE+AwMurEa/UB7sbL9ksmnPAfPgIo/sijIHsIc/qcAp83QeemDS518aZYu0 /JGBVEG47GRUeaVT7bp44WBrYxhkFrlhePB7VHMqUXiO/4m1RahtEwHamSSe2B7JGjdOQZf7tH0r EFs9+vQmmGTa10nGGx7tvGIvXpgjFcKDkaDBKvB7AbMPCiBFqZKP4NZZ38/L1cQptK0PUJCDsAaG JoUm8Wo/u4EhUW5RVJpqr6qCikmMj+1IrQE7b0xB1BaFL4xKSyFoJr3Ln1HGwcCuh3XvrqoCXJ03 JMIv7GL0m+8Q5viZ6lzIP4exDhSuQFe2RnHmzu1uenw7oTD6HX70wHmLMO9Rp8ki3yRWXSV5nlBY dFyutTuCRBPTPcVEQgjbgg52OYkXkrivgu4TKgftpoggRnifLLDcn+y6X1dkYI31gtYh4HPj1iCH QvhynANoAz5oua5h/FnLxSq+XhmDnCLU466frnOCl0/Yv8eRy957cEjArT8ECP3Gz7F8kWNKusHj iG5uB5BOt4MF+si0Z5I1H6XJKp38BG94iFFYSm+x2Ra165XTiBBAVtyQtblVuoC1Vr1rnDKV6Qvw 8EU1zXNV6l9tjS1UBuCss9f436Y1XXfDmpclx3pA5qZVw7pP17462Y/n1ug6XSo0afC4JJzf5kyp gFy39iBb8s8S2ayl6xomxKe4WcYdIdYPiOGNJIwdynjq5Ziy3EWXC7u/CUcEy+2jnKF7HW6xx+SZ P4fIp/vc0LJe29UzXVxTWHaBOkY8fWJF418MRFjL3yrRkrmAkbHatMFjb2WF9VL7Wyc8qEk1X0aH 1Uw47afmWaXd9wyQrEB3faZ6obYUL+Qzg9FhWcgcq8oooZEeGQH8Qd9iuzkoI3rmi6qvEujeHXSw aYtUe297c+pbWkzvgxSVSW39B8Og0BhZzyBaS8IGrhMYwrkwAZ+VtoRqsL4eoDLqOOw24ZJLwexo JpQ3M3NoPF9XtOgrnDmfDDbha8/qi6T5OM+88oYJyK+77TBU81848vJnH3UvdtlJvgVpv5jjoq2N XNuOnwDYT7btZ1MaAbsHNlt7S/nEfwR5XTfFIZzu85qwwWAeR56Fw0zdpLjqnoQK9WaGKiOYgggu Xrf+SdFFHl77JRyVx6iYHRij1Givhm2gsd/elt4yno6wqFNjZzXZ+B6z6O6GqPcVwahUqxLXsd4p YJE9AYpX0vg6pwdOmxv8yQsZ9wjp7n4jO/cAAYS6hm8IMgAMtbRNT0jtvinPibAT0Uw0+ayhchBb q/kBOrXbIOdSGAljshEtRHkJR+xhk/3NyJk9zfDrDpWgoKeSuaPl7HiONaLebTb7T9KcNTXiJVgN cBCDTf3mLdjfWJMN8agvDWo7mJCOya2eTlJlAi04LGjIZGe/F9U/XNfLx64cSL+mXffDfEh9KwSd X+Ksazid8WHN+gMRlxxViFgSe92Pcfb9LKIwBZ1O3C+GbuCKJZ6iB3sf2QBC+jGyt5SK9UqdxPw6 W1JYBuNvGRebx7xObS8y6v1JfozMqwCz++bFyJzDOaYdcFClZ9ZSyJ9ZEZJ7cii0Hx/wHkGgGggk o476uxehsdxpOdVGpt7KX1fsykHJtxdWfTIx1RVS8bIgmRGQuIHomMoSh9rjPUvYmrzIYG1h3Mn5 CLuy6MtkJT9rGXib/25Vi1x/mOVVvcluq7uSk7GQNe6m4q0lhQjYZyXrTtkBszqL92dv1VNaCJaP 1leGx4LoeTvXA0HnDjGnIrBIYoMf/PStL2Cdfwao1JPc6f3MY2VrDyLmPSMHsiMrC3LG//bGzl7E LGUU5CEk19CXyBoL03aahBh27Sbm69CLRkK+C/8p5+WlA64IN5X0QQ5f+RXeYH7+Koi9NLNVF3DN rdyar2StV1WjWrjgWK1A3kkebvo08jo2YpFoNxzZ+gGNEgj4K8yQcS6AdeB9tYRB7Mync8jOklWP C899hKmUps9WZGyYsmmL304kWZ3aobHjWCZ2sSf0CeQUveAZUAXt0WNi4Uo+GJ/Mc6E/1jAlkPtT pM0AvljBpOTKDE1bqg/28MKjyjU8ru+1b0rBW81qIhV9wCQE3sagZESSLz4SwjrdgiL6mhdCbaWx M9NBRe2cZFJ1Bz8g92hnUL6ih/pe6wYRrCRnDK5H59woVJSSPSco2150IP9W/1Gge7+sphKRyalr 3JaLuAnHMnEk2j9v4Q81Y0x+QKMpfCKBT6sYSL1hTjVJ8nDcfKTmJZ/2Qc9OhdP921+tZAy876Su 6GJ6CvO5bC8bfQtd2GbeQJi3g5MIDvFygsNP9TqC4hUvyQxR9sSlZEB8a+8YNghdv/BU8YfcdUDq xeBB5vYIaaG4bn1qIabMiUUZtl/Cg6a8s19ZVXPgypQezK5nIi4rT3KTS/eGp/Uv6YfMoAXbkeA1 ut+nabCE3c0NTMCLqpK/4EPtxKOJzY7wTBhtbhMc/hhiZaiAmHKXqJ3Hj6pNzeeiZUa7QCtdzJyn tyxBIDFBpz6F0+n5ymfxkh4TqYfjNjCi+Mkw71+hHzgMJXW4oSGHyA878HZ0Vz9EUQREc8Q7li5n KqaubfcbZbmnqK7h5vi3qdSzeQX7CR/ezDjuFyTJDUpuCOxDcfMzQ95P86xAuxT9voDIY/UPpgin U6mMfDW1a49PS52qJKuU5ohysXmsAw/NG9EqmfmkjWsbW+7vR16d8XwTC2s6xe3DkC7+qRGHAMXz /vx6CN1/uIjeCiPm89+yfHvXLOOsj+ueikf2vPyNEOy6qavLpzvgRecFh8KMwW0EbvxVsROAykV+ U2J1VvEXYu4wCIvfVzjFLwnN2i6vQ6qbc5OVS56ewKHYxMFg9bUKd/9eGEhGCHzDyZE0L9TxmCmS Xsvz7V0ijzV6i5iTio6C+zt09Mm7cHW0BrRXwz+SKF9PONDX1tgTGtH3MZV53DiCmNVe4r/isJQg OHo7hu6zkAlBCyBAdGpkDAbOh+HxH85zjSpDroxFapd6VwfYlUVaGU7exacGRnohPxiqOkN7BZuP PXOFELMhFP8cprg9skrEHhJer5zo+BxlfzK6AlgvM0xiZxJvrnfFNieKWerKRsLUZ8EQ1LqLUq1o 3Ic6no7ZpBUoFViuOppzDKDBZkZVxcunzRyk/U+PLkVpHnKAChu3ijGW8xJpAmzzooB14VUMKW/G pZ9skkUs3gZ8ZXR8UaCa9fylN2f6ZEVt5TxCha8MQKfZ9ErUkA0bmkpkwVaFgmyo495Ax1yhhzCH O40aaRMq/pWSZlV0QWL7DE6ZFrCfJq07crq8O+tvSMb74CD+OKtvKbFDPMGCL1UACKQaiDHav4+F rg2olvh0DPg9IU0e9JYnsv8+0zQHdUYnnbDYQNI2okW9D+h0aEobKNNPVdZW3oW/d16+lTaPfe9k yV+NTV4pKrhSqGBmiqAHlRvbGDDK5CG+/e5OBujdC9vPkyuNBnqIVfg7F3pG/FMVqNP1Hl0q5Iu4 1Twp7M0t1S4v45Q1El9Y9rQVdL3x/Ai9315cvdjfjbgmDsyz3zypumhgmLL8k3/d69ECTdoZvQwd rmkBvCQbUxNdLPmG7ZJJu8Nn+X40/gvmWs+7DAp0GR/v2yXzoaZzEdfGbs3wOlXWj1sBR3FTs0D9 yEcIfqoBQQWGqmHDckaRimEqpfHkv81IsRWbiBwgidecQdWYUNqhzZ1/PZ/OOzUETQDWQqJ+Xh+R VMwhfyAmOkNsAhVDxIzdkpWoDLkLFbrmGpCmveYBXKekBJD4y5aP3ugca2Js2ZtedOLhqIcuvUIA OcviFetljFMdwElf7V6A8g76KpLg9xP/bLza6LtvpKkWK7AOYhTjqrYRM+VyBhIJJx6rRKn1JxGZ BYXCJU55wvrygMC+uVsznC/jNT6jM+rxM+TzdTCMbB/8MbX/j/kK7b1jvWu9DSn8A3OpjT8L/csy hsFzKzS+DszMpZ/cHiSLKXOEl9hK1qcaqdNa6L8O1F8cU8RZytqG1qHjfGWJxzuyg5ho2IUq6aGV ktazNXf5QIoDXXDYXHlN1FLHjlJBVlzBbdDLsG92nhBzrTAi0fJxp8ujk16oxcmsJQ2Q8V/qlnxN PoxlRYnQtO17Fdm11wleVvr35pHW/rZgMJzJGA2D8BqXNykEdw4NmZ72WseoqV3kku/U43ql9LT7 zVCoH+wDaPVuOa2q99RQq+TW5XOUxDMGMb6S8lg8vNOpca6bZfZpC98xpq/8UsoUB+e9xsfKqcsZ 4b+dp+9RTdCidd8YKO1mzyRKFVkkORYtKRVvZpzT2BYRUfZOydwFaWBKydlaPa4hOeS0DME1sbw8 lqe5R5n/sCqX+SgRmreXDk80JyeQiswAXA2+rQlzyY2hdyqSFBMrrZ2TzCoLTRA4JgoBQoAGSB5O LCehNhGnsUc0z814IvzA3IC8rdjFLwCpVOGbx8yAP/KaPwra0WzOFf8QGNbdKH01pm/0+6iB5GBI CdD24Dtl5WtejViCtFDmKx+rg4MeQnMD0/hXxXlUdJEBS8d/bVlOyRaD8VgsFtP0QfxX7Y301sql yeWy/xc3bTZvYqG6a+rLaADrt153A4njYoX9eDtQbceAgzKICG62SV5F2F2KeLg7LAOTAxJ/AqLF cvYIsdGsK6SW/PDGQqksBjvtGXuthFBmosML+GFTb333k2TvK5lz0DDGuxzCPIrP0Q7oVAjdFxGF HPbXCpYzEMl5aH2zReCeMHRZxEcyOna3l+NRwhTrJtrz2rHI+GQgjZo+vZY2eboCCXe7/SkltBoi 1u/FI/VM2WqmUGQQGpx/2xpF5SoF/XBcbCXEtgUKRhoAft4+4Pvv0ALdy7PQS5kduMZJVFbDmbA6 +Pjj+CUOxSNGxFbDYhETJSDDeTgBU+NqF1eX5tKpIJjliWCnJ7Q5y3YWqTmpxIFAmztfoFUNKGkg OJ3LsCV3iVOVqQ2goq05QbxtFXq/L1qovuApbwYGsDUb3fEvgy4TpCpuFGG/Fmg9NxI86d21Joy2 FwZKU3u3ub5AGFnZyBMsjhdjjwxh4d5P+VUV8ZFU8D/v0pIkFUWiQsfECx8ozUo0OpC8PNw+plA5 71rivyam0f3f2eHvvKr6Jwt/fszU98RnVcr4kBqOPPbF8nTPpuxTXr4o+1fMjNEVNWcglG10oGQp JyW5A9PwPuD7rLtZHLntiBRJ+UfLaEcS9DfQNZZvbotgJw0YXtFQ1ve1OSVcKZRYgA0XsAyAXBve cWp2WN0BvtuNGfl2oMMzLlYbIKpmipCxHAMOt2TIzkKx0BmNElosoTXTlXUZkm4BlLEvqMgonVs1 q81Eu4scOx2CSlx5eO0D6I0yYU6iG3r0M20YpKcMy7JMOKgB3NVgDxdwrasO3il4qpFJeozf+c8i zlVMwMBv6igZL0/OjFgQgnK4zxT7ilfQMUL+eXx/LRryA5w8KJPcLV5fr7O95laUKBSm3JH/S0Ut rtI891Bg6CI4mVmXS3LLjVcETYAR2433JebJeUepOWBT11H59gZDvgvudydiUaZ5N+8bcs5aCYJe Wvc4UAEtk8sBsmvq6hvsymui6aveXN8jEnF0/GxV58AdktyP87aHJuEYcCDpHBiVjogB4movtU5H 1yMXus1/KWy03i5IeYPT1jBiO8ZPZwKvIe6lQe5Z1kIJwGYDCwG9rBYJeHp2cy+8b/upJqVRFMBg 1OKQx/BdC1q0U9SmUY5xSq2ETQMtM4+ctmk6qYJ8O41KEViHD5ji1WPWC4MoXjKATzeO1HKZRfJ1 SO9Scxr+rR81fNOGaqd+VZRIBHozfX18wj2CmSfgXF3tecPEMDal0EZdcAOzQsp+O6jOfQFBdgO2 cQf9pPoQfN7/dCaLMxPd5JhfUD6GcK+r/qGuV1CMFoLc5Z6YFTEee3P92Gx+qYK+ly56P5/aU827 s7UDJW2M4GHq9BqBbpLhtdh1YS4sjcUY3yqTFbkuHLVUFRWGBoepWpujminxcmJSJcFqC2jEifp2 EdUbNqYqdwqryUKx2G6Zj9s5fbdDwOe9Yk0hojXWUvxJTAYhz8ecIkcCjyu+AQntP4+fO+Hzm80W h2lnFFBnicwPQmzp0wGg+kh89RkAXnBE1MufB0SQjKL2Tunt+TldI5ldgsg01KrdRR9UXilChyDM K7tCHGiTIBsQwaTOgESlWfB5FnzoctwfpN26TtprbtgPn/YasNb9nOBbU6jooGOhDwUdIxIrn2xg 1td0KI06JSvDJ1Ds4MljsGBnhTUs78nQFHqZcWhDhutuzcdLT4As09zQ7bX7r1GqqgafRRnpl8F1 s2zRFWnipJBejWx1FADWdZVlB3D122aDi7cJtRIQdZetRk4kJ0Uq+HhZevXKqr8wZzi/lqAW5UGk kfZWB+Fm8LjMtDycGZOpxSWLZnTmFuBIj+hWfUshBz5GTb0WhAQWYf9tKG/PzoXG1gij9RLOWt4M uQ/0fapr2FdsHTyF/IfV/WCUatuEW/eRbm5IvHDFc8O/KPvZBvGaXRJFS952bB1Kz73g7hDikjZh 8qeFPBrGERSQlZG9zaLxxMcCvuzqiRJse30oqh/yZgvDD2xzFjkHlVVrlTCFpMBrfj/79MV+/Wdh KRhh/fFgQcHkd1JhMD+NUc6WBjvzI6vEWuldSAoC4CNI6ICVdk9esWXUzFTY7buv3tKkzypY0LzN rKjtqkj0FWBP3Fm2eyNxs35TbxsjKkQLIVogJPtBrtdv2nvkV3VAzWu0WC4YbjJKGMDCkVsRx1zj EUFwkq8s5GtIkmQWetwI2cSNbQtX8gUubFk3li5PN3C66yAQ4l5x4TyMEeq/OlteyyJ7IoYnDARN Gt4SPJVruzHo3gFjFQIbYE+fKY2TzY/Y61+Gq0uw0gzq0lKz7RCBZ1Qo9MhzDjKoSt5PHIdllJes NqUqtRAkYviPM/cqZ6cGXrjCn0L8AmiWgRIA+fWRi+6m8BnqjaFa92RNdpG0h0eFkP67Z9aUOj9r x3xWZqoaC31oj9p7qRCrrv1CNingcxCuM3n9W+l3jtDrYCTExZlUdKDWevYX7o37Ya8O6zesB4s5 mf3nFSFqvMwzVDHp4oCXl8knA+jsSj2tHlmj6KmSqsTO1jU5VSQ/+qeK7A58rfmmQR5zTagoZn8A 67TCz3cEZnlMkC9DDGZdzcLnkTXv7Id+Fl9AAYfQTr7MiVfyVuzJI45iqpNd2A7D1hPvJuc3ak0I Ru32G2Aj0U7+h8ncZ/zxu5ORpgJcCtS7Jtn09j+LUI7FvNhb2JdGiTtDeTeaAR+M8PYcR3yLV6IU 4ohec4Fh6rU7sRcrZQgLc+b6SI6Dx8/T76JWiplD0aWwMErlBrs/LcDs4Rx5YtofvRopYc6oBVHT C4LqUN3xKcOn60nsQiapUyxAo2EbK5W4gCesFHhjSRJnDwdatTKlhTj5VpM1iQ5fuV8l1IcHGX1c cJKpD82um5coO/EjmCk+wRz0TjONYUQxMhU6a8iMmIZrj+Vmw5RmruRe9xQbvyQI11UsaLbXAZAE 5Zn/+riEk96HLgbcwhTcr4s2IjS4sFJEwuHY3YCVY7zZCEuEEFFcrzZLt0dHnlMISKV9YwoY67uz m8HgpZ63cQ6+h6OxMdRyeA60bZ8ydL77IRKmQFhX8JU8lLazEkmAiaNUCA9E8mTSX2HCazidEbRs EGpN5gFK8uBUt29ZzMMIUPY577ncb3N3L0YOJbco4juvdIya+bdw7Mek891Ai0gqdZvNqlWmIaxm gtiuVJBkCqRo/DOHPSL6WySn62zs5cCP8oZ6BNqPQ6m5CdrLzJPfHXacwbnnEfbQnIJlfWXFZmHa 8g7OwMcUSraiX4MgLP0+TjpAo+9rgqx4ya6qjfwlPoOWef98VWJQTQLfQ1Rc1YNQW1+bSI+Cc7pV KLAqy0FN+JVMh26UJGxIUV9Oo0TjLEK+VCif/UmNSfUTkXrS/7gSOBl9iVPQQ1dLYwWLWVrfl/Yi bU8JJV9Bzy8lkm0tP9HBhOwThw2MOciePwGDdGAO+1Q2ToMHVIWIZCnaNvVyqEwq2s4cdVpXzqTV PkVeP2+Xf5ZTiHeF1szKzhrf7JGxtCgeL7IiiGPhAHgZm8lALmTGXHvnGF3jRajbd0DGDz/uy38C FRpJmtIoNrWtWHH7JoG3+GGRkCjdwGaEb395H2XoRuJaob87ZUzqCX6TGiPI2q3jxPOSPv+3ikB4 2uczQNezYnNpVFoSUQxkbvZV0j/9/GTq5AaAd6/yk/Ho1OAl2uQg+QMBmXZLVrrdGIIcGhmTWn0s jWTGzbAy0Z/4iCdNAc4ZPHMj0HVlyAiM6jS22PHWIwFouffKRl/+u7Dzf9ZWR8Guv5EVlIka6Leg IwaCqOI/wXPGqISicWX6lTCGe66xxLIoU52e5a0S4Nb0ZvYd5HhUx76Qg3MLK2qpjwi892AGI2u9 36WfVcVnBT6Gh+DrX167UkveD0ftv2/vjXrIejoTbBTNL/kHTtQQGDGYzWwXHhCLKLGQF5u14MRF IO3cKGMx31U68RGaYRW4d4tXTemtpsuO55R4bdTJtjMxC8NmBCDjognEO5GppZTb0ebl+nXXNFul v2/JrhJzxJhyG/Qx5nGgnQYZBOC5jZU+Eg+eji58xPO154o0xZj9xhzfbnWM0scICC8tFXCtcdmi 3S7KuH+2WZjSoa/MpSeTXl6Y8iaQ89Kt9KIu7mRLuxbbh0rbfLm7wqQznqEO3lnTMDkNBCx6PBO+ K+8Rom2L9uUadQuGicMf9j5IRzLlaa6A3Wqy/7QXRN4/EArguRX4JGPuhXdK9jxxlqRWy2hyO0oZ yWG+LgKJnGu1jRTj6rgkf9Avh5jST3kncE6RQ7JCNYZ2cpprYcDxpax3CA9u9ztd49HNjSdi3CK1 2D2vnoVJcMG3yn3rZWAkKaWp+q0wtxTKnQTvZQLaiCuayt+SlTixMjoAWWzHqomRxxOn3hlY2ghe GXkGWyf8MEV1tb+K1vDriN74IGalSqv27O9UZED5cvgMYss9J+f/U6lP3N7r4fyBhanXao31GMfr Nlf9sM3o/vlRZemBtmUtBE4G/Um/JJdJDYLNsxepthl6iLPu31lNWMF62fvfN8pf+YfKW6zwkaz3 fNkIHYbtsPp73Iqno22WVxomPPgLIErrtVLayPx8RX/mljcuanVSkyMU7Xtl4tLM+NlOjtIWoQDs BPXpjzjWfeWDP8wbBzfJDOuyaYyShz1ZUmuMQPkPXkQUKnBq0GIcZcfOj0ifnurEJt2BStbQGYNw 6FdSHEwCHI8xxf4OJDrDY/IenRx0eh7ENYTJlxUYlszBNOZzp3enHXwEN3hGfj8TpBMc5tkFWfYE QFpQtsDYEBPBQT2By2zYsh+qD4NCkVRR/Q5tCg02NYE6DFVZDpjFieorVH/XaKkw2Q+4s0s6GF4k 3LXG+cVL7+CdvRGM/g05UOL33q/c45jMm3ut4w7bZ9I1WPhCijtBFN9Y2rEJyX9aaS8TsV7itCBM cxgOzRZ8nY4GFJeVRPk/be2Er9PoohRWR5+bL1YKnSFQvLydqDRngSlzErJWChvB8syypPDOVjFe tJk/9dsORdY0gsbS3a8hX0nx94Gv/UFKti4M/D7pTxfRhQVJnWKezftFPpmKEFTkO+SfkjWIY+fN 8cFhDoeTrP2QVYrGc4DMlezzTEuv10dJKSVfwDMazX2IavZPlz/8lAsfpVMz+OvdYcVMzPZijWFn Eww+BYEDkORAsoncDe7nUlSwuJnIrZJQubrrUXisLfdcs6UocGfaeugREg1y78tkWm6WkrOYw+4h aUvBUZJP1w6o59QXRN2OfSekXF7TC7ChCSLYHBNRWpg+srS2AvkQ/+f3g64blm8IfZYB+myYx/a3 mURCpSw9RX8MAYK0AKs9FHOpeyANyjCcWGnRWm/fd0rtfNd/tQIDJrs7szfsHGyUewq1HjDLgSw0 ygBCVW+8xkcZeCzjQ3c3vHkxe6EwHUDvqM355e30iHCkM64oyOVQfeRklpkH1H66wOy55nDZKl+K QcrMOv7ECtXSRq05ceMjBbXHhqJAPY+RQAbjNvx2LLE3pg+05RYqbmicJhZO4ZKJlt8IiVo0Cwpf nYdYdeoWuSbwFoAYgz4/IehdQoVvLrbyDHGRlJL/7fkrEr7zCMe5G5q+yYawddYzAvk4jQeTredK xLIt/wJ1UQyc6NbGS5wkxENYZORTYoc3ugblZ2bFp8zwkgF04jrRrTKjuUfgROv43XA8rCIlqv7r 4qRPa/jTxqZti0/yAmq5JIXik5yelqxTObrbzF04Sk77Cg2uNbu4rVPOjzbh8Rb+1k8vXLpMal0H NlVwxrxImdf728P3ylscZ74WFmK9lKzV/+3LCUx6OGnZ6XnbJGhe2rOPBnVNudjCfefBkmaprsqI CUo9nZh8qzP3a9jzWn0VVxPewfY1AWWPkZVTt8w43ZsArGqaKNIs/VFy01uQF8TqJNTHHTWKpYvO qqm8i+IiNlJ/s0w65YQhFvgwRi0hQC0UgKKmVDWYt0RXmogneGE+eEt3xDZF3Y3Gb2vCI2h1f6h4 L84hRQDIoyS0bGukOjD57npZq/lfSmHtAFJp1eH/7yTQ1BaQbBcQ2bus6rafAGisKzxh6WWxfbuU u/GPXh649GAK4H5lVdKinKAKNrfPmD8J8FnZ4SVO/S8sXWfTG20DPhG+Ej1nujR4wez1dqzVVM/F FlcF1x/8Bf1+ySyTIOLaj7qrTWlC6oodYFdTSQu0um1s9lVKovCAafnyCCjasHpKXpjM26+fe+Oo bp6H+xI2LRKx7EHEf33LLutpgmKEaX4KAXpRGRequSitce4KcGSr48vpkKaWiHXzaqRxUWiZ+YdP exb3mm/Df7Y3946JhnNFBvWsyJE/FQCtfKibHVbYauXdu2YqQPzdXeJoyPvp06HUCXSVd/uDSpmF x1P2GuU/Fj7um6GZ58nwJhda+flJJon90OiMpj3tkWDWZIQJMmVBoNcz2np8Jz96rE2EcJSeWUNL 5tathom19no8iJs59FcPyagkNP52zhXUD0RZOJFHC+bO+53Su1/kqp/ISmR1OcqG9Kqk12RKlFvV WiwgGAaUjJS1BV9NMhfY85rmJvXtJaJlQc5AkIkFeMgfnE6J2B0zNLJ5ixOCRKUDiNjYxMmNRg24 yKBmS4ZfT3QN8g3t7FeSbvECyNF8upqxwP4zmNE/dqO/EdTHjePnjNnq740tsmq87EE/UAiLdCQg nk9Kl2fQeBAU9Oe9Qpn0NT22c+VfqK7XtOot8quJkDeYolS4fvwaswNGlpvGOVBYzu++qHc4KUdP VJarQBbUY5oPPU4xHvsWzzqgBdEfFs4ygpSxx6DlLt/ven7VejH7VEHXl+vYEi6CpqD5ZXmQRwz6 OSGIpCAsX8IqWCcnfuAQMHXaLGuqDJYx9eC19+uYtINrgvOZmDGNGaVZVlfCaUKoLtY0AsrwksmI y/2nvzGx7T6SMUd2hLCpmjZUz2t0mQeuC1uCcM3mCpLLCTIyLHsC5Ai0Tuh3y/yPaiUsXPBuG0iQ eFRE4Nm2cYZu5yVF62q1YAhtO8uvdtEjY6ewRAeWgkGPBe2rdb4Xq/1EZUR5XyvtwOXnUhYbiR5g 9fIXbWYXJM5KFsog8vR7BVwwlfA+2bXxp8NEdpC1Lcp6tFxANQqHdg7/OIPT5tmSmkDuNip21nsJ weoaXjdheCbmRYDIPQE6mjPHTyvMc9d1wHnENq1FpRN7u8dTC6ic7pKiPNTq/YQbFhOPlxLMToTB cQgHNAJFFHGmja/6UqyJoMMBLH5zyS9dsfe1i+1JS6+enAoZT7kxFGaNAcVcLGUOWDeFgBAI9dGF DGN/dBIOEPR/AS67/bGkGYu1mleQzfLEVob5FD0w4uOzq2ZI4ne+klWImoGbtda9lZiktrEWId0t kGu3+5ppImQe/I05Ps/r+1j6eQxlkSsjXRho0mBIru3aSB4idjq6CWlFO1f5C0Jht5mtGf34fbju 3j9puzrDykePRTa0CI9pgVXwcfnTWyZuaEPaygspyL3rwDxexefhoPlwHvmvmQX9e4vtaUWHg9xa TYqYSJ+xNK64sFmuYVS+w7WNma7i2AuHItbUI+uXNNqIgX8oTvbGsUHfCHbUNnaQlclYKXBE7mdL Kl+qWJi8q4eLvWxkMwL3GyK5hwW9EbnDWsb9nZScO6+ZSDB/W255wZCjB+jMvWKDUo8yBYv+rQfw 41PxMOajEUJcWlmL8yMWxCgfCbO/ZGHjeE+fUlGkCNkGoMCtAPi++baAVkrGv0qVL3AxjKFPKzfS FRpdtgakztFwXCDvSXCvI48jBJEJmxH5ylVwhvjbJn2Wg/jIxzvXX6kgNC14+MQLappwi4zP87Wl eqvK+8dbc4OgSN6Xei7xtk3Ldznioxdp7XQMG55oCynWE7jHaruJ8RTZzgPjeNInVU+DZXnWqH1X IkFUfpsaAdGJD6eSunybml0phxbhLH1tvCaju6uEFPt/zcOKUZ8319vj0/ItfODTtX1o/jy2QT/e D2uB3V4/WUBlL5ZacJSS6vld8Q0ViMkM+Qk/1U2BrfWXyrxUPYTRvn+rO/VJrbKN4ql5ybMdCu9K vsTBV+gsR9z3VnJ6Zar66Sw0poJjYwPAL9TRPINdWAJnCer5QuqncG4JIGxW84C28oIsx22B8sgk 8ZNu2E9NvgiWPCWYJqghkApoccDEu8wRLQJop0pBxtAJPG9Iy6v+85cdOz+8XVP6hBtAul0sp84j LCh6GI/O4f6HndA2oL8soGpfBFRYUmCbygWsKfEFX/ogEz430Oitje72rx3IHuntbA5EKGI9u67w B73SCyDX6L7ecH1HZsgaT9YfdSPuDVre61i9IsIJ0845XzQ6bdJFdFaJRWwuyd9ua0W4quUCKgTN ZRDJlnzi3OB4jHT9FUmt29Sk7OY6LLi1LYtS0sEHJebA4xtDZltG0lHXErf1FSPHAptSwwWR0Bc4 JwaAkKg+/Y1Kfmgr6IQ4hBiVH40iU9gkn0nfty4ewChwXKzMMyAClOxpq2w0PpATS5EFsYyRSQ/t zUrWjmZ4OgjBFPeIFXiF9S8MZxwuTBPHUGQxLjA4UOZKnf/C1hZgMsLH3QY9PKmAwp0QmiI3RwLI vhIT4Q9DNGxZnZrb8DWGVep8wl1WXrzTEtNVxO6fTuw3a7lZXPKkErhhV/9WwAhgP413cVeNjeuv N33rEeo26QNJy8deuHS6/k4RKfLV70g/4VcW6db3Op95UASF2yQw4OYJ6R81qUASpbZp+3Ww4p/h bnDQstqeSM0EPgdZMI1lirP4MoJSLZyYStOQL9V33UIhGWEWMBBnlD9ETk1w85M8ZXepjg1PPe9F g3T8znH1daF1ZMIp1bKUu6LwdZ9u+cXlduzLPLWSq/DSIORV7Ph56VPHbV8AyoaThVOEPuShYG/9 cKjKz3MTd5naU+JcQndUqOMHGNUM04Sxlk0+cjRd//CY7q8b+XbbqJCzII8KkzFjrtBE43Oic6xt L811q6n3Ttc90srcPuT5P0FV2iklj3o3gqbo4OUpDASBkiLSteyuWAm8J7VAqzQ64jpPRNXdAT1R /6NNxmhsKpoyz+hJa5CqtnPl8fjKovarFa5hLSeEL8mR3m0BC5eoMPas8vxpOMoLbAE90IyFXt8n 73cM3b1beM+otJPYusfRPJnd3rCR3jR6I+L1GTl1dm83+ePNvcrXv77VhXAw1PUUDyAG1GHhn43Z EzztIc45mKxBCZpVLuItwULrQNT4j23vQKFQZcqL0Zu4wUCS+V83Z9qVL+3YY9yP/esdL3qFm8RU 8o1loWM2O3yHwjpAm4No5KUJyGn/VdAi1gFYySTOzi0A0S4H2iJbGsqlnyG0LIhe4Rj6pSztNZdb 55NHZkl4/cxRpePakMSLak7QVL7u4I7OtKxrBFKuv96fpJfoxYq77Brz57NrNVE1dA6i+ztSq92r gmLlxoE9LIXa6dSDrBa1YPM+6syjlQFlOHGuKnNbxlwG9qtnAfFsbw/5z/Cx6x/uBQDi//gJCrWD q0p+AvH9bQcvLGIn4QLVE0d3/Dw074mYg5ZpHKa4bhLcMPVuIXfj1byfukZePdleoHyVjYoN48TW zLit6jyOK6CoheOmIiQyLyJinMLIBecm/1Jp2cZCQdUvEUGjcByptH3Vx4rmml6zWDwy9p81HqVp fxIm8pE/b7jPad+PyqFl/RZ00bfYC8WVULlmSsOl/TZYPtRRoIsU5f27KH3t7kFgBZdiFN05VOYh XbnUpVNGvhyKReosg6U1w3e68yfCerASEzVIa/Wips5qpTMw6wLu9AovtfkPgv8PEwhwXK99a2mg 9r0FoU+HURex72SJcz5w5Rz39PbSahuqmRM6IwMQTRoKo5IJ8eGEbdZ3LCl8X+gwBYsMQEqbOKle 2uA6FcNneBX4zJfyt2OaAhGq2Nvn0IZ5oo4bK8ARun3gwzVHHLEc7q9MwO/j+yO3XkR2FKYDULEe lzSk1HBNDBb3by2wT/U6ucNWAuDC8HoTDOJJTYYC5AAazv0PPZXDPnK4SRAgCqQf2MehArtzy4e+ tIyduX6gu6Bj5qHVejAcmzMibFNPXuHZPtKMnyckYCNpHBLTDWzRVm+WCu7Bw0WlsEHtVFWRdNIy uvCTQwbOp70xoaGRDsmVecMUbLtNu3RHWrxLLo81RjoSn57sCT075pfqujeG3a11D2YpwM/HnJzK Xdh3poDDJIHQYzsOW/8k41i5SDhTkpERyG/89Eqxcfgm15xpXtQKu4RswOsCv1BYPztMlahaGuBz aPIAWs18pbb4zWRg2/m1YtOAo9SojFlIOjWMUMCPqyR4DLJrAfuUwiksDyobnUBY3MDZ0GWRB76M oY/PgGAivj60+uj8EPrB3hTSQmJ8YN/o5OlPe77XFyNzOjaX5UdHwbwaCvco4gf34hn382PgZXcq 9CtPlZ4/7IpkxawBwzlciH6U8VKqInDfJ/wzv25+wPmgRfBqPiAqGT15aXiVv0DeVjywBSZPxByI qItLtwyn3QYdeEYOe9wsLhgbZKMQGVJSeB/uliB6BB0urbAFmVanrDLjBnFbHuezmB5JCCIHX7y3 ZnHkby+ldrua936ihyVKFnMS8UNvgQ/H9Urt2IRcqgyJUM65GrJ5Z/eLHrWTG7jYF0nYRKXEbXRJ j+rzmKAxmwC8wiQVZTIVXpk8YIs+HXU/soeGwUrExiqHV7MLULPsi/EwqHCK+aVRCi5UhXU3dUWZ BbvWXxksn10J4QKhWBQXkpbDybeZfFfM3rRmH1DeYkQlXBtuEkjZ3qopoP7HI+V6S/P1J5oOeVbd IAjv78VUdz03CDytMfsTYFUsPbH+nTvxiMu/G1EzqKJEgZ2ql0NVpslNv2Pny/7e/1/q46pIGTYG Pg2jvA35qaqjZOPdQJE6E3BolkPUQ5N9GLitCEimclMN6RmA+TN3tTW92BVWyQ/vtX26c5u3D+zd G5OmXaOo+cqejBcDaMb0675zLp9GhgIjlbon5ET5Q8NMpA4lRX4Ul7uYXJbi4ACIyPtuypY3xqGW raYXfo1tM1ETbbFq/Jv5dAexwnYjc1aO+gLxLbZa5tNbH0fQxUgil21x+V6taklI7+5Ordfk8VdT Z5Hx92i7uav8iiglL7Fra4ibIBvkGBsWwy6R9k0AYtAwB9X/sPnajjjq8qJjJOV5E580CVKM+GTR pb45TGF6TCxKZh+Ax8L+264uHs3BlDwdBcajMf3wnnDKOwYM5yYWODMIvc8gyxOaK1RFgONUtMBr 1mp2KTjkp/pXZdHOGVeps94vDWJql79Z6LOLWTPxvZ6ZbSSaZwdFK+oOCZdzTk7rXWwGlTdsxU1Y yeKga0d96+w5mj8+3MvP3DzfwCwIH8RAFatLlriJRr+mFsjExybsruGn7J/ORjERNK87FNSJmYdk RftdMjUFCV02T4NpjqtcGfnHeAiB0WwfgfTirNT2xx6V0JoRWDX6f4qVoZvl7LUMiDSy87TM7LsZ HFixgjtvkEjTf9962CT9ZNqma8uxQjCYJnw/S2DeOksL9ACcHO3NDhkfDdqGX7MRrNrBWY4uL6HU FPEH+G+xufNsJFBLWYpZJp6FdwwRm3aUie3NQ7sg9JioSlV9I6GyoG9Imhd13J9ORr/3MVOZxZro XVbk4k0F57wvJiNH4X/edvkOaU2R5SMYVOsoBqcrxAtZFaSwfXf5MpU7XlaJB8o/Xj6wuksYM1W3 CJmppI9gFIHuDTMEGo7+rfQozX4osl8jFx0Z7POppU4ck5geum2I1UCxQrZBwQHI1XUXqbIMSNS+ FRSy7sBPPDYmKdLcHqWlEZ970t7zJct46NqqRIxzlx8ZiLCR4KcEyGhT4K8i4Jy7iG6UBZKmvIbE bTx39MAZzWWhO55KouNboKtkyORB22dAZu5hkEAvbR6yLQSfR7HoYs+WI9sC/XKNy5bYve62MAWZ Ib0bRaorCtzrT7pJUbC6eBN0FFgUoJ6zx+rto//4rDV1nX3EVviEcsdNaL42VFP9vNen3mO/48n5 0dmL90Of+XM00+xnQnIDfCBlkeZAYsbVahSFf/HNXGrhFRd8FEW3ywHzIsufqVLQ3+oTt/wHhaPA N5gdflgsBrXQd15kExDz3dUmOxoyG2AHt0ukQE5XBIERIlLoAk/VeuGGHeTVOJgKZuSjIGtNbOcc fRQ8hE+iMU6EItvJy8esHYO7Jl+s+gTtcs5phjhXiXUz2wcMH7HIDGkX399J8aONNM+EBCOOTQEM CVABT9W/jGfEJCIBVKuFrhK/Z9i2ief9kFd4cRuEsXi5KH8wUCdkZd36ZSjjOHqtUWWtYdQkVUMM g6mO44l6lJzDJjxFmTlLw1xNH8e9do3yPusSyqyDpzTwaTDdsGMDCZPYqMgY8pPSjSSAujLseD+Z /EvqD5LW6XA4QqMRVfAGRJTz8MyHn+awCroGZwGU0uZKgd4kPBd8AQF0udy+XW8ewEg1uikRIWIm VZKlKWKqs3infmHxhWr2qhVktcjfBK6KgwzgIPpaKMK1xZrYpB2o/B3Yb6ZjJE73E5VcwIBvO8Ed vkQh/7hU9Asj2Du4B3dEkyhD0pffVl1z1xLrS0xibLSKH8ML6P3o41ZDyB7Fj0mcOvUSQXSm4THY PN9Jt+BIF7GPJOagX2EfdfBnsuhEoDTKdkocy5HkeEidvjb0zfwBUKeCkMsj12+PcESQXZ41HYOH Ojysjlt75lncwfhnk/hDhsdBycH3Qz4GeDJcMAm78sy7QbewRCXpXOavxmZZGp5UDBjAM+UobuPu e33JxFGryNHFaSlE09/uU12WC/WYdsDvGHxAmq6tAgqDvbBu/zK5uur875wMnZfIwVL0XfCT2gdr f3dErPgNghn/5c2eZBLyX8sZrVXlNNuffNwflbPK6r322AL6iT43kw2KKIXWkAyT8DCXod31VuPg eqDhUM+Gab5WJGcrShrmJ6SU+Nw7gjaYsREafwI4h3ex35M1+gGF0V7wjk1zDxJoCvo2k+2Rx1Dv nr+H00sJrhgnJHR0tHpp+o9PvzDMlCgsu+UcP80qgxZvhXDc+4p8aI82rMedbwXXV2hupSiHQ0fK +UIFuwcfjkpBLVvZGz3lwVREwVgLG9nCh3Or5rEY3Z0cVoslYG2B6v5XOZRoBlnXzD3Z0ui+0gRQ LeQK58mQ76vXXTHOu6Q+VMWrgEZSHvrqsziNsdAjltvilSVGkbucRItfrqjJQflNKgw09/JXEYFZ DOTEoA2yfVc+F4StpcDNkBwJxGibEjW1/nvCwvA7EG0Ii9G7PuYmRf1p2bactMsy7ELc/udQPr65 gIwQC4MZtugYG/+A4wSja1/x610uDwU12X/4gbueFvDeFvJ/1n+wXfsjl3fLwoAx0ta3zzDxcjVY Nxgg/NEIcCY+PfsJ1RzE6UIhFhc1uH3Rj+/oxFyaqwprTTBGYzTi84jG+5gBjS6SgDDGZEboyBnE AUs7XTYdlEQrl8Wmf51AuBhl6g0GTaueiT6KRgArYV5gDmIaeIduP0TjUT7DjzsWAw8TsMePhQ4p q6fopKgD3ebaYnauTnuSEZN5RQGavu0Xg4gCJg1NI88XlEHMOFjfI51NmJ1YlRFtl6C4+Htlnnfe 9Cl2xmmIugZlzWo6WcmoGr3XTSwuEsM+ch+sXM91sfPcWJehVisgeMSvrOEHjvYKIC+OEZb40K2U s6RiwHtAxadLpdrm57l0PTH36gctOfl3Uz9j7eDzXMQr5S7vav6cnN4nmQrBstOcGR1dEidt6eKS ZKlBS0QmPN83qjBd7mbmf2tLPJFjBwzisSw2x9fzF6PVYtsDf9URh96/dupStZundx8tYEDf6/pg ePkNA2/eYCZl5Ua0/vmLEG10MjOJ49uOA/J+GeP7K2ek3i5/6Xp9RFkS4F764WhUxK0dhczBMhO4 ZV9bUa5LVGmWcgaKP6NMinW9mGvar1pv0i+NMzm14ksN+3kzYHuqcKjdLGAI24KZi08edZXOvQ5D X1M5gkKQrRP5B9lDK3Q3CHBspzmfA38L/5JxdRHKYlv1kMh3O+BxPuwviC5w2hhUYkWmdc8T1oUA L20A70arTEXT+Yct1V9FSjRrBlPhFL3c8JkcuxnqZ3uQRpf82Uzvor2Lg1UVlFA1hfdtY7kdvDx8 +NHcOzA9rLWicUjz28lDe3NhumPaKC5Lz5ZDNWgmh4CAS/NhikvW0scccdNkBP74tO/68zc7Hy8G pzMZQbqZqtf50Lu7lO3jkTXaMYuKXO8op2grYwTMVNbBVsYGCKU342sCiNa5v9uXWi9MZx1JwhGi dYfQVR79KM0KeX+vc2DiS7HqV/lz0PgVvPtPI8KuyQsF1V9T26HVgauL0xhu+rRY+c4wujpm67dI o8kCZuRIRyHTAaAoqeYtNeXD7or0IP2wkvyAHTNRG4aR+N+3QKYyy5jDDmWAMMN1EqXuD2vTzuc7 iWgLemb2ZPFmKcxHwirRY6YdpB+h/uNyWNviInlwBxrhMVZNem+5uQL53serdrTmW08q+Fxz3gU+ HdxC/lZcl6XZX4muLnCo3rukvnLdPDrNqHoVCn8+ub+F72E2YP0ZF1M/vmwlA3se5jYFju+cxm7h 3z5bJAW+ZzNVleLSm920wEEhVWIyxE8++4OJgE6kDqQlD2Iab69Lo3sKFDSVscswRA8gWBVwBT9s Bk84eXQBbDfzto7T6PkW6MmNXkfJCTLtLYDl4wFOyLGVh0IzbQRFZ7sIhXlwly5AIw2bTM2FgfA7 WG2TZk9o6N7RkpKMPvhTCO8gFrRJ+CRJ01/MV6JiUZi9TCj/wjjyTC1ycbJGhsSfk2hgaQYr8B5f RTJmsQ4klmjB8XQ02Bu7XJhwHV0hZB4PH+sHPG0aaQBmtWDqCvdrEgLym7tz8dBILFTG1MQ2jA2A wh8DMo2x9QJjJSl9pBDo4jdL8UMQeo1tPUKg0+0LgO5zjE9EtFC9X2+nMHKvEBI8qoQ3za+ZfYJ4 Yy/VLv+qveBCtq/1OQ0vnX+cq4MgavXgZV69csO80piIZlHbDte7VzKTpfZ8/ejwsCO1wIDrlrWn BBysFi4fIiPBsElnJCyQtdhHKSEOSZ1WBQSrv26b0VE2jWoWQI7tKuiqvLeBRNG0msc6s/ZTSD3e H7uOek+Ay/lBu5dI4yojwcpzhWBD3YY4wWSbboryCtkw2vHZL0/KzdBraeKHajy7eaAgQ5JBJnnA +jougCAEEHWIgALAmoPWzr7GFZxDePBMZ+AwQ5pTIUj46iV5bs92LEIcsSNqVjribHVq7kVKa9FS ad7WL9MRR/PHCSBAZ5ICfljcbN4jMf8FC1tjMvYI+jsUJpkkc+jQQ/k4ieIfhjNoHS6jYuQ7liLQ febf3GEJlcV3owTA9HLhMk/32k3/8nptNsZL+rEFVgBScDhNMj4zXx2DR03d8peBt7r3ZOSeclbn JAQp/6Sby8XbyQD6YU5JaA9abIiF5YeJom7DwO8NSVbFKsrpBE0Ay/Yb5U07AU4rckUW/ZtaVC7O n3kP1Zb2IzrtLtmKiZUl8lxFeJ9pvKfggUDAxTQdSEkMViEcjVqFqDYonl0BICnJu6krsjVjG1Yk 4OcEHof7+isMyxfjduUZHPKSO3jMQTabj14rkNEsxmqs+1huBWtVi2OBdsazttTiGN7DGsdiq3Ll 5Aq23v1X5GdKkE3rAi67HFSrnOC+2k3MM8itY//F9NshfYsEvt7EYkCyUE3NqfZ3piydZVpfJkeg lyD81jvMKBS/zBGsAkPk/aO+7UWr2epW0CYeCkhSPiY99inEtPvXIzMO4uE8yU0EA0THLIQV6mZ/ n1gplSZGcwFqJ7zkh46JMHaz9sfe9AEmzHOZH+7qBzOaq+Kgq1ofIsKCr8XQVvuKIfa/IKc/MvUH 7ZB9Nb+ntZ8HoI2CDCk2fUWvRHMeNzu1uDQP3ZCJjmoSz/up6ck4BLEb51daY2LMsVbS5HO8orLz C4oLDCWxuDRiC+L72xzva/zDR/XY4XtNob2XGiproFOHG7wJu/CUH2exnZ0fkxoS7uzcst+SWVWi sGH6TVLTCW9s4k0owzu8Q+Eh++2xQsvsT6hdvnThYzd0DMoCjcS+TcIesyK2VH6DtQE3b+mi1iwS FIhwiuWbWeGOIfZ0qD7N6zWcJx+edVUfgWEyNxLVrZaaM8XUNWkLtiAGiELUiAkXuYBpnD8+bIx1 hcNnb7VWaFwSsQ1vpFM0c3FvB6aJ8sKjjRxc5AYEJnqIWsq6XD5aLvJiqAumiMHlHxplzexUoZzk a/0db97lt2T6JeHKNJbhlxI7BAXmAf0R6QzmuaiCgAWXsV431s1jOKHFnSI/UtZSl+S3w16CPv9B Tivy+t1pxRekYLo5nqPnuaPDoanF7xI2EZHREpiHpqL6+nzhNXOebJTElsLARApU/yxtTKW68gCn Fa1dei5bFZODg3FNSURuRKR3l+R+dN5lPCnd/+o16S6ommEmAKUfq+OucyzNhxb9XXSE+XifVilj Gvok5v6FWmTZR+waJqextbtDTwJlDc8y4dAnuHXuIbaAV2A//ElLHFOTpLCYcMtXlvE4WG0IY9I8 QQZbNowwvjtYtwbNnaTrNfpN28wNi1xjt0VEvg46hPRVGTbjzzl73v/AETLQxxf0ak8LnapCWHO2 HgUQAu6jvFXJ69y38/OsN1wpgvZx0+vp5G+37THvl8xcs4Wlr57PwCW85v47MKTN62tolMxwCfBc 0Ds7lXv6zVl3MuhzwGfd6ofXITPcPrN2+b4v0zOmE2HFLljVAHzsdgNleO6sXo9MlPYTSQfBeDz8 U4kb7P/N1x2t0yxMbFVTVIyWFjWZcMvlIuLhHSHD7WTPC/X+a6rPRFw+9DRK7j1jHn4P4Wi6VcRD 09aR3VYBSjn13qvS5/lBKp7l27x9Q1orErZt5KKRdYcNAWLwtSKXAxVfn5MqolLiiQtCpBRSPok5 wUqT9QaMIXPgvdUul/k7sxxFIWhy/m7JrA7j/NQXIjmv9AnZRoUZ+F4+fjpETtd4/Tr+hYov6Fjm xE1RFz0vIcSBZpekcRSmffOCCuLG7KVWDu2oi6n0OpgmqGaI2O12f3vPNHuzCyxneTGv3fR7msr5 xTRWRlM9KtqaGhvJ76PkhCmx2QvYMI1MKXURWdszph7cBH5frJ18/hYDH+xwIQLaHcuo0yZO+snA 2+dhBw7F4/wrv8bu2NRHLaeGTyWLSZsteLRk5jrGWCfefEU3Cc1bif0IUfxu4BUmlDO6Gt6s0DN5 cbvARQxxLrzBhT9SkFJ6dcSxnOhmkSTo+qZofMZItRLjYtEVYNr14GVpayQN4zU9BpV6yIya9yQJ p8oIPm/Aj1ZjA7zqECiw29zpwe+OBNQNjH+/No5dIy+SpEOhHGLjtqvLQNAsWXvV5QRM6o2YEG/9 BSx0GY0NtMM6sAxlIJd7hgk0TVvB/Rv6sW1jTg/ZTDWylOMgJIn1bPNi6oCtHbFLDegY8vGg7HRB 8SNXdOiFBg2DxZiAG/dGKvYYciBPqla3+sKbESNPkkYqimIbwYHU8+Cqlwhrp1Ycm+PofB7q775A /cxvD/VuCXbkcka4EEd+Ni6i2idKVSvxysl1VbCo7FRWX+Zkxg2KDoSC8jMWv2z3PmBIY9tF3cyQ dEBuresmsxy1mi2D4x86K7QM8UcRxvPRE1u8ie5wtI7QOUzfTyg6gjH+nKaYGIIB9pDMlM1apFOv jBK8MjEbw3zVVAwoQmL87V7NcCLsKEs5IZPQ0jub+2C2I9ulcSN1Edl2GwhXpgy9oZurl3jzf0ag 2PCblOQlZ+eR4Qz/Wg3axLYdgynCvmUlIebzDE1WFMGROelzMYff1/TxfszNWX3QcgfNhAL5tKlL jJIcFHPZ6gyy2Bn6RiuumZykgc18V6AeM6ckFYRmZX2vrdf++DrFG6PGu8Hxr+krbWiunVuuSoZ4 yOBOip5TpjNR7Dizi7RL1qopcfYFfjCYBFGcwyTQqCwJnCMttE4UjUmUKsHqvWFe6oeLVf5ERTWS 4ashXBpD1rnmOkKx20euFm4WFGOd0SHSAVUd6isI8zPM+acHPccJ6MPBjKskto1bAXb9kGT3aWM/ ieN8dXRhlq91jx/pd6i8bUso1Lra+OumoHhfmBOiTrpQROPGE12wTMK77bI+GExYV+EkjoraSPss 8XYYGell7uekoTlncmHnLp3X94E8TzhDzUgwCfrwVuZoMfjsOFOjj2KevjOhD+syqTqNjfefHy79 G4aK5hFfsXEXtQmOA5NP45cIASWfegu0DRfmOJVx1l1XhjxJq7VFgyhsgnUMgSRpWmwuZxImv7l4 wLXjn98zRj8M7Ivj/DtB9/FKlC5NfA3F8xfahUzUD3u87BPCVd6PUliUl5gp18wuR7MnEzNVtzem DjFiB9fIEZtxjCtbSY8HwK0vVyX6xakO35Wo7cUF6HMgclSdiyhD0GL1wfCqx15SwZC0iWpA8y1Q TuVQbjjmQSrcppZLJ80IcsQfBpJyG3ue5E9qIoBQS10h6P/52T1t4uTztjzFIqaLseNBEIZzXGFm 4FtuOviS8I5oaQC2I+dFVElyb88RSsTq5JeqXPOlhG5vQ8hPL6Sk/6Okgnc3zrQktnNlmKDWVJFg t4T5Ck0OMG9gikrjRWqgf2t7ntawkbUZ/aZ7zZhBLmYpD45phEan6tlvr5sAj20cyEQP4C4/Zh/N QIjX6z2cLq+zxcPKByBRqozr6ni+jlelEzmPkAcaVHupCVCSnajx6d9rIOx4cgvb9oHairCM4OZ2 CnpQBSjXV/EIgWjApjepCR6tstez8bodh1ytPfqYr7I3LiQ3Rs3qWwEZcIz8IUzhJkt5vAIiLns9 CekogWMTGu3jkP8ibaBcee+aBLZLDnTLwUatJxPW49o3VKKcWnUK737aw0kYTKjy+fU93P73eU5N AS/0Iy0k/FHGDfI7gMriEkZhe/QepxZE2Cbvq9cpjHS0BuFesVHj7/PG3IFsXcCkCrg+bJXHy0Wi +sTKk1WYIeIisEmzoaANSVKpkR8wRZa7ReTvPk1EnPLjg7i6nJHd9U3EEhcjEuJabE8jKFOi9Y5F VynV3UOUfwqEaHpy4jfFEK+p3eTnv2NWPXW5AnOhZiiuNyvl+U4cdo3GbzZmqjyp5NSO+D7/buie vYK7ETTRvSnGLeRCme9locfGyDJNdo76mODxH+DGUKhfQ9bjWjOKXfQxTLLp7OJcuH+s7lnSml3J tchKaiushNq396Mp99DFvMV2rA7E1r2+Wry6hl5KIut0945io+wcPuWdtm33BfVIWs/fisvNsiFa SpbenQH6f1U0NjiyuFnSZaKA60qwHuA8M1KiwMj7KY0XTBNjyLwB7qjgyD5GCCrkya0WpQSUiogj XcWXLVomxH2quzaiHEG6aozudOsdFNVVJ26rhpfGzC9ufXNkeOhCzkuTuXbgIAgb9hAWi5Zgepd9 eL9SaDRCHoleDyHDmPC1IA0iKek9VQSIG2YxzDxTKNsMbv+DWUSY7TdUtGewaWehF8PrTChvgbRf CQ+jFeDbth9/x2r6fEG+IaOxCwNAIHH+xZgKvyFOOzPlJC/K27uXRnOh7JNndziyjDnZKzMS3mN0 iqJ3rj/rQCGDbcA88MFRi9rrqiMpSIQV3ao7qYdrtPY0v0KIVpT7YQxPhScxyXj+/YmXeqRJ6vgZ UwZmcSYo24bjYiiUkF3ldhT+tcDQ97c/mm6LkwAeC2Q8XHJtj3ceaudETvEIuR49HTcofm58wN0/ PVfhMBzUyx11+Mgjv2Cf+vC3ERLpQIynZBAhIZCcyzX0Msx95xPNcSKpuNq11fNLjbRWJEfFkPdC 7MXX/A1/AHhbNl8N2a8p1wvzvtHgq8ghX63f3fOL/M8aeRhrYI5GdF6vPhCYZ62chpE7II/PYIvW FVzhSxbeneBnp75PLspjlguuF5gBGqvarLneOWiPkR9iHXBy9sFwDkYm+lMQEpDqfUe6Xot01Yty GEAcKpKazAzMCJSrh7FjhQ2sJsdOAUacsxBUS0N3ca0ip6Xw5vCkLl9sT2Qqy7LgJG90haFW5m8h EsDBIMyKgnJ5QgOCYfkW9VVSe+iRzCU7/PDOlOgxl6xnnedvX71bPpoufPC64Q+0hhdJdh4B0Vdl 5xu6ecEoguOLyASOfaAmmTlbndlylwtOBG+e1jHRxbq2kVvQCuMGXd2mTpzUYa+kJ483QjV+5/2M a2CTmXqDCVLareiZTA7ZGKx0qBVxSimmJZMD/OeTaWRMUiHOvzOGmuXKVwqk6kaDewmdRRxroKhk xK3z55DyLf/cYUpBL6tBXsNT59o7nhMhsC8VXNHztWowDcqga+BZ+5cP852Y/yBjOWYttGLIPWBC UzzF6OIqVfQsv90TktW2sXdlVMbEse0mZYnLTkMHyLmeCcRRo4UHb2GWgnMCgTGIr6VtZhPAEwBB jesEzS+LMgdz52+5PBqcEkinU/keAxQ6BpGvWfFL1olXEI191Vj8UTdFGdaMlm8oHDNZ3CkueGV/ NLr0vuGWaXdJi/OKPQjpAlsvHPu9hboxIFTHKqcCNLBYn9YSReixr8IHcEiJCgrvxvIouo1JYHIV ibqtohbm+BjAX1yuKC/MNBlYL8HtERPIn9bewDebx08xZhWq66Df1b9nsrgYIDlkmWkIpZhcd0dz xcJw0rWn++IS/Gl37bgiLDqNj8G3yrU7b0hXB24rSjcR/t3+KaiaLuxLWQUXeVTcaQrTPMWErWH7 yBYlr7FBxUhVLebNYAr0Eh5W0BTxS4HGxT2dYR52XXla0UOgaQSUPAW1pSpN17q5gYuXeezcyLug nzjmLO1DrGHgqGylMyW5EB4gLC88ltWKX01Vd7U2hn9GtyxvI2z+49R1LI0IxXf5/b49HCd7x/YB z0Bpk0ZNFzxDINKqHUOhhac1xJmTBmznLF0d5c4WnBX1EkWeJexNcXqUNRNTkrpZZCS7SRgUK0NJ LkpM+S0IHzVrgyXBZAz9a2NTfczUBKdKnQFJ24zjAHRsQUJWe6HxxuHoSwxa6gp6hUAOBLCclVIg UahlJN2/SkBoNy6F7a2jKVITzJnFPPE2446use+0Nngt5cBpr/4IVJ2jh2vJngofe9lcnxYO2DiO oQMC1IAv8+uUo+rVXDukn+5ys3lCIgH5aUZd+RenOHZ2J63f5tJF+IkmED+bqZxJudONvxEXzhxM VFDrSSLYGLq5Lk+vaSDnFO2waN/cP4gLjWVygcQlreAmXZoX7pPBCTjtYnIypEKA0zsPwgCiYq+7 IIPETDPCJaSp2umy9E0m31kqRaOnIzkW38hRHhLhcUJHdzRkoRbiW18TEbUULgRoWcSXlMbLcwDb UUh+kOAsidCfevQL+OBxyDkque9T0W+aECZdXS6YysItJ5m/Vuwe4d8ks0EJ7/VJ/SyMnjK7dbqa WguWDbMo9iY3/RFIa3J9PHTeiihXee8L2IOJeyjVTxKORveYyqzwG9GgrpObIx3P1mPpT4z5rQ7R jCGH5txFSvryzGNdg0LrnhGi5HfEGtW+9byX36PzlAqjgSwd8Tr/mWHs2zIuUAxbYeJRXwnBlF5K gt5Vch8OsL3IGjtqBcaSObyf/VSQAaUXjOVkNnRlAXNcjlgY5QKBNT8BVczXOo1DOfcoKhzLoT92 Qv334QYQ7pmzngxOyN39acrPvavs7oM0jbYX3OQ2s3aJcVdbXp+/yK9ilKJ6LkrtSZXDWgYyhZvS zc5l30j3QBhoUseLJZChQBBNXWMZVpP56OQg8m75n6caTO046fZTTLVN6C8hosLbyQEH0GvlCT+4 2EVDzIowDYyeWMnsD1rHytaAfbpK9nF2W/MjFvk5nUPIQBXLFekKpvelq44wgLOzWQvpOWKjHIWh Py++KzpzQRnkVJuMxuWbcHlwEzmSmORzCNAI736Miyir1HReF4MgSbal1KN8jEQzpFx5gO4B8qwl Rh7FRh3Fi8J/7cwKM72CO550bLGbhM84x2S9FRUBf19TPc0cqP0mCwQ3sgY9wNxc8BsW9qpzlvm+ /tOga/q7dLwNcViXMLVQVzCQkqhBt8VwnfqAkOWRo7b9IikdObnHoLqsHO+OcYD6itFqoRmhMHDm OeovhN+hUzMFRawhPMsuegF46StLWoUaFU6iDZa0jZk600oPBnWehYaj0+fGE4oNEqnxFKXsMGiS LWTzLm2ZTSbg2ZlKX7fxUns0L8K+cMugSJuS+3dIbUM9/9cm3/dT2If05b+eNDO31ZJSdyeJlZtP zcucpewbXaq3iB5XrD3U7dpdbDweQuHDnewPNiHiYz0BFmr8gtEn/DZI//BaqArdA0rJbOk2ErBo fbE/uzf1r47HY3R8Hpk3UYpI21WaUSm8Y8yLzs70GA5fk+8i7JVcXpYLFehtdjdDQ8x7e/yQiZ/d 6DLxHH4RK7MtrMmOF3OqlcC5PZs0gXJWa8PpEeEzFTnnJWplDuvpcrRKVyNR6uPTJPG/TROp3tXN y2jDKLDE9ZIpzg2z9u5MT+Fd3OBVnETqACU/JzhSskf6YYt77hYvpQMTcYT1p+sSn7nPUuLYEsHu jux46we/GwJ/3abHZLdDGPSwMe/fmXItdcFgmKPZVprh5KSnQfuVggW18hm0tnrVqVWA2auFS6Dx Fu/mQ0Eg1I0OPwHHqs+pEJ7x/Nj//7rEEN2GUER46V2JvnLGnB/y2ibj1ccieM6LI/cEtp6d4BsI fmKyf2vH9/FTLZ1M1GLi241DEiqsbarvOKbwmS8jeBucEX8xP/LeXiPhs2zGj4o1ztwpEEtmsRHa 8aPtoDHVpYsmKFXCrbwQYK8xdhdGYKuSDfE5NHTUrGayV8g/gx03ArT10ZcwOtIv3QHdC/g/Qs7O fMiX2b3uoCmcaoTqCr0ckZC/bdXZtiCyzi2WD3kYpEMEHJh1AzfHLFQetnOl0hwrpTZgwqR0LRyE nLtWkX1b0JIGzGy/1keDoQpnA58giWfMsVotiBoAVPP2AfMO7mLi8BWPtzNA2/yxpRIc5oGlF4m1 bkYoNxYwktyS+MjWoQxhvJ8fF/+svS6m8fqhB4mGttwwAt1XNHAGuIq4Cflin7TpG5UDnbLzgn6b UGZaYlQcXyTUQJlUmlkjzDWfhbon0gsQfRYxqotOf6mxXowYoodYBMq9jwbl/1vit6gQMC/gBenE m18qFvIo2eMGZVcl06ncishlrO0R3cahlDqF6JTnaeMrwFC45iE1r8Yk7MnGANgr0OklEFAL/eZp ywLXoM9zIAtzuBEYUePnC5A9eKBPnqXIFVHpZ8JOKEXhfKoGU2CybiCIkG678giPmzEjoDDpYcKs RyPipU/1V/RLZ3mQgHMEdIzA641KGz8oh9S+b3CuShKbW+jKIs7CHRHrMmnjmgISs0CAKblBcfqR dlVSUDdfLGdtSDA+hHLLBqAUVmEUAxx5OioYRFSwdpheCH3BqeMuEW9RyNzBAmDaIK2g6CdlRAMK gC3xEwNFOgKsbObbeSN4B3X6/CTAxLN5IAPAt7keTeYR1RutqOiJPiVHJ+cksjDEqT76i5ubnO6t S7vrMYDh20v3HTEL20d3wonutj2yCoLNYXAQSNC2MqCJw3Ge3H/fA/GrFu8CCRYE1wnwKO/LYYYp ba+Pq5bCKUwgdNxEhBpfe6J4uZM8t1IiBMHORL5t8zx5EXxh690rzhjmNqnP+htTXLQr/fBfHXuw 18Z8jMndcZQSWkwwNPHCe+zI3BMdjQ6ubddw3kG6qtzO/qzsv6ajrbYgsWVfoffZUPTMbqdlHqir ZUSZ6az44/koYN43GTaUFEk/RmOnf/O2GnW457/InRUycbbPcYZDot2d7WrPcf5DFkmZFEdXiq4f IjOu3Kj+uxHC5XHYY2GLIkokztIZnxms3Dsx75B4obAvWEUjVmb4AGN4XMAa7Egb1LJlh8r/vbqP +nNw3OwOTMzfH5BB8768phYULr/rRCr8jucpStkO/MYf1VmuO5tQaB1p5SLCpe5HhKTFtR19XgTm DIDTNhT8ubQ19ow8MZ/7aSUFaLxGyZ3/OIlN96DE8m8ESZAz38JbS015fYRFqWT5kQdrvzvyd2/Q +SfHXAt2Xw91gLEjq4J9C490I3FkLbMEFl6Yd1qatUgsoCvmu4u7TllffhNlIZHEEnQlvqe1PHSP A8rX0Ka9pBRpo0A5gwe6CFeAmaAGTKb8fYYBtcwJaat6j+a5yzTwkGizop1Hj2bNgpgt89ZRhSX+ 0zX8m9XP57PCv5qp3l1rcMe14Cxn0pkiZbpBMG9Xw67avkJu0bSuXV8lPkJYWKBtMyWarDTu6gtJ Sh9WFJRRzFFLIstC35/sD+aN/g9aJv1wzFjdU7TlBApuUwse1pKpZXZxZQ7C1yi38uePjs3MonZd 937jo1rQjcBtd+OWgiGYtstmC+JUysSHEfepBMMypIZYvb1vnhfvpjWeTsH6ElWg6SIte0khRAvh BV4l//MwdQEVKqBr4N89YWGi9NxVRAUuyJRV6kVb1l/Rt0StJtm+GdWegq62U0T3IQ6d2q0BcvpI kCOKyhXjxKbBT/Ur7Qh3P9dH6z+uIl+dNyV+MWqKRVmJ0fpqNpVPpMhp0cuc0M4VMnqr6dNB6Mxs evnNqUc4u/Y0V+9r6BaqJaCuP8STqsYCVyQJ04Ts2Oyd7KP7uCw3vgy/3qLWquL2Fz+1T0SN7ppe z4MdupBO23ftSo+/9hISOTeHKOHrlvwZI6Xw/68ox+dwNibO8oRxuNI4uSYpjTCWGenPpRHwQmRG RuKk+5Ujy/pEm9N4D6iWA76hjhQfNAJoFhn9lIdEZ5S8hGelecX52FSBKLcFvGOlvA758Ut9efCs 4fmZC7kKeVGC+eVtMeI0nNtzoIqUTjMkBBEAN/gJ4e/yn9+MsESi4PXjKS/tXYFSxDKwshz+Xeo7 VUoO//MX0JSx37OePbvXbjkAY+68yPp4TDjdm27cekHb9hw2MGa0Coo18KTrgdYlvhGTSb9aRG2E /vTPOeFuXjiIShX7PX72i0tL5fBobGnZ2+RM43/fkW1olKFUVvl9lHgb5n6wEN5VI3rZBUh5tKM3 yUg3xj+TQDitm3jgmMFQqSZfWUGSTDj8dEtxvYTa0Atg8pBgeES4CN3Jnz2xMoZ4cG840i7KknRg GgGKqdTbkaO2LQxxOhdjn8IxL1yWR3W7LJt0DZ5ebi+l7wj0b99B3XIQhKt1tu9TKWivQ9bZzxf/ Tbp5GLInHajbYQc9yHFEc/5tDsL5gPGZfbTvxRcmaBeE3TjNHFbh2x2EOEJEjX+hs6CVAKe/XHBZ jC6AiXuIXRB12Y+Nm1hh4duzyPGjXBXKdAoziBU5JKrP9MuOn6Kx6CuoiqbPHF0RhOf+IHwbvy1F PKin/2jY9ydb5j8wiRZBTjdLgTvjP2WvDZr3sldG2YWEB7iMUZpoAYAgzPld628l+icY01LqxyAM 5zY9QA7xOhb8vgMHZIhPvVvEhRmixFRuJ1NXTL6929QlfI3w34PSEBq206OBdx27MDKBmg+J5vgP vuLm+l1HJQo5jSh40ogjdvUZr25xjsePRQoImo1aHKyG2CG73wFwBVmIIO4CdC1HGeMgi8v944U8 bwj0HRZc7IaXcozSZjJvTsTtZVx7ck6ig1e6vl4KA9J/GoNlpiT3kU31YWTgv3jzVsciPupfefYd IUaSmi8YdhrFicmSy52es/zrbeyGz0jHa70tzkykfLns5/4hgh3a5nxTkpEfCbkXR8i1cM+DeiJB o7LoJj89yzputP9qWGipzUumL+F3OZMr/RKTjr6hiYFW6nE4MCEy96/5oclbvkkzqGHaKxQgeZsT eAHy6aeS804NQENYToq3GQ1hDAuVha8et5p3lSRIRSzOrI8vH5z6LqRBPwLV9oYkpVzkSQXrcnih Iqmv1kUMYrnS88GAK80uDsxR/IlcnXmn+EmDu1wfKS15e5XlwjZ7ALeSAWSLRMep4G8D8/RrWt4t rhVzrMEF1w1yqw8yDAKgviZUqcq3pNoxt8pFtOOZTRY90OjPlLElk7nLk5I9TVlgZTcroYcrvVkl /mwURf+f6UDr4ExLvHPbMSnWwHU793KXtnbDpF6oIV+BQb8jx2NljAqeUVeHURQnoj3YxLU4D8Ov 3sPTEeauP2+istEpj3+whkh7V9QrMpEHn1J0wndCElH84KV0z05XT7Pe1fAqg3LALKPe3fqaw9n3 4GY8PVuUlVlhjUhMKc9eiqJlh1t7Cqzzw9e0FCldxvfxRFeasf7TK7TbM+xoF7u0tclg3kBfLgtY 8IiRPhvR93OD7V0ATsSaieyXb3NvoRhykROyEvC8qPCM8aQHQMIDrxf5C5ITf5+uJP6APJSwYZXG fvSEGCXnLLqfFAafdLxuJjvcwklk5UyT5Z9/RT2W2dJP8alGHu/ssQ8Ow0dpX55u3hLSYrcI1e7l y3sCjljoIjWUjADZbMZNcBxsOgE9f2bqa/JQB62EN95JxcSo0XantoefEUrwvWnsR4cX2DZkvqnR bdNIed9RWHNY99eZ1sLvv5if5cdj7ev1biqAntef5fhJ+1rnqCJxfXAx4N0RrUgGugW9W4FIWHaS uaOKiYC/cVtoB8Zi146nTGDdl1RW5JbBFg+FMBh9ulgjXfpXzMJDW/KoUBQP9KMaLxMLf7osnWkj rp5l6yHR5xGPeTe82QVmmTW+mhlw6Lnp55YxieRyx1qIm9UgLv1w/tyGfA9T/eHMDWtvcazuZIYC RP8K86z1kYqCk8aU0I+F+nv9BBYr4imDPFgfx728ds9o658UwAEdpyjcn3Jqz87BH6vAt20dAZ5d lxfncL4WWuiCNaFGqZjEP2xXphF6qIYwS2KT5377aRGN1xWV9Fgk8cwnShlsellOWEENEqWpDpwF 5q9fEDjbJv9DVmattnExkGc6LRj6qlCUtdOnIpfn8IxdG50SwX+mazlFglS4tnfBV8q61MxgCY8Z OigjXms1pcjqt2P9nyrCDqgdqu+tZydsG/Frj/E26Oe0xU9WvlrQSq7RiSehXZMYylU8+XMqH9pw ekCBWqgu6t1JdIhnKbHdG6T3a77WlSQQ2KqatOgm/Tc2fm4v4DYxX26TEHyBrKrA0JfhROxbRgIl GzYBPitppNtgHV7FeY8Cb1GrW3mRMOwO4L+3KhZq2wsCpioLuxF+l6IBnUy3Q8SD/gHFM4jsx64z ixvRYaHpHhRmOsbP/i//JTmpsENXpuKefHhI73tWJ5zBONsBHPQIcyj/6hZAiZ5ncWggjyadVMW/ 8/rQbynCUXuMnSESzddxk1MFLJ9n/PMVCdei/n/FrzlNzjsFSuI3dMYudfMRGyCMm+99z+HdqmXM 0lKhJOQc+gbJgt81d2zTyZxpphKPm9/4aixiYP3sctW9Au6ZlgTeKnAlGZIiRGzwE1hzuDZt3SlR 3modPsOokMpTr4Zuhceg/xkIv8o2wUT6Pc8ffDfc+D9zcKRe2XPvMld2WdOoe3mynmmuei9r0H4Q pY/B0cFPBNanGPqbvKhSN6oejo/CDEY6arexcFnj9z6XB8Y4yxfaBSurYosmy5kscZ+s4TkrgC6q ILykqQckdDa18fTAjlVCWZa73sXQDNpl2XfZo8ggMMD14updPqo/hVD0k4He6JFNrpJX/rAbblci iSet3vXVxuOn1Npz0CNEnRwV6kA4ELXfDJI8/yVIjZ84UFVLPn2P1MQ6bl/4eUYcrXwa24CM/uck eTMqmF83neaTk+cKriE5Dvw2QnT1P9FWKBhsuFbgQvvj/JifeAdXRHTzuvCK8vPLjVZ9hmjO74d8 Sjv0QlVtq/nWjhdz77dIPC6s7jIfIIxpYckTz/6SyGiq1656WlNHEgiOxGYPWGWkndYwA9szZJTt DQbt3snW6JuB2nprhZ3t3T+ooyK+X00HDKwNjuK8Fl5XeVnv6sUe2G48bwGW8NsuCIXQjVrZbZ+Y D3zGl51oACgm0Nmtb/IxZlHT/X/uHVQiztonsIzHqvlbMCNa5KoWuGNw3cGZnuiV2F0/uQeDNv6R K+g60X2cEjL9Jpq+/+e1yDh9sxVZqWqiZRqK6GpNU3DqaHNClCR9TeBrY1NK1kH4pe+1zonpZV+4 Tv01W1Y4b5JhTegJyz7Q+SJZNZKE3hMeFw3e3SGPVGQqxTfPtoXoAzJFYczidx6nX+5w13FTysXX vsWqkev5+z46IGKZbCKSbXExgbGbbEYTiDmAaOeV4tKps2+45OwNM4OwecizedSMKcKOCbMg0dmC pHGco2ZGi8fbnMfHwcxFAVlaKODj1g1FRyrWbOpcQX46BlX5g7h8jGuYV2npgY2I+DhhGR3HpjD/ M6NvDzCm9APBXvPiY6ipBdr92qBAMjOHb8Atc47c9DwiYt+rWf3IPv1VPBNHBNnDg4mYzCg6Z/hW 9igDOLf6f9Lbj0l7+uSGSFuoxEZPuX47fQ7ajaWqQ8h7u08G7tHFH/hQ3kwzVJFP2UIQfAOSGZFW MjVasPgWyiNrlp9bRm2TpSCZrhTAnfPfs8J3+1eKqWOMqJSXbh/dHHaLAver82ovhBhP1BPpKCiM SDgDNlqpgnoCsPoOjNWYigu77peotFuLSci+W1t4q5rqYglcI6OGP++7dAnxQWBc3O+0l/mtCilR hQxFEfdGjGEjNrCpUOdEHwWi7pBeAmJgRKO1OaEygMlOWd/6+T7hL+Zwyz37zleJJVQKNAQZv5u5 z3SUvttqfVz7w56JB9np4PoK3vUgAxItBz8AjJ+HZZyajQsSRdaPw5pJ1at6ZtAsoroGOf+lXddS SOEuUUX7bH+zmMrotU8Zf5AnlU4LquVoGfgog6WIVFx49y+ikmVfpzrEB161gp6rM5MNfgPpOMnp rSZdg4TJZ6b2XgHFdaWfWcpJkejRSbiKOqakxZXYL1Tv7syHhLe/lthpiYOn6X0lo3aXX+l7ZUXp UiGw0+xQosqWivomNdZl+3D+K5NOOJOQKY+O87VuMhjX3v4ZxDqwlMe+hDJ20hoLHiSxryZ9Wul6 xUglG666z82q+y6IQqna2IRYVUGGTdBauyXqsycPovHeNlEcxtH6FSw1NG41jTldoOyKIV840sm6 aeLHAZtfuUnT1fF+EyvUC6Qx/hX+rrgQlFW4qLYJomYy8r9S5Gdy9jItdr1c1y50tthBg0AaQRMI ZHlsZL4wzIiEIMyCm9nreQwM6LrtQfg5735tRPMANch+F80ScGB7JLlWPQeIc7AhmkJfA+jNOjK0 PM9pI4yP+6A4V9OyoxCE9QF0ycsujJUwJ3klbS6ynLE6bZ9N+ojq/ASYSAJzuw3iVKcIB/SvPPV1 4qI4ayC+OybItBhbiYbljlqqJis8ZCWP5s3I/SFI8c60tw+6XpmPHOtvD0NaJBnHqiHgnTJbn8Ow 9jQ5yc/V3fEvNXyYxFcM/HrLybYW7XSGSbe1uxcGOAgS1h+PpiDcttkyVF26zLcY0nycDUoMqCBB yscYook/2jRkSWyykfSLDy3uxhUsA3rO3VTKTwLAEU3IrdNGyVc33xLUyeKhc5p/A41SmEaMdGh4 MtGSU0fpGK/nJs/XuijSSPv1R8sD7Ws7LiGNgSg4gS+r0q/8z3GKc+MjF00FQ4XALQc+pJTK/teZ 022E1jAgrYS00sY3pZFXMV9dlRTGUsYH9OrJ6etBUfgC/byL0LgUmAlH02G5jirPPySlcnA/0UqS 5QsfPcvWueIbNLy45ZEyTQZt1+w9PRfUZnMeLo7H9MIv1AxEAklPKVu3kojpCMIWqSeijLzlfNQZ e8s+2cGzUlFk3ZRL59ZFwOSUkYFZJf8QlR38nzOu7BPISICbB87vl3R16uupC2zSJl0KzrG4EW6T un8aE7r1Yc1ddHhexEWZKDAhfspXpzKrXZZid4hzHu5wgzjVG0FEOFo/h/iGLdoVKaHG60up9zDO KbhMsF1Hfky8vzXroWVyEj3wAM9UmeBabbd4IyCieTrmFDiUR/vK/9UhKoi8M6IkYdk2nRapbcmX +rqF/WcMGCf+Cm6aQ2gXjfgUvc+ZKa5yu4aCqEeFJm33Dnl+b9rZAp5hU0YBdb6MQAkicXs9Msx9 fLtz2FN6ytuDiKCY9CrO1i1ay2J4BBXUXcnLc7plEmmZYdwkIdLYbt9RHX5rUk+1uT/w8D//J9IW AK16jdUdeO1rnv08dYp4Fzvxkbnfs+5QcP3bjQIF46kXRuFSdiuOk966ijgpWEVaTZn88BvTmeuv tvCjUkMnR0jGyvjIHSVw5B9UUAeeZh4Tf9/VbI7y+nOB5SWYAVplRxhO9Ac9+6xX/WEr3NkaX4G7 WaCg3H4YcDCMBCI8xuhANOnHeTNUsxUCQC1r0nMDvQEqZgic2ueTmWjLJrp4rW+S+WWh7i8EGvxo CbuRzA1t24nVAss8GeoVJAX2B5mDgKBWYvwCM7J+ZmWzkDxMJIcYGahvvW8xqD7iE+9u0rT1CVvZ rI7FQLXAVXoYT0AFI2duraZk28BpwqG6ESXiLKAULsZK1grL8MUQK3zub3hGaCcefKpRir/UCwrF luo892drCxDscYMWdvd/zaxYLjl0zVwwXjr0Nb7pOAKCZiOWLYzVyHVMM74VN4mo6J7JyWPthtgR hUutUO6JzHkolOWvCxyeOTswN3gANP10O0KQudvSvWIHQRzmzNmjKqlEXuTFSRCYCYPjbamCz4mJ SeaHmD+mBR5DxF8AyROJYycF0AJcO68D1Je9xKlCCWMRBGOsL1aE1swoaNo6+gvwE61f+8DcGG3g KSiQKx0kiInhUPHzhp0QmPcqGh0qflGRfFPeI0WV4NOFtGos39Hrkhbl5gVnMihjwtESIb14/jLc lJhtef5QOq6xrMYyBxP+7a87OVEom92X3+ZNnXE0uTRgX1AsSiJFGFqKztdta3ycxyrALht+mn2s 1eMhuwK+OK5faHfZeOwMwS6HvTFcsk/8vJGF04gRXsyzhBWjOt7Q6jdMQ+dzScgurEFyGFgSS1RW lxzp97b9XE88xP7+VPSu5avI6ORK/cyAMzTYlIMPbx7sPAWIwLCNpL81JGQj0C643Ia8KP4AidoQ SxGR5JCWF2KxIh7AJq7qG789ikmybCyxFkSscUJqfi4apFpcYO81cilchIKJ1tl1b6Jpr/z//MKf qpXCJP0si9kVvCzsYA190loMqGlWvCUyT9YWKbnJGBrL6Sosfe8zmb59enIsZd9ZnZtv5jXhiCRc AoMpqascWHL7WbqomGjGMTmBCCLd9lz/o6714hSFZ8XJY2A8IIAAa7/wbb4sSsry4sExrbpVqClk Q3twdhWN3L4sL3K73Hdwb0irGF7UMHed7xVh98ztgaObrBdmIjkVnd+XlT8dGlGRnpLATQwQkU7l LX3+OTembh7vtCRDQraeAvNoEQuRAYba+iBhpNJui8SIqVqG2rGLnFhly0kXMuAgU9X1HqVSTiVL j6Qky9AiTqTOcfnlYWTWmk0AJB+c+0dam2ZfrdlbnRIsKJtc4+mSMRQVPciDRophNEAHghV/YW13 XKL2A/iiN6ZLT4RaxTg635PLaC+KcpXjz5bJi+Gh09OsdcgWWIkE6zmibsVu3K7ECImTjfHV9JNF oXgfTKLPW6G2vjo/8DijMsd8xQNaUIFZ5Z7543EeiU7bB6VnkVr0bS7wtGm0eh9JdktTfQbFSEmV XWf83paJZ6s2al+9n+kWKYtHy+RNCYB1iN5oWXxcQPOwwc0aGIxTMUVBI/ShHghBDJ3VeBdvwmr7 wWCM7SQckJM5M35DA1tmLLojgBe/iAzkplbtKZcvhaUzx1CCSuAjuimvVUtxtsFYgsFkPd2F06z+ rXi3MC8JeOVkN4Rvk3onz6IDGED3JDkkBObvb+jKavFlRtFdpVBFIhxhgWKZVOJhCQgV4v9SR3Wv b363mEG626KnCkeERBW7qsysu7CzLcNmwOCdG7WMwXVcjxkVCP0e00YhPLzAygfxj589irRwQCrv c3UkgZ2NUQC0Ih6/JoAcRqFfF7helZa3Fjqn2LjL3el2fXeZ/VLfFv9oHPgXmtIsIIDhaodQ3IGv gY2sX7i6ZnT5+pV8NdRHG8C5FleqpM2M5VoXUWpd6zZal9kvY2xZSOngpUUybgq/1axXwpHipHhD xXOWUMOgR8trGTm/1xWn/Br+wwjcdEmQMg9By8jQK4TC6gppjZpFHJp/+p6/WVySxTKHmzCNgilJ ktlFzb0CPPO/QdeCZbSUwZvWylDRUgwzQhaFYcxDB3zWL+8ojn3QTroyetEumiE1Xd85WmxS+bHC PaoJyQpJ3NYzBWbxkTOU7yStFr8mde6O6T3pw+CAakzY0/k6HZewRg0dQVegyKgrKndOyhd3E9Lk UQ/m7pX7BJiGCDW44RzGi/p2uR2FuSc2mP74cQuLTm30dHBfXqmJBs/o7Xo2iguVJcNQ8W3X+dj0 sA+YaH2gHLEWvlBUOgr8eVWI2Xca9UkUqQ1GNMNmKsHTqsxW4R2ZEipSrMXmfC7URkpM5WfDfE/4 UwD13jbfXgdrjem89GrQ6yklCvVEZjS+H1YnTjxW+qcGgyBx0nwVtzcSiIDQ7Y4WuUqkMmoLZq81 lor+jCATtBubHIGDzxh5c9V9vke0LuQl0jEa1MXAVa7nOCBRb6ip7svgGqxdcu3VKBuS9LWenVbC OQyRALAsK3t81veTZJQM/bxKMkTdA63Pu0UJ1dzu+l0WS5ebyxi5G3YxbtXYrVuJgyk1kXKzGWyk KqayFJW2G6P37+CofuCxLZx31YT6MSxg4bUmjZ6pZ2oaEa4AhyMOg/qazMXlXSswkoa1Ruc9Daww 5lcnxr0MRptZphYyqlITgLk1Ge1fzc3gYaQJ2RkhnxB0eRsVJq9JwjAsUHkL62GV6ctPlyazOEdP Li+CfrZfbLLBXI0f29TOvsBGCHqm9XBhppzNwBK0LmNVU8qX/NIPn9/M3t2RaSsOoNj1t1PFB6u5 +E826mnHBbU3Rfij9qDQU4D65B+HoCI5Zfn21FvRVqDzmlB1Y3RB031ht2gzfL9LfMO4Sg1FkWeo +m7SWZqFq+Bkq9XRbN9aX9ABhlEmrv7CMzg5zCTJAfkIv2A8Rjta4S9raCrnvAT3hC+fY2/BcsuL pU1GCZxL8Wsvzliejr90P1vrZQXoEKb1jF3HlUBSnMrK+DXZkZyzsG2HhBywacexjRtdTVXTKK1j 3hHwPROlPINUbfWiGoFGihgTjCNgL31n8j8e7LidPK8MegGNZWSSL4gk5OX2W+BRj936+Yx5st4j nhYYJZvsip7Tahw8m4UJXFXuVlSoaPwE/JTP2GL0z/oWSzZ+JJrIpWU/OdGb+8pUQ26a0VPrpfn+ pU4ZxSIBI3qamRWROOOZD6tVZbsFP68vBU/WiyY+q6VvBCdmOaZ09gDEfgih75lfnv0sKVpHuSma KceS9WnB/KcL8pI1Z/1dPzNKEPV9KWu8thb9JyJwT9fusACG286nYmdPg4IvgzUu19g6lGemPXir SruF7yd8YfwVSaPBMpjkJRMmOyezEnjJ+YcRUniuLaKX5t4SZkj59QBhl4Uu2mJ7V4ytofap59el ChPvu+KHg1h9D3YQAGhy8C9hyunji/FnSX1CMWCw6zxfVd2rNjxvfXxu/LfC4RfFEK/YtyE2NdfU O/FGwRNNX8sE3Af/SRhZXj/sSfTN9uxaejf0+TSXNtIcbg5S4iUCakjP8vj0f3vvX6AMLda7k7fR m14g9d+KeiU9hS/tG9H/O+qpd5Z4oHB32Gm/lYq0b+1/ehtcGTTrplfkEf6MRA0kKGiu03zwwr1I cOiPxM8/DLRbP64xkr4X+z+DdoDXdWV5GPjCxBNnItr1ebx760Mv9gZYJIO+iVKMIROWVi5jY8TI vbHPNLRLLCoIvzCehRgtTCOQArhAc1MYrYYGogmzw14eSWFbqTRQDv4oXSXgN80/uN82k2bV27Al 38ZbLzKb6HsxieEbiKVw5+nTgiVsqO/QxwCJaRAGhqKGL8qOfJXNJx2EJXXNpxvTzLJPJOMJ4Sv9 R+ANUwkCUEr8zo0em5PHbwSAhISoMIe78Lpe45+aUSvwo6jIr2D1o64JrXQH20aJvgTXo122azOx g60S1qHGfWu/OkreNcr6Pebt5/pysoHmtnkk8cw2/h2Wfnqe1Pdt3dXX402MZm1RARJ40NAr+8U3 sj+c1rsj0N1tJloSp1YvzzsYKrfkWatsdMiBjf5fScOYSgQ+tIv3HIUrvo/SH40KiFcVObv/Egch Kt1Py6YkKq9sZqwSyssth1gQJSq6b+C/1w81cOASvtKRZc1wckgziEQWjPqldmbGvwz5K0QXoKMU y2R7eooDU+kkycTOX2fQXtGe86lFTjT6ClOxZ6F6XJw3+mH+GWVopmHSw6nMkKv9fYyZ82wAjng/ xCuT8OvEYseERvpC4/NR3m/iRJDZvfFigqDR0/wY+GRZzcG2B9NcukubhQSF86izg6k2AtAzyPGf XXu1Hc57ZyuwadXSteihCT0HQCLRa5J8TD++XDIzQicfYqjMu2J/pOdXa4KwFRJkzJc3VxJyg84L cmInHfYqDSOXG8CuAPhNpH7Sj+PR5qCQNRUCRRZUuat97J4U/k5M1YhOgdsXNAAKqwouA63BmoIS 5R9uHQ1eSMH15/+VTR0ImbSUvphIV1dyYWKIMA97oB3aYKqL0usWDBQAy10zudM5PyQZs45HZERo qu2onHIco4AKPkVfCqgIcDbZqTjDa6MCO8APh/0yQydCPcGwT7Nkn1863NpOiz0K6SvCzbSVj4gU 5mCrXAGJu5JHNftH24dtPS2iOZuAFLqvWC/3eZW/nbCpD3oKpIUybFfkXzNsi7kGcWNlcJMNkeyK 7ralwoBbxScYQqfWx6++M7jSP6GrXueOK9D3Jjrfk1jsLlRItL+oJgatBW7VoK4/3RtM09pmXQh3 pvIzMILdWRZdt+6jBJDhgLboJPbZpXw60iBvyYPZDiyICWvmOG/iSgOMi1YvMP35XT/WQYtvYVs2 PPzfoEk3aGB2jCZ42yK9QN7onYhZpYoQdIF3qLXELhEsbsiSc0/r/kGxgQAlWkNsKkx/mb7qc2HA 4pGpTkIt3PZNe4TOLGRx1rXuoo9xV7MZB9shJxgR9ahHRCPX74lW+9L6/1Q4rpd9aLzH67lniccI iXFKaLvhTPW7Ts1Zzx5wSR2mGvyljr9mDSttaf7GgM2hKUCxIvoMfWXJlaSabwdBNp6VB3+aGZoF ineE8YGWC1mNB6JN1GXpOctYCAn4ZrxXP1O9to88XM//u1ftegfHW1EhgO3g4rDytQwIU8/em/kZ og7dnUugHryIUXsAbtW/NuJYLRCXB3rJl0Y1sFxNVM6gIlJ4I7BTRXAz7dLFS3+ykkjE3OT+l6+O vVEt3XL86MKmCi0T7ltsXtuRjJ2vWJRi11fi0xC+gEPEwTJvJEdD6W9RXcGyLWmNnuWr0rshIcYY NUEv8kacfPWWvrNbc91HE740yUcl1wCWA54h9tIs/xRqzpf30HF/BEIcDI5Dae961/aqa3r2Th2z y/7FJWvK/lkR5HgAq09BeWrVn5sMGqqWRZ1mH6JkQRNmxxOY6WlMMdcCvTkJIpaPYzQZtxAoPj1B s2e6J5HUJNvj2aOsDzuEjGT8Y0wgtoaqpaicfLSG+FF3ctsVZnWEyYiAPFHRkZYdEjBs8/qZNUDa GsRHLcKqBG7phYnB7x3SHRn3zoMFnQ89r0rsgcS5KpR4heudU9buL6NAxjeqKkMt2EysTFcMQDLr 1oqF2gKvddu5HbQ+z+5KWEbV1siorsyZoYCTx+Cw0oWa5GJ4eZwjQP7xwtwHzkDzzPqyVyE58mUt R8G6LUE5Gkh55OUchbkKwly3dseHLt/Fi+EjM1exMSmd44vad6wN5y5UkkZYqeh1GySHzr/USD2L zfn1IdaFMMGXsNax+YzacuvEONMUKsm7AUp4S86LQZXckQlFAYnu8aBW9RxPjNlgxp8PY8iMJyxL WiFXpOJavsanVx91TFylbjAW5mZTKXjsur7gScT1u+HRyP5BXCP34dwSiteqoPVRIJDwfuQRzVm4 2nfnpHni3NbFcl1g2piM0Umzm7PSiUJ+aZIZ4Sas+TnU1ZbHyPljcGo/2bUT2v9JsgYjHYvxX2XN nfJBhEOH+n8OnLRq6eORB/glVgYVjuUjPT8mhfrFQV/ps+H/uHW5eycPApGB2vxlE5mVCDFB6AQt N1G+BKBczK6jIpKwN5bVrtQRKfochFp2OJ0gpJQHiSsbJ07o2BXOTHhFwyy/NKuck50Dlvd3AIDZ Vg86L3+MVRdrsXYExKmJ6Ws97c2sSJlmQRe6BbnC7DKuRF1hHomK8iwxzxO4UMw/luvyADmmhBfT Xm1xHxuj5SvSQyVKm5HCnKrp7h/0fYlfkbrfN66nJx2sdHVQAy4gK+cOiufbw5lMo7aYWU3BzWX4 8eBLBXkJtc1z5cTvlHdxymj1Kz4N/HjWirzcM7IHEdN8BUmmYew+tozGRRW43L4PPB9NkJ8CWwde ErUZLlZRfAheqYT753MAvoAZZQaLZabz6pQp48Zu5v8lcurvoq64mGn3rbISDZjhPdyXTYa2siIv F9g1wBBQC2Vs3P4LQn4Mewuf05vkZjqfBPtzlR2Su86qWdNLcicV44TLtFu3QNiEnvfMuNU4jet0 aO/WeJ/DgD4pU4SmB6BOdydHODEk2Bcn7F1qLDBSBGPZiL/0kG+ZgFWXyM1ZL5AsSMkXYcSrymbo zU8SVXR+gwTBMLBNbJMQ7oMy2ReaCHC7ggnB8SJdkIboxtur6Oh64B7Vp8AdI/21b5CwmXhrrVCB /FNWKAC9D9QLtwSUwNMFAQH6XGB8dyfU0Kblgx37ziOc/ySTixBh/k3Ao3kk4ug4gz6SOmL/wICw czLvMp+o+sFptqLPVedEGRDF5t93Ftnt+XbfF/ljek/8cYw3DkAgeh0S68vQRTGwl0sKnewvdeT8 KahbpSOXP4GZjvVg3A+RcUM21uS4U6dWiTezjxMrGniv+GlQRzzzC4FX30hBVhaSmXwkdtJFkcxN wWJ8tQOPC0BGCwSN0rAb490WiWmnXgE/016i8BmZJmV/WLHx4PTirnKqQ0yVVp1DJxLYPKbZ47Lv sN4UFVRzT2EJHFwWHsG6e/ZebnugKu9HFIA3/R6USZK4s96qH2QBmHxPc5wpgUlrNDRQNSSqv+fo SfMiGlFflUsgCC4SrKzANseSZCn291xiapJcbMZgdVHoUJB3NYapJFlWDvecgqLJ8bebBed91Vr5 xOBTxTx0nrDdK0PqzKSXNE7JkFcYz82tDkKHVRPcdb+4orR6kfQqNnLZiY9wbV3KF9ZlyUm4rwnG sEgMNzmRdUXAZho+Kll4KVyFYPNSXUugXv4JoGuxfrJY4TfIOXGxPbLqrnicrb+9QJkvi6OfJiA5 x2cZdl3fM9JtwsM5izkvTmQ2bN8y1MFnpUE5t/jy9BhS5YrTkRvXD44D42VG64drfkNWzjMQc8gF h2uTeIBaRMmrEZf63/MSMjNWkIl8U9AXj1feRhb5hkp8g+CETV4ZPSsz3GFfbq4nvQDC0sniq/yV d7WopV9ErOU0sLRXUzBVLnO8jGX9qcPOrnQGoqRTUs/cq5LZto2GqfY/rLJW+O80UQ2tnWVbWDkr VnUy/8/rw85zc9HArtQDZJJGLKcqwuj0V/CtfObg4s2Lx/aLa6FIBjjNV2Xz1X563aBiVRGmm1h2 BOQTjoaPXuqYrf+DD960WjAwAepH4CPdIGquqvx9AoXfCW2sREtfuBYUv3rClpAwTtjV8kNTYfJi vu4dHaiOTMDrawa51RS792gdrQe8sQYi3uyAZ8d6p6ZzGUJy+uvcz4UQUFa0rb/QwPw1GV0tbDMK r9yL6O1P8J5C4IbdWcU2anOryNDoatJxhQxo3XZrcnezbj1ws4Ifao+tkdjePqzCGaK9gl2sTg1z OsSiiQcjIZsdarBHSveT3mj7ycC2zlrHENn2mZSZv+Ohlf+mq7UH4FQ1hkHcZDzxroDI+aeX1Lhw QLtKl8SLHoNXEJJ4nmXOvFBMFz6vYeEL9/+4eDyTTRlz4CkReizsJ6awxhWJMwV1+c2z4RqhdG9L m28+sN+uVxGt53yL5XslQLDkLVxtutA79ruteOi/Vpijoun+BxZgPQjZgPlthXZ3S69rJFExRT7n bI0K7hHpnN294hRBkFdWTXEm2WvUBnySbk91cc8pKnoNeGzg2zqWQzK1XIkgbWJnTvC1lLUuLJ1H 11A5C5OdtCrWgXGMadVnJPoVYkPoP06Olm48QsaDNHYPkTzRz7qIbb0rPMWlgl94XYnocM7maOzd n1rWQtR8D2/3spnI6n/HKTOZajxVH2G3ICVGYlbyajC/C/wUEJL2wOV4ws4COCnUvuktlylmbq64 UxEsvMsNhsJE6GhHqufPdOHheg0NUiwVjLrB2iiJ0ZoKh9HKqyE8PdwwODzeg5M5ENgnTE4oCH9R cIeTyMQVGEn4HWGTmZ6Qho8RGpG3z7PFMZ9DTgxvEG8PVsGXEK7VYfI0BIsy/7dt47h7bRIc6yj4 70RUvjcEicn5FMHk937czQrrjzqXdzeQjm67c/DE+0BFBg10gbD533PG3aP81kdydvOuKy5OsoEC Q1J7vJwNCWnyBUFWmvEIc6mgqALPomzTTG8D2ExgFN+Gx9VPpQJV1U+3qJY5hZ70YLo+JTDVdVd6 OouKpC3taEW2cITFxb3CA5Od0RxxtJAdgi37mVngv/PzAHy4fJD5xg5F7qYuLGc1tcOA0oHxya/L pZvclCdZh2ci2qRB6T3eFh60bDH3odY9WM7YDKmHnfMmghhoWi8vnsHApnp66PSD65WcYNjZiQ8m hZWVqOmiAwdIRW/9ooLsjonFLD4+glniAHGUQB1HEbvSG7iK93EK9/eMCEYEDQ5+rtBtqU5eRClm vI8GLOnfKR5rclQxV8ylxFGbPd9nSLnZRUxY+TK9TBih+RGyNamRSxeVSHewcNOtLQBWyYT24h8x 9es2L+qa6tQOzaGCeUO+DlzZuYjixZfafGucDTXtarCg8JPDos21uJdaYKRhdZElbV5UkCHPRvgg u+qmLry7xHzPKC8CyTf4eY+yH2LaAMGnCW4hiCbuHQomjd3CUi99qkohim7Jleqld5eNbOCOfnwU ZsOyJM3Imj+rM1ztSY6n18KJqi5bPe1RfhfYqznVkTesmMxkMhendL8Poj9EGw0TGzOiOA0TJFj1 L3cR7/8H0S3Fj9bdFopnX+KwSnM+8Y09QBEXy61Yfy7j1h/u2lNFF/W+WNbYut0aQ7j8C6g/ev2M fwN3wEyWXyPIpj2M0ViwXh0gyI5fVrpeatbjf+VJvNma6fRS+Z1EGtfvSYOeaRuD/AZMMA1dBzxG irZn/TNVE8J1MjzlZy+USrUyZ76Au9o5uVZD8nWxmsqi16QoPtH5vuVNNq5GdjA4DiTBDR8YFU3E JH/81ovqSwugbGjEL5EFK/UkVo246wQU4MJ6RzWL9xStkgV6n80xtdypo3dQwjE4vYwAQ2wyJrVJ 7LOrlIInW8pV9MQ9abNl0U6/3G96DQcbnAtwnje9DETjDuHGp/3ccyCJCttNViRboXzBkLy3yK4+ VxHPUO9GVeL59bzheo3bNJ3zXn8FDwZXHdBGW0jeVjQEVCmlMH04oAP1CkX83aobC65CBMHvkWPv DzrueS+AJVumZHsiE79F+SaHxmqQxRQIHlxlTJ15MS64fw9Y9ISKQv1TErIY8casMDe9ex9Mhj00 QmjCZbFNqtpk4cPIxCjPPlrY+JHTWksFjMIBfT8RSN9bo1xzCIfhXqhvpi9r6kRYO0A6b6kuUyFB AT+WyATEn3LMT/GeffUT6/7SDi8v3iqsDwKgAw/E7tGltn3ZORDOtwJ5CjqML+1/YTlRonjI+rAD aY3bwwDoJbTnIJnf7GaOUHgR1sMY78hq1tKeYtHSbDhdCQqXbuIAm5Kihnq27ou3selnbyNLmzA7 EpywUDcN+4IymMhPnCF2o2jbhanC/BAauZ+JHL50uW072H4gDcoe0NMK/4IJqK9zSOZ9rzIcY+6p 93W4ug3BA/8iZoNbIAXmMt2pPVype6D02Qlgsl1+NLCPBzMdDl5ZWs1IAO2IKR0+LmPXNRwerH+U zQnEbDLyn3dw6XxRF4DG5+Nypu4WRSsmZp7fP6zAveN0nfPGUN7mgEGwGBpDAwThu+QRhtSfzjU7 GXR0Edfnp95eOWHKLdwdveCq1e/S8UuGNyRuUYO98yqzOGDnZoFS7Czd7myKRd2OEJjEEfT8Pl6n 6G+Z/qPHd1zpgoc6yWWo1TqS4lbOEmgTASKC+ql75J9NaiJr3ntOS0hfbHBFgqS8WeF6iYYh++PN dhrELUJHyfsKwL4DOiRXO9MvVqrShKAHsKLdQergeL0xWsxFZXyAMiEoZ0YtYy1Y/Vb+4ACnw5Ff qgOOMpE5tOBElTt+ip6OJZC50YQLp8D6ej7FXXMIgxBIV4gO53gNNRf88LwusS4IK+ZK3a0hlL7e +CMBkCoqTNrU7Rteiz9XISrMsBHvVBzxR+SHUK4RBsD8Akk/cfbf3ywDpKf7Y+3mFX4M80V9egZN aRGSd1hE2HpK306EDrosTrKCjAj0ufFb6RtmEYXXkdcc0f7o0Tu3ejYWHyI94QB/I3nVRI6RCPZl wIMvA4lSCDBrnw+4ugvaix5LqhKEIbcEsbOid8GBcb6/bVcbzudr1/OhHQUyF20URPl01xmucyt+ rNsllF0OvZ0D7O6HT8nUxhNFS4rQUiEMLdIMjDfH/HQTTMMpa0i7C36IoiJNfOd0EO2oV4Ai66sA il++CNn48cGJgsnDCIbNZmBEaY9PRC6Z2nGNYRxoye2n0RBwF9zf0SR91z0LkRowTi3kxN7VHvR1 vC8Hyg1Yiate/7PaRosSMO1yqO2/j4vwOGMFB4RADdGZ0CbmYJK3aHk6hGsniIzxVQN0xBhLVu3c MYjFoiw3uPBW2sTfpdtbkG7doeSGUuO4u4q75ofhiVwokLpbS2OhdCOebJs71+vW150hbZ0U6aX/ +rcDBwAJ8JR6r6LDztkgfidJ6WXSQtwXQmc8729TmWqSXvSvUKEDk3D/xg08KYjPDzzGpLDfPFgW MTpwQ1pJbfCZcVa3HQgpKNq6Bh3wEFH3D5cbTTw5/UDDqrb/dzMBmHvAbcG2XSSjxUyzzxBunehN b4rjhbbANlw43QDttw29KFgZb+TNC2NF5RX3KgXXVyNG/tFbQezfrIKI0Lq/iypcJEdZUEOoMKFz 3KGD854RhRBtX/NOOCThQPuoy0JpmPiRx2JGLQoCe3ZkyEjxB4RjpENTZJ/s9TfxblMSZ6NR/6IB yyCpKnLGYOCUzfxHA6/fPsBBIxzS3SKwWQjFcsJ/6d6IGhRxei1BdMxBrWiJHrTIff/6i8Y4EeSn VoTfhtqYA0+GDce6132BVACNA+LEQmsOhmFzBdoDNp1iIYXZokHw6ijqWKPrQqtkAHWocQb+VtfU Shu1bP3GzPV0uQbQ3g50DQr0K36cITVHSS7VO6+y66bATEnpxVAoectVdqE2wHtHOsWsGqpnWMSy hqTn4t2WzJhsw1SIjPtZBnZWPXSy+MAyWcLmvRDImOMb8wjR//Q9t7JW2zdHCF2buIyGxS3qre4N kJU7hMWmt/R2vmzmue+bYC9Noc2gtAo3Uvs7t5TPMxPasOeZxxzo95V5/oqwfSUnxdk+Bao2HrFY XzJb4mOx+RDpgPCHfCFu9oBRDNECiGgBLFfIPvqUK4w8IeQ90QeYqoYlzR4pFdapwTCLAaC7fdMo rl7RzWzKVbprEo0xq4BxUy5+loCg25Iybc0pQJnDyLdoSKCm+SJBh447nYHSqHJNCN0lOS3mZQcI 83fjLtR60qHMLyKHqIBmbyjkBJyCBdFm4i49bTTm8k21BV8X10ix9Lj9zuG2Ibf05KFVv3oyHnKR RqlvDhNxt9is8WQZVj7b0FetHuJAvKA50583LIZh7A6beCQzvH9p8ch1rlazzYPH5lVLr8x85iKQ c8PDEx2AmzWLaBJGbA3K5KD17hMFFGCT36GZ9MAg0LuURCPvDM6k8vA3HvW9raRIZBbZBpE4bH49 hAxhU1u/6bcuQMjyutyM+WmY4mdtmHRt0/CYCqMdpNPMBzzbOUY9C/LiSjKTp1H+QkAvKHs3/ruj nF31F8oeg/6anDDMgjt5ejGq/c0/2cuXP/BABWfO40CqfcBvrawvRPSEg0n3+4IzVfTRZiiSY6Vs XeVd3Y0ynySQZoWReQKNF14tPljltlRJyLHmsYBTQk+uqWuPbiv+kPLXfYgmdZzconK8+VRfPuZo 0KAwZQL/02h4FdbGgoxlXwj2VMCZ+bUGjJ/LEoatT8PphvCNb0DzdJass8P//uY91RtJRczUrP+j OcMZ3wKKBKkOAWaULLGnWazUW1ilTw/drxq1S4n/Fef8un5IFnvTGm8PrTuRaZkDOqPCMZA+L+D8 J6yhm4qjbWitLlHHUTUcihx77JuPIxijUePo3czEIOOM/3YAkVG8ayp/H0MHLyO/GRyjLGaQgXW2 kDhSYzEiYQBo0AY8+xfuT9IQp6QOGiZRFTqlpAYZ+D/pehy82Uc+Ll4ghrviAK6Jb0rMd11TKZaW ZXwMvhRoySyKvXZWIiQdEjFH1FtjLj222skKVFHKgG+bRD7OrBl3pFHoKVwxtbt9fabeYH6yH3Dl bs6WfRR320fIOnvFmZ4ylGvxfnAJGTv81lMxB1Cj5ZeiEHICs6M3z7rCwIPNmixFWFAgemNVt3ls 7XD1t5elyxfDXplGzaYW9q5AnERQeeGAbsmWkeflA7K7a8+64W4VMJpwsDdJwKgNEKa2fGCK4Kjw rdtJbYumlLrl2Hh5bgCkNB1kgYkpVm6AAicazyiCupelfN92zRIb9/9uaNrd1Tmb6f02AiV50Guc jhjWqW0Ji/S/b83xzvo1RYIrIcKDSmGBPtAOC3r3edtlmSvK+PIBTMvyIMGeeIOT0sv4FJXr9IBZ soVLK48vtjGqAW0+zOavyu796jbUL6KXnfkKONb96SvdGCR+NeUbUZWi6x8Hfx7AnTh9Cc077BOv flac/0DGc543JB6SAUxjvGQHGeGiOG159X2aRZhqiz/pKuB95s20aOkGJakmZe21DRlCb2eNPk5n tyj+n3atNGiDoSuVBSHg35Le9tyG2ObqvktOC76xQTkhAXlyLKEkXe9Lg/oaCTKeWTG0daa+IDIf lhG3RjcgY+jIILnzUHvcDdJwYMrjP0yWQGaeI9HW9tRXp5uo8muHZmR3bE0JE4mTD1YG1W+INAPX UOddiyd7b7b5Nv4/7WluVox3OBzJMyZVmno81NmGwiiEZaFS2SGvV8l/HFCaEe1u1W/fgZGDKm06 6hrGcQIuqweJyzmD5mw8VUIRaMlKMKWAqYeiaxDLK5r70jvervAIh9OBeNoBeSwgLue1D7FjGUD9 D1eaHizPtP642RGSo1+SkrKnVlj9gPa8selImfSWl5r+iKF9op/2hOiL8AM0VMEphLdJX2X2kT/6 XJ9LPwvygc+D90i9sHm1kH+gUTucpInIzzgLZ8PewznEBAp6xAkzzNA2jGmip7Ygs6N+x19b/pmU aWQ8L5MCJlF+Rj3cYvd2P+hcYU4Htg6nCz3ukhgUPNqIeS++S4WSrys6ugjU5AtFGvzonRx0FQUi rgMz2mWplHYlkCVnb8xKa6sKBk54BL/ns9mfZ6zc51Ubgg8rpJ31A0w2Cd9/oYYS6bsgAosS4Hv/ Nm4EVsbQI5Dmxvy9JVvuENtyOm4zvGNA2mU+M8U/D7l7Wj1G8YC7L0Uwwdtx1WVMn9u1I+vviQln 3T7giXu+oQVJeSeGhV8VnnvZZ+YFCvS4isObtadIyp84W0EoGx1zQxAjDPXuus/VkPgEkcccOmsf EjicRaTtS+zB+GZYiXa0hIKuwkn4J8590Fq4A/0iAQXaUHhJJlIXYyvXDoiZqeEGml9rZY1zvAJz a3Vwv8J6w0u6MmFZk5Nlkp+6QSurJyJJWrU/B2O9u3Pc3FCLhw6vObDVBRE2quzij0cjXslDbPz5 wYCqEZWdC7N6Vd59jzVCmLBTWVAIkEgZNM2+NyVVqCCuvdKxvP7nOOycIxrFa8Bg82mWiGmv0vsc QFJtOHmHBHxDnoyd+lXH74oZ3rrpN7R+MNFabXd+kUUMwQMZgRuNPAEIlsBiTPnoI2nWJLDf1DA2 MeyFpodRPA0amei3JWEPh7zpubuukjMym1Nll4bEbpsyNNVnWT5GscZR9jwm5WDjU9CiyMIPc23l 69rnl0iYQTbcN4HqZ/s7HTPDR1/XOyVXctFU2kZaMxKl1kuJryx/jMm0ALFERGk7xLZ6ktSG0Dxf XKF0ERKX54vhuE78jU3PsJoSZnvsalYuYMVlfZA4El5+c3FMhIc2+wANAzuOYXOVjA8RyV8Emq8r dvtCh3iYLWOUzpvlqxvqvERSyBBblx/oACCkYaOHk3mhRYQioBZuJuAsNfL5fLTsVByAIY/Kuzdu VmULKAXbutG/dSAj4CMHzVMj4atW6SKtoBQjSTxx693Dndmah3XCCYToFrDmJafIJkg6FDC3QPpD 7BoJKcf2bwb0pFBt1VoB3widFhUE/2ToPZTJhqjm6sUrNzXKX3TBCIbO4rHnSsuxnl0RR84ej6PQ ZwgvIM9kh3/NwWc7kQrZkpX20AZBP2qZ2XGmA6siFgFHJxFcG5EudR87wnix1A/mUSnwtWk3ido5 MVwOQTKz0jdhiikY7v/zvZNH28i/WQhnIuwzmXeWC1kvD7Tcs0wIBvu4cJWKjxBpQvydc9pxEdeT cLhbEiNUHr132SkxR0kG3PKrMikxhoi8aW/mzPxEudKEym5ScY7aaz3zzmRuwAWTag7ctnEhvE4T 0oTmSVuLyuTy+4JIjgYv4igKbeAEur+8h1FpVW+8FXHRkVxnI3V++D4VOyM6qOx/jrw1KuoYv2Uu pdksiZfHjcQd9HL7F/x5y9sddCNg7nrv56dkzg/TdK2fMhLjYWWFZdzuQSRKJ2RgjmDpS0CTT0Bx txPvBo3C3N5f64w/ICF8Hu6UWrxWFppvmwBKteDVqv7v9q5++enh9oJsLY7n1F0LxfzKFqRS5k9z lvQaJvGOM97jdvTkYooWnRRXcEwR6WWjK4y4LeC/82ON1bvLUrZsHQSVU9ZPuaAxU1K/UQkNQyHK Ahr7IwLaQTvUSKlnng/yfaOei/06IxkG2veda3qgGOdioJQXrjLrrL7NLeJuT44QbtSDm3zCy7qk NXSg1XZvPrDgtxOuuB1WRqtvP33x8NakAgYsPzqE1w7BHRCG2kFPtwOmlUvLEmcoQcWSXg9wDZHu 6nTPyJNzNOrp/Q+oy2Vaf/eYKSeaZRYOJaM9nqxYZ9Q9af5Hs1pn2dNyPgvg1hTU6Md5r3BnWl/o TrNyZqJX3gAV/A+5o2K0Gxb6qAW1678RrZSm05NTW9V+D+vyY805xq2cM6+nmhS41roo0r4jyLRB 9WFsWmC5ByUhDIcmG8IXhu+RM5kHZAFXWxFBxD79E4DGB45RO6IFWU33lBiOafRsd1rDwSKm9qyV P7rWp8YmLXSrwI0efEU60DsnJtqoaJuwz2eoUNVaJtTtz13dnmf8HLUyPTNmI0+tCQifTGS6IsI7 DAtJcy1Kip7PtmNgfJNSiZLOEQ3GYs8lp9f6s9yMFOaqHssI3PTz2QAmk6x0aVN8LaJr3rzYxjqp tI5G+XcjJQo921J4KgRkDA/Y79w8JZA2kCjG2VdJrSvEh66YnOKGlF6+t+87MlkA449sQE0Bwqvg 2UUkdp5Efa0PbQ2NvfN6TrH2mc0nZiIaf+jpiDdCwtlStavVlWj0uI31QgaMKKI+1KaNQxs6LNzV uRaj1D/tYN46jHW/QWzcMd+hKOvXSFSMCeTbVVCQQtOkgWGmKd63VKK4096UY8cTs2qOn3wGCTqQ A+Gig6IwgE9LObSNHGZVsMNTPeN0m9vHRf/QhhrACJFC8kJuxkALyM/iY1k9OpdItFQnVKt2cpVy j5Ly6veLWZjnIWofi/hf2Q1mxvi5Vw+aevgFo/tr2kzbirpDfR+3KEwQwMTVZruZ5opvNpoZj5m1 /67Xd/VCOV40hTrAEI7yCPHft5xev5sJAZILFEFOkXVIuR1heiG8ZdwyjmKVL+uJ9hXJt6RNbEsn GNmp8bY6UOpNGOp1A5AjZJY+66Ye3m/nDq7DuHjizuXz/jEikwtTHbmy+KrlULDA4bc/TqxVPq0n JYvHk7hoBZ2foBPu7c10T/cG0enaxg+K0Wkrr2ZVcUTEXd+Rv4h6jWjx2ItnByeOMpVAX9WlOD1C vyOqk2bcY4AWt9Fz4IHoEe6pRuhVFt6LeKu1aQD8pw5KZVyVFdUErODS1NE6dSz/GyRhHk2LKF6Z iR4yCAOVZO4mQlecRSRCQGX6/RLSYnuutqE6bmhYnY6+gF342o5ePWjcICMNqt6Gpqg1SKO0weWg C/E6fhAZFNQg3JyQTHzu9hJ6/UNNzJnsFcZvS2DBzoqRPR9Xgvrc7Au+gBvcTHIiGrvKS6MguILh jYTXzF1JLt1fruVgEeEV9seo8tfuRDYT37ONf5zV6UvkdvHx3NDqQvSGprfspEzftNR8aI1/BqEW cAI4KTW8LszBXXkxsOLghWZGQoe7EREe6eK2Kbv2JzXT1ZDso14+CYUftbV42wn0MXoPwZp7RDGf aD23dtzf3V6LaXWSmgktRoz5UBtCoOZrHyU9K4l1W4kyFUpnR0FSTW7FYco8gKohTyzVJY6P2/KH N70sHale8k0qJrYt3BDsxKKJxm268+EXXxM9yHclHr21m4BXV2y6ozLD5RcpY1K3P0UcWEeZwgfa RBS1nmTJ7V9kCdTP9Tl88tlHVV23+5Z9QLf+fK3reMKKvLgvqcIaAD4BxkrQ0HadmxxOn819EEGk C+NtvL3goTW+dRUIKKjb3Jd0dPxLfIYBf32+6/vBzvEP7Zk3/OKxqANa0Vrxgove705hDVJoT5YM wi1lMmbbmqCA9rdkaFTUDvrP6CBcrumhYb3+tJyTg6+r9cNlTaGWK2ra8vkUAFcRz/JaY9cxSsYm Hdo7yjL8nRvpZ/l/IzAA/kvd7JyiwbtTu0rZxgIomul/8g9jEtKJpgQNAx2UKvj5hCGJ6clVTmwn c4WM0yTfxvYfFMlW4iWk+uB/Jt1/YxNhn1CBZs2oBACpErZREzVtdP/R0sNe/kvKb7NhVDzUw/WI GKH4DLvGA6NhOxByBd+wjGXKVG92CmLmlMPNtTecZK8Xjz2jE44MVXX+GIagz9VTNfyjKSRy/N+Y MQa/qrSXQwb/niC+VjDNJp5lbSbug4RnYKqLywLvaxd0W2wiDNcbCZT8fhOjTh6zmja06rGmFayP Eh77+iUaOt8s/P6CACSWivmgr07XV2PxwWNELYPKz2c/NvlKLTUMY00Q3mNmo6Zawj5T3R0z/xEv 47OKob/g5wDyMMOA/svHBXT9jRPjWWGo+KZVZw1ubjjSHF0jvK0QpWuwsPIthYdbA2YPe7ZvdnXv Y9/LXtNuiSmF5xsu0aGWirSP3IRNLFUOqzficiE4jUlblL46nd9mQogx5tfNxLDLqfzl3pWA7NE1 au0rgFxyeIZ02839/Lzi+4J0SQz97WM9fRaCs1kmkL3O79v+n3epfoZPmeZtESG8tTa75UctPDGm eFxWgzXcYa58NgEDGzdPt0t43kYf/aV6+FSH+tzyna5e4BrhPimoZJdmW8cjsRK3OCL7j2xd6D+K C0ozqLa56SG4ExYGBZmYnjx6bD4O2jWJceXvSASBsmblxbPMEld0vWglgmVcRbvQeq0xvzWaS7g1 hAX2u/4odXl4VfBl5ax2iBCyWr2Vb425WHrZPTCDPLiG4AvXYeM6Of10TB0z5Dvm4QGFZToNWpwJ A0kA9rWkLx5hgEkjcR/k7M2uaCNpB+OYUaOn3iO0pdm6ppb2zqM/wiItZYlvLwPBx8eOYDNtjWMK PT1DsiuiSRgFJCEGNqT6kx7Sf5I+UMEuW6Ft6dG0rZqWoU+c6Sd+YjZ4mra06lCvhgg62Stq/Cco gSP/HtmNIafWEtQ4kQZRRjBFfVdLzGicJE9yQ2YhaiVipfDqUG5/snKWlPxXCIz9FV+0Cp4mUWil SpFLcdZ+CVpJf2CmaVxMGqU5ja1dGuDqhABy49vcu6qD2qGrMCMPS10cirr/FQznglrPtyNW/qy/ 9V1Z7jdTB6ew0W+b2x4/0iKFNP0zDi6JE17T+ABvxFkpseI1CUsQzxd8tWRjTcaVDmWKNJ2Qd6kz jjWR/KJVUZDueDEdQlLuxW6tZVKWB+oQ6435yZVZSZcJsB7UO4WW/ySfBnh4RTzZEkpVfNb0e8Vu yCO8EDXOwKcuj6hq+7DMJvIdFu4m6b6wEmD12Bi3yJRGnkfcoUqM3k4LMZvLcxVD1JsaWdAtW9Ka CVSGKkkckXzYuJ7S15wgVgkgwwX7RW4tpSxT8FklwL22andA8MTxnkTd3CHaxqWu6knpo5RJgvHS 78R7l2Ws3dA1HZbXxQrz0GtNMfAYbtEgJFJm2p0MWRE/cjlMqjery6hO39rhbv9Lf4iACH/twAP1 WQwUeVILWq7bVU/kmIz/Ge0dLvK7KmzU8R/5q+Qr1YybJwRwZRwwjBcf93DFK6s4C+XQIQ2OsPsd 0/EwP8UZss692JIKJZzBC90+LJa0YMUXiRaKXygP1wRq6QPN1+RCAf+emfbufOvSakqt2HqBODWY 0Silw3nQq2kMhn7hy4HzCm/xz0vC78IqDvM7y2FxHJDY5fAOZZdjhDq7bhC5UHpbioo8bFv5d/xE ZJra2mwYnJWig1Tek8ynPdWxt7rUfFauSa/pE4nv8O/zmfk9/AptpFZ1RxPvzfJuAuo36Db4CFC7 WdYQ19PaLXTqmbHMAKwn3TwFyioF4aOtF0NqgIW+TiANOGBOpkFAKRlZowILGyZFWq7Ff8DfpJqb jboBraB6BqcJuTgHvzr1+5/4ojGNSZJ/107e4sV1hsa0gYjFa7377U5ghAes9IqelnKBia5WDNlH mXyIGYpdHCqRgRqZFTS1fHJQ2YqSNCmM2ZWoB5YBqCv4Du49ekqLjCshBH3/GiX2OcdTnqXCJtFg jZyM4BI5yTdixbIsPaXsnli0Vckb1xpsakLezt/r0wRvbwx5bbcWBeZymwZBfL7vWM4mPAh6LnVv QLUd2asQ4Ua7rfwAYRLn82ewweATpCW9PSMKente0I0FwAGHjnbk+H2BK3cQnW4qNtvR1wa00wKf Q/3YaCVL6+hFZOLICHTJiZ8XaCkviUvCMwSQkBLX5kldno+cvDbonoB6pGBJXbG1Oe1UJ0y0D4Br IQTZFTOlHj5uBpPBshLIBfryf/9Nv9o32lsYP7W+YNWVEWpYEHzh3GgmM40n3UCs6YTO02KBaY/k ZQqMht5Aon9TL6hodhv2d0EFn1k+tR/OCOI+6M2xkRavIfhPR3kgzbWUMRfCGHkqduGYGJA9fwCs +kwhTB0NZVxxZpp1GLs0i5TaxMClvNjFqr9hIBewaJ8m9I2xwjUohMNK3GgmWgD41JAm/oGp6fZT qknBYOn9EBoc7wu/xO4Rr6+H3DGg72FhgByseax/i4l+L0z2P+GEfVZ0HMBY/cyFWX135lroCbCJ FPA6WwmoQ/ZMTO6szV+6rD/ZlbxlEhQLHTiSTNrWsH32HoUaYhCflkxVieDUJVkLha3EWcfRITnE +OyA/G8eKjxOcjwbiEisvRGvAocM+zIktNyM8S7zT3uAn1XX2Sog8nD6kne7V4ta2IUUnZR3DOci JUaDKvRt8qhJ2oXlfmVz4QBNQQjwWrlKBGkXBOvsjvq+H+1gZkjve02WodSgEPbqt7XLwhGV3T9y NSJfZQtBpG0xE6Z51Oo2bWjpDgBtHo/TmaFBjPRlMJaRTDT0LB4V/SVaOevz29saZCG1Sn7PXdBH jrxuNk6teoQXokdHjCCA9WQGFX80j1Si4UMN05zpNmvfhX0XAOwNcB3Q+sYvx8owlFQ25Lurf6us NuKJw9WlQmohHgCCcXsVJhSLQC7yaHWTPK39u0FzMNSqrnmXoiaoNkS/qx6ZIXIhWjN6t+ndnDqe LNoJzXcrZ2qE3Klw/N2MGm4Zzpqj2pRvwTKoKu7IFPsy67teFwS0QUdwyi8Ho9aiEfPRs2w65mHS BdIKma0X8ovf4h8fvIPMFwQZKNssgz/m6rYKNbb+haz/GhaIMhXR8qVsyNNA47S9oRm23T43/5VY riv9B/0SFp5JY5ZlkNbsjddm62JVsbWAuvaagAGb5Bj9Yfr9ckvYJ3saxpfCoM/2M68hGvG5CyiZ d6K770g0bm4EUqprqp+3m3Rha/dfEKeXv51s5rlCfObzKZmnTXMFT4LzgJ46mUTsNs75hsZDn/0n IlScCLWyv4sAsvirB8iceWycytd0uyOO6Rn6LLHF7WNdpriPgt5SeJ0ADwGGy40cwl5yzkl4UvaO Bf1ZDYQeWMcgsKRMu78a3OAoXMdGBD0QQC3Df177QKxKH00rIlKPrSAJcn35CnoVJycseXOI51bU VWod4Cos/1ArTs7Ovxsd3EzlefQEDSSVcYn0K8gZGykE7vW0VMth1/seMp8Foo14fI7Tk3KKGcuZ 020/njvCkdgNkOqvg6lwv8ePxUNpXKw5IbvxX079EDvhaLTlhrOyPDwyDyrTPrVZxc1qwlqT28fn Ct4nnf8bPcaHhjhd5yufW21IMBkXzcPfEMvDC/06Rxf7e3dYoIJZPGI65ZiQeGrIQzk6B0eYzK66 MQMCDlnT493pfJlffagxw9na8nKoJFbZYP+0YseqBMjoNoxJkh48i2Lvuv3PqcRznGc3lUBqLNJ6 4XoveHaU+9iscqi5mrlhAHB2ctYhExZZWFSngHMrC4+6g1krrkTZrR9vBC6JU+LezxAC+h360srB ZcM1X6Wg81cp81EEvtcz6v6sWlSSsEkmcqzdWsIEPTfLyHy4aNzS6CTGupchyXXuWSw3YV+sJZzy QnKm3ZNYar5ZJ6o5/ir2EwBwAnaBjOhA/1T56/Fub03LaYtHtD2QtMxHsUbDEXYrFHE62Hc7mI2q AuKa9haKWwDsTiTXFrNHTUvgQVKTaQG4tijRYlY9u0/yTVkqNkBquTuyJBWtoDXMC7b+giPT37nk An8R9A0K7fjGbDknHXcmuGMYwvBfG7XiP5u5L4IKy50b1xgnwIVXPeFFkbh67lVja3Rqil1wLQ14 0/c9VAlATavKLRY3idsn97cditdYySZs8nO9B/BN5OO+AEvDlC3+WRCFUOiz2xg7ci1OJSipnY8a NlwibqhLRhoDXuys8QKICd4rQLIq8zkSbdON7U7m/R7acLWffVgATduqFtWy9so0zSd7ywOPH01J GEIAJx2U8QsOJcJWhkJJg69tRGFejHonq9fdjoK1NRfwKdAsna2xSJb3MAutaLoY3oSGPY3RBBOt ro+gQXt5jjZHNqhZtc3bFhWCQBP/IB+vXl/hGzyvptQ/kBpoCSnFR8/8ThCTXyPvz1LHmQC1ostK jmhNJ/4VUVHO+izdVmAg+1KN+cLXBeKEAJMDQA+1CRNn/FijkH+u0folFEWeBt4fqmWWGUX7sces 3vd4eqDBtPpD4baaO0CA73r7Tp6jNw5KidtZtlhthO5c8EhaYZ8bmuhkNrc/QtgYJU5xMLAJ7VHc 5zqfHn8Gzbkr1eVzWnQYUZHkQNoBTTkK7IC1sSFS+XdWzLuKo7oixWxEHBd9qNaliijciPx8+nmJ dqiggwuj/9eMpEigh+GWA/w75YFLjA5nUI47EGcC364l9jJ1dVgUW1mYfl6+sWWjwI1yQ93j8VsQ 7nxAS5iIWNd4/fvCQptLlw2KGHO764J78DAkI4399PkF4wmyNWAM2Fsrm6485HouCadNKWXlqXvV ih44fJRwj3WNOrohkCp2J8d5lBhOpPDPZ/hIqcpzjfA3ZG/Th4z/66pLsYOA4df15Zt6wvV4wl9W e0ts2rQKrIitRGIantSOroYeJblFmM9Uopx5S2RrMpodVrRIBlD0dVYuGFHPoWrieDnsKoT4L0/1 y1ip8y6NQAmYh5+S6sWpcFtpwjRbiwrVwft9VAyUnGlhilZ20NpmuUMe8FB1Jk5O7AkBhEiRQjef TXbHyS8Hg2crXy6tgloJhmSXLllc3gENhu64Q/9DgNLJ8o/avV1eG4PuDg/SHe4yA1kG1vqPPtSM 09PCIJv9sDfE858wQEIYc97KKo/s5Ryhpn4yjJlpA7w4s8/BksOfM3WYD5vpxEzbhx9jIezrabzP YNtAa35XGODg645b2HY+G8lH722bkJuynFIUNwF881+HM1BzWh5sPMxqsNoTkYvSCkkO1C26vPBM X630h6hgvBZwTDxSMsiZTdL9dnbPBDTcxbFZHcUiXrPW01+pVvXAkFf+ihIfvImdN//NK4pmPfNY iVDMF/AEJzV6uaSwDWYwZOCu3vuvDAcdc9gRrD53I8ko041nVBViEg1c5QbOxkrlPaWL9YkPon22 ZbU9i6e0j7FCjWk2K0JbFPf41SxRV3u0wueR2Tojt9jUG6Qgc/pXbRmRgfz3S2hN7eOtYI3zOucD OTuXbPxzF4dSccjICpY6fknfmpU9OB2zMZ+IxFZmU3ni+Zl3XTpJ3c/oThYHva+OrN036ZivkJvd TX4p/eDXasWQe7kkQucwd9hr0XfrZkjmrO3KI6JRp6QsCF9RGACzJZbYuKoQVb1p2hJAXvc7ZkP5 bPdET6WckNSZwJikxLBa6DBOjciEh5N4QhSJHBPAmTw2ojah61P0ffJnwTiAwLSEa6Ksc9QlUF3C GwsZwAj3jTjBHFNEtZLFCeDky2du2FKta/5Ke1Y5LYguQU7CaXcGNPdX5eB1RJYhj8mBk+MZ+KiF 2TF9Oq4OEEQnMuxc+zmUWWfUMo8PTKwyd33P61EbsV/jRHY49GOiaZ21noVfmfga+Zeiovkn4N5P 7JszjzjFn69x3tkqS01MhfLZBCwao2oyHnW+OLrnORh02ku6KV5Ijs2Xt6GW54nL/s1X9j1wmbV4 3b6UAOOc9Oc/7zuUcm8BMhDIDeWJrmd0+JcFfyJgBn6Y7qTV2qYgi+M+MQtWpjtvXFFvy+Hbkafk 2p0DRams1u0nDK+VZZqNyIbcTaxbKaNd2JiYjay9IeyzGR65Co4+ebNU5akBK4ob4/P4d8oMhf5R 8XgbsOKhE4AgS/ysaJgP23S1gvQ+0waKT8LWkkQwp+D0bpNgHYseCFRrU2c0XId6sq/4574YB3EP 5ErZI8O4EputLgJGX1fAJRIYTQmxJGWoR2to7dw82TzmnPBvsiYmfszdiG3E1DddzQStOoOVvEzD xSXQLURygSArzwWFi4dzB1OVPkjQYpkg6hi647WgJGNnh5YwyROTHwwcynj9Xv48A/LmNGmfv/KK h06pUMEHNA9S8fXkwhTnfh4So5+jkTmufGZ4LpZgxyz7Ti7ZMTfXF3gjUmIeVhSIBcGuPqw1Os0S 70NQ+m2e5XXYvaTcz1wO6DgoS0bMwDYCYXUvd2YInD5l+76e6s876e31WEBPZCEXcrxiiMIoZjQv 7y8E0rRNg8loep40ac75U3tcgeUM6YAjrsuNojpXD6KfsPlIQlxi1lcAjfpEQzQ5gNGUDwZaSSws lhOwgm1cIeL5qLfMpCmsSf302K1k37cOZIiX0w4nE/zZVllEsLHMPeLEqjtVFpCtxSK1tljkd4RS KKRsLMVtnZbQ0FMygnpTDoRtBm4LpjdBeh1FFU9+wiNGOupU8ldw3JyDR6Aaz7XxrtCH0AmrtRKd 23lVf60picvy0L0GTkyiJFTzuSagGoXO2eCPm/MjKVtiz/cMO+Tswf5kw6ssgekOzHdbddbSAEUy S7nq/zbg/o6KnEcTvQjyymfGxREoCrD05/2wkWv9/3pL7mX17YhuC8p3uYdNmBu63K/DyCH7vELu UNX9VCYqcB40fT/VdUr2NkWldppv9UJYw5wmAglGRZ/PvrDM5G+fDKlPjLq5iJ+HeHQuNtqKu2cX TGbHCxGGb7TxwgGNhRyX5COj2ZZeJnNKByOImDTH7LE3Jso8exojnklyYUwRWwxugg1AUR2AuWR4 +VADoEjjOieMpqVqRtuNUQthZexLOc7EQC/Vd94k5GSAh++RmSJdlM2WFvoG+/tpedGAT3AWjWpG 3LSWkVqH+iSzkf+ouVlNDZSZNB254ks07+NmUaH2xGXc6cp8Md4Dnr0zfrZWrixX2qXTX9CSJog6 SQvUbGWnpL1AJivPXWzU48jvtpPTShlQj5/WDFXDIPTUC6oLboC1tmQYJ/H6NoxceOI6VGqkgmAJ 0n68j6/6U4EJAHZAE8T74ASWsQ0ksel+cc8Eckzc6l7jicC38zKciDxlcyu/K3pP1FMHYJ6wzUaR tlB5DY/XCHXXsuWpqyl9FCQYfeO3o5m19lhmGBSpmi0vA8hN7mDCE35Y4HDap0x/EoZeZHGY20n4 d58d00VgTNl0f6Cxl7SZeiGL3XbAPydKskxT5eyIQcnBGzgpOO1TDW3QyxFaFQPP3NRiZfSUrHgf pjp7RvqZNs78U3EzXw1rrW1h4/kMcWOqav+yogpvmS7WeXdwJptcNgwjxStUf8gITT2A4poB9DT4 SQk0CnE18XU6lJShW+ShnfHinqHaFqYcv4gBcK7kh3SSCI/Q15ZU8PQ6RP9D6icfQFoDIbT1b6Ga 3mj/pL1uJoK+2Ay0rX14ZkdnmF1PzjZUAQzgW5F23k0dd/MyJU8dxZ3aHvbV+cr8+5NMTSIEB0up 50FcDFGl5Pk8Si2+43D9Ae6AL5mG6JvcgETf/VGL0q12Uz/vmwc9aShO6t4KsUuJ0IDQc0+BVLOx wsSnVnXLItgSUJdPDECxh8l+npUQriN/jAf2eG6JL7QEMcn6XdCwf9PhdloWxVhbn3dGhaLjpKmL fJzjUv+lt4COzZ/3DzFfYMF0FddBN7559kfkeNgzND0sqfmyUkcSuw7lIbOhQQrFTqWoT70zyhma Hhkpoitz52WXlfB/O/4E8Os2wGnTU3PjT1DYWhPkB8Whj9pWBPXbMd176EcNoIi0Xg/aGXhNWpRx UFiq7dXgteZuUdd5Bd2dBAUzyjKmbSrHRWeaEogNyHnVlvEfqv1A6rXmHzNh1mdfAN0UVFpw/Q6A wg+WWS3K+3ufHWuRRNfOp0COqGWtxdPR5WT14hdG9cbN5wWgjc2hQk0m2pOvI3Z4NtZgngiABR6i 5LvXBQ1okQNOBdGGoZf8SxRHcAJsgB/DCvFc1N2+J865UP385uG0xvHitB/oFtJ/ruQBal8dSe99 /cxHvdIoWjMs9I3r2umjMeNZlewHqrixoTwGvXzPrEA4EsqL0A7u/415W147YCaU3boAqryCT1Gc xhy2Vh3uvqcMmWOG85NEq7tOosUnKUe46rvD1K10y8E0kPHUo1c/66o6RtxQJhqxf1k8mlhogi4W NLD4SnbOLIVnaEESPv3rmb9ORpgBELvJpj4wkGwv2MlSO7ku7UWe9BzL1Z83hWHG6iIspV0+FMo8 t651tSsE2q//xmXU4MLjmPTezfqFnFF/svPtutqLTIZTvis0LrSbxC4npDkIDF8nPlGClKJrVpQl CbDhgrbSGx6/QxRusGEasxsKr/uKkjiWjgNVUZxQyuUpq+BeoJuYGVNUhrrdZiH2aX0hFfkhzfMP EG6knIFnfTNbnM+kts2FiAJdXV2i/kgFzIhC2pz/zZwlt8gnaiAEPbtq0ou9ol0uWMFQ/qfdrCot Sng3PAYcvNbDYaqUXQdNXRlwJEWVxYbpRiE7wK08oFZFexX8QEeuz9IwS+nNx5M0EYUGm7Pe0XdL b58fx0TSn9Ngu4MqAETiD2HO0I4WPh58+Uexfb0ip10pNvikq5gGAeIWJXQDueiF7WFg4xzae+AU Ur2FWA4cmgsRMW5QxE97LesKLI9aZJDGTkoLA4N/k82Rxc2w09GIceOkyJj6GNn8nRmyGDC26dKF g0vghAgSVXTnaFh38E+wOdXDkZmYybUZq8NFO7e/0mBCfO0yBcHSxnMEcOTeu6p7MXe8cnv3yOl7 6OuXRH5OcSXswzydvemBuFfZglg4z3Dys2nc1SGaZ0ANCSoXNeSEDI+tJOBikcLMVBvtu4tCp/51 0MijKHVbRgyc9n4Qu/g5mV+nVLfepgvYULleWBsyIJFRy78+lsRxmsn1SMrNgb0Dkk8IQtevdJOd K2feV7ki2lI4fiId9DHn2w8ZG2tpOStnPWrFiDru31u11ydREBL/sujqnZEQgSAITnUGj3fPsmSi KNZt13yxDAoFsx9EgQmAkrnHxxuwsZ63OVE7w4cIjXUDR1baYsqAJGl58hzCzjcZ+KkrSdH1z/vx APg7x8uggFPs9atHLGFshT/TdJ2mpwAIt/y/kr1mLJ7Z/kaLQSrjW8AWGVCHpn8DO6rwhke4f+04 gHJvwh1I6HDQXvG24hBlFdW1pxNuOyKkcmOUK05VziKihj3liHTwIPa7gYxlllq76xqMnDA92+Jp VFYhj441Kh0dtJ38WklMTZr5nr/FnxLxIpa1WvGqIzkIlL5uR1Uzd5VGI3tZ9myDdKo0ZidHKO25 m2vDWjcrhwop1ketakw6GaeLLGc6JGitJpSsQKBF3l2EqjDK8bo1iik77aKT/GlfCUA+qU0YC891 W9Gr+YkhMO/DP9TUhxCiXjMs96DIYxZt3u3dHKR10+8EJYJytxorHv9A7XPdYd6FK/9ARUrV8y0h WontbbHP8cWR1rq+A9iCe/5v+uRkJst2PSmlrMWOWO3NQFXBeTaznT2GY6S1LOISzHg/VBFPV+Vs 7MmrwgNh2Tsn6p86bQfXlOj4e4OZp3EqO+yw4IZMIl50eGQqvATsPcL5NQz/6nL/xkw5kqR5NgVI pIJqBK3miRVYSdUpFNU7vJ/yGrI6W81/+xePP7PXrDuAb7KnHsSJnZ8ZVw9jdfIyuC7jy0SzI8tZ 4yHsLRIAIDQ2dptWgyO+VeJd1dwwTQLghAN+P4skaoWc/itQupyxZcFUlPBJ0AumlOqwskZzR7Sp KTnwg7DQ3Hq/GKEI0UCPDuLgg4WsxetSPp4wOoP70cB85GfwV2eW8IXzPcfmtma043tV07+b4l9P 8Xqc/hFbymA90A/bPk1WU1kwP8mnX951wuk7Yx7DARXeRlR+Dky60GgvfR8WNfad1S2ipijeFvvT MehYfZL++4xLCfkXlyADyLb4o86dtiRIgdFZ/9JZrbRJoAQ5igRA7O8gRAWUXdtw1M0q7owPRO1H 9lMRxfFBX5rMfDGRCXoggndWXJcjRCTIT2LtHtbXNbd6onxhftAnbj1oS3lSjp7BP2EY7WrAHr9K OLmVSZowpv9ldTvZQjcBNfsI6U15/kb1dO1riNB4+kavHCDD9sLmvuhzOpMnCLyLa1fuKUx/GuIB RjaZGp3bVomIDLGr2rfKalDaJZ1bIRKKM4UxAxJI41mL5EV7nfmeYhGGPwctw1Oho293jYJxC8JF Qzv1kzLJ//Ww3i/HaI3fPCLzx3TKITClN1SzVV4Lm4926GSWdFBzMWYNojDHY6w10WuZp6x3UV9V p1K4EMxVRirZiAN+NJRjtaTuspDZUXEB1GC5AzHR1grZUtFchmFolDw7xbKyiJG4f3U/xWeg9IuU Pd7/nHLdi3CDsGnSQiQmzWkhmjzH2PsnputHlFoj5+NTMpJU+SsMTrVjt3DkyiXq6kj5T23Xbykw 4GcPkIgB+7iFhs5cP4xzeZFILjdjv0AhQvALd/A0Pxi4rEmcF4N9gqUho2Wjt1Y4Sp8nrb8E6unV TVnzNihF3boqxsVOyVZP5iNm+YGPs2Zm8Y6XrnKXOXdjvrIBpfdePS/smsWVoC3UpRPap0Ru5Scz +8b6xfe2S+6XUq07qx21QLGx9pZnX2gO9r2/5pJhlQ23pNBpt/TAANTQ8VRSEL7leIZYzlFeXKDo MYWrCQ3F9Qglmbf3/WLJlqtA0We5flgs8ZrrqSbf3EI2UOUoS9WLHXog22j7bIVTfblZ7R2feQ64 DbBWqN60Q6e7Y9yzulFTOrwg1HFGfx4xusIF4diE0DrZeTYsqClYcWFBfQTFZRHlA2915RMoyk9V mEhbE0/uwjFlFvOhMovaDTKUKOqPwNW1mE5xCZxMD/WoEuP0qSPVngDDFOQhtW0FtsrQpGP3ltKP y/6DTvuum7u/Sj8tZb1feF1qutjdgIn6iExxyKMLh/zaJfMhjaiId6DzIbQscEjn38vJZMR957fp 0fgvBHBtuFBa+JcbQEMoGByN+QQMbDYEslt2sGSKbn7thCEfzvJyg8dR3rPiN/ktPBlMMVgMe6Xp CX4NKdH7LuNj5fSjqzN7vc5VprkKCYTBiAuOrjtEOVtMtBChtnacyERNyppoMjkC+FgbT0mIv2c2 gdE4P9LwZI2p9ZlGjT+SpOJRrMwZZSHuFKWI/vLJgHEFpWHz3eY1UjrZiNJO8hZYrlBmupK5DIhO vD5c7Vb2e77DrQ7tv0GHjLTnhn2pssqzoM4ULM57PApw39im0Kwt3npH0pjpjUUKT98klreyTyzN 6N6t/I6lAQjXB9IX0FROzS0e3/H7YWLitdbtCaLL/lEZy8anfOvxGJZhME09t2ofmEhu7hvqGIz+ QplJepHhQdFNDdD64MjWwcWo/zXsrlp1eKDy2Q4v98RuU/qlSpYZCB29wAjEiA2wPPwANEYiqlTF GhKArNsN/u0gwnyZXcBX+X6UCodCv6e1j0LNRqreVAyqGHV9sk0TvrtDfwdqRrPUaLijsMmEV1kh lN2TRT3O9UZTyf+weplz+AD9x7jVK2YieSfH2YJ/vHNxLWvrXB1NxCwe1yZiDmeCz0ekDdTDL5uF 6FiIBBA/iIw82JSBOAizY7tMMlomcUpz8wm3K2933pTgBTpZrf4URG0G8sZcmzZRlw8c+ckTXLzz BEoytYtoJVdRPB8le29TbgCan5nr3L+0USX4LXUJSIfoXexChGx8YyziDCT9P4+xYUIxuXDNWjm6 EskaJndp2ZwVwbM7+7ykjBMozJ3bJRjswm9hMxGQ4sEhtqS7PEGo+RJ/E+MWDzkvYGpROy1kKl+E 4JQlDYqgfz+km0yVHTCdDdfeYLkvSNoCT5BwsvFiNVYKmD29ScigpSHvw0aVvxl7P+O3Vk7qDDkf ttYnAYtjbEJWbnkgmJhIGUbEX9pMwgtazvh/ve+8LwdHQJ9rg72h/ZRtykkzXFH4FLvcncHgYX40 ELq7H+2XsIPI9mhy5vnL9R8hdrZUjLf3Vuj2k9rDWLsVCMFtXPMAlCtXww4Av7SARqZ2DEfdzM9B 7XSoULMkEfikarhlVJT39Cg2f/FTR/xH7LgLGfXdSFs2PbgFScOaoDL5/PyGWO35A6LFXeniHC21 FkMUQzih4K2CbWjF24LsI60OAy/NoEq8zLL2KcVB+A8auoS5O+s+xn0Rec22HtdysgG8yjOywa6N baNxUEDVgBkp1FAEYfFXanH+r+B5laV7DfhD2i/Ujl0TbI6XtlpbsLxBvIpqq8C4mSePyV9i7Hzg BEnCKShK0o8cUrIf/UsdvlhY3YCSRpmUN0Snq2ZFx/6kHMdyifS5NfBvyFLwngz+uMSAwS0m++r7 NtrCzyBgXoPitsqhuxikW1AFkkM2WmhcWsncvKpaaq14KV85RJzuACI6SZtVKy4c2idczmqShCvA 8F7hrETSGUyRPsTQniV6ziO5t94mRbFLG77TKQcepI6lVQmqKUQFNPS8K0ZWIX13hkrcB/WIMmw8 oHx0XgVNiKacaB/U+2vR+/SgTUxxsaN4PqGniiMOqwEuYKeMfC6SJPsiYJbtzN4rZcIEqa+fE7xL Z/SLnH1xkGbsuiVKBdobqZH3AUIsmDGWyp9fZsQjbFxZ5QvdkfL840iLgF1fgu0xggCeNbCNeL/v jtxjR5vwkdnrymUw48iXi2Nhc8Af+B2I07/eXH7dQ/5974la2ExoL4BlAkk4KUZkrGbgK6pB7LnN Si/anuteatOmnrb24+5HBLOIPVjv5D6VmwRWq8/h/MUxYlPj9Ek/YgSGfnX2IMSAJ0hAtCtCfrOR ZXEfX7LIgiInjd7GdvOCYa4fW8kbcJNoUB+K7pmKdm1kZ5BX8R1vauCx0fWkyXsTzvp+0uDVD2/N exzjwkhqAj8uoOr+zczQ5ayUT3g32NeWX8rt7EREBesiGCqettgtyyNZapkk6n72Y9PZd87pxVZJ XDhp/tvKR7QLwiKKI/Tv8dcqOCiDv+j3gCwrm0ee+UatImZFKy0GGVfZtoc82VbtHjiXn3B5fpla wf47LmN1UiK/Ddrl7rVkWYF+vLjVm/FcsCJxaBIMSdcEiXrV99ofknkxGbuMfpnmdI1u0F9H36xe QT3nhjmSgTlECdHCuh6aB9wacsPsss5FvQVmKy5TvjjvyYFlWkY72erE/XRQJYC3I3SkNdx9MXsn kYmN+EgPD4yCjlXbcpk6R0ixpLCf7OUqN4cK5q/E0VjEYN3RLo0KRbIYR2Lb4hdtbEIu0bOPDkpE mppg74s4YP7w6RtqmfgepJ8aY7CiqSdBcaAjBK+GQmklYBACYJaY4iYxfgjHBsrlIE0QsHp8Hdud j58H8oCEWI2frkdJEqVjeassCF/MfQQQGyG30YncfBHxgCi6P5VN7U+22UbOpauYIs3q0RzlJdzf Ka4QRjPU5MvRV0ERJmK9rl6ovBsKItOxrSlv+wJvd0/XB0QLj/k1G0P+BHq1RbXwiw3tNZzhuTdC VkwPtVV7R+A9GMl73vu4Gzr2D8iiD/+VYi051YrrIF78kLS4PCBcYktQa/K247wmz7TxuIi1XEH6 80NSFxc0MoYNR/qnYgOznnQAepEQojLYILattIObV8vppF/hFqYJTCO3GS2PoC5a/SLefXz4/E9t qXcQLQkDxPBl9Nf+dTTNa6f5avjJsYl7TVkTLzxO3Mfx7rUMgNTvgTzoKVlp1iW14pZ87P9IrLz4 pVNLDydDxXIO/qY23G04fB8knVGE+yVXjNhRX86NUErSaxKjw0S2RWXA7fesKu59Gn8V5v1cyKhv HGGJwmROYFZTlVZMhFfrxSe8jDojMDecYRAnU6d1PjYNXzHsxg4wQw4EhBmi3lQM4V0b4oOkbLpE yknA3i5e5lX3+spbkK4J2ZSKfpgsG5MjMChfUJ2PIodbbGoZ1i/tnSKl7ssALjWqTbEaDKbd9lZ/ Fn0ySa5HrBq0mLGLpP8d4g8VldM/249fT7myKTZjbLZdeSEBwb809wjwbiLgvt77xo8PxSvNpLiR bX2p8zvMIbHU2LPIoeIATIamssxMU7OWfZbZYnuNiV9JC9KkrB4sbaCt0dj38r+JdznJmsBlTUge p+tvFk5W8AVAQqsPDJufwy1Ixt2mL0gFsPNxHL4Bflye/BpsKmf5IuLfZjy6MTDdAi2dPcNtHwDT TNnSsW1nko8qoBG/DKHtWgDq0gWLgpdZs/r3TM0JJ6ddTBNyJDDXsbZnXtc66ZL6aHtzIuFoIhSn 0m+QNrmdNYlfFP2UIe0OFsQSDwQqyewdAwuGZ8tUyWx9q5PwLoi9nNPcbxbNZZe4WiWH42SYySU7 a2FK3B9+hRtvINI7ABc7KVIgl9aOmlE9eXdst9bbNtFPb8YYw/Rn8QHxJPWKMiFmwCwILhdFS9g1 yrrHU8Ny49QiSHC6hVpAHz8lEKu0Yv/HRLv+2cytuDGeArEFNzzuHt7A4f6Ov2KEQY4JfiiQzHER +dTzpRi7cAYORtxFJnWs3tmcBUhbVgsuWPrmRmQ67HhIUJXDD4aZ04Qm4GT4LUC3i1uLJ2R0BS6k 0l8rtdt1pR6TdpADUQLXkldpL+WnkBxpP+qqD9uQw4SP3q/huBmbEXLvdhRvNzYcOfIiwbWxPqwD SFiqYL5+R0KVYrpWRvXh2BV/dOr7YsC41Jph3ajD1ll1fHmnRTuM8x6RsBJClV6feqw1fdFffszA 1Tnz3MZFEzo/NGmZX2111757Q9fzQuja3lL53ZgJI1RVALpnCIeiHj+ji+YQuUK1boRYiaTsqDVf PrCTgetknjoMZKQuymxD+M1TDI4rEXc5MDZamsnBjVNxBfguiVjAeGKpdL6kmc+3E8w6V7OscX/Z GIDFXNHEbman+5MRA3DTAqFPO0scuftS33+QlAqj5r1q6SW3MsKgtgVL4zlSNL6KF6PZeV3lP7u7 21UMgWM1l1gKHkHCQP0BwTB3SGUssKsAEyqiCqiAz4r9dpjbRXTx4oNpeHnIDGWa8Iwc4NqFISJb 5lVaVr+3sft5aL9AEJqFvt7UuUqoyscMqZD6HmQ0KI6qUsxoNoEW5nlmm+Zbrr9JbAlt0W9etAvB FcYOgiZfCWCHBjfxc7nO/4WX7HH48P3GMfUqGctWv9FpuWmzxpjBQCluN2Z5oburAu5mc6ZmMbkf JDquTEnncAazLBUmogIoQZYiggHLf8jYcWcpf6xwmIODJbiIm1NVi98lWPB20PqGPWuK8EfRNGL1 4hmOHBuQKZsHEZsPjPKoQX87DvtEDeK4E07HJQeofEJiwYLz3WRSif5ZeebG3VSq/ftVjFBxnvwC lhcFibB79L9Mo+q+Uo4GA06PBQqD7/4+Q2mUbVVuBXVs8Yx+iwnuzwf+XnocbwfXtUxS1adwGQEi +obeP9nhADHWsq8NCopspKcy4P0w5plN41RKXMBSW3poObJTKwQgg851hiLzzrRIUvP6pF6i/wfh cQSxmhJlV1eRW+xxYhZJmHF7I56e5LzNnJI//KPq2g59L895H8TzqRz5OjKfQcbtoWC78+f5eA2K 1LpbhQwdVhSemylvN8L/VBAVVzzLAGiSM3m0a7p6LFYRcfS8mSHPqrvrFspGuf7VuxQXrDAySe8Y dDHjtb1jz54Ovsaa+xJBhhEg9XBqv984B7StLVGol+I4VI9UjH3o56EPxCflPEX9jaZiTw8dP+Vj P0zuGsvhctOmGDhQF7eQN95El7D15vsoom43E0ALwyv40RzdLxz6oOpbWVFrTM+rF4Cdn4DQK9kX tjjKeb5hahXddo48+5gmlalubdwU9ElczqLRF0Yy3G1cBA38zF+FQ9ng/bz1s3zLoeh4tssKL7XZ KViXNj2FEjIrNmiNXTPAc/vHShx1rpZm2i/frsgBnyR1f+LK5y9reVw1XMJSmqHXeUfVlxG22HHX pP3dlz1yAsFGUN3Mdne70q5ItiTxx3BvAclbofTlz7Q7bvLJCth5295Ky3IGc+8gPgQC5p1F5RMz Y9nMm4Le/U/itjdViS+PL0zYYnszrH+vJaIbqXv50wAkf1/zh4ktD7Euv5LMJA7gL5hDR6mMZPzq DnNzmcQbDKHebaZQipxZMGjY/5/9fFtk4FPAbUTJwRTDQryz7Vsf0zOxiYVsoWdQvN0cLhLzZ9u/ S2cTvrNLNMZYQP6ZFEg1atvwvyNxzVyTeOm09OHpTc9Lg4NIeTsk0dLt75VLezWsOFHDW+fX+nIi PPusTdqJzOegPppX0gq5MpaVr+DUd5F9RtxRFOv6IUopw4MpLGIX8Ww2tvwjT2osjSag05oI7gXA rTxBCONMxEaVKfXq2wkKJTZsZjMU+k6F9paxdGam3pnk8KfvNmUjq2qoUHsvOg6tv/nFefE+uK2W 8NQ2xIWr1y1wHD3jWj2FtbnlnxQn2v9+rnHSzT9MRM5aasVPgQNGazSk0aHjOVolutH7lZ4iEg/t Y80IyXzxQafb0tlOMl+ISBjAWabfgwPU0wNpmTcoJWGPHktweXrg3dV14Btq+prBSM6DpM2hvSET tGP2g39nocGgcD1BF7CXlPOZe+HqXUoKMEfeXZPnvuvh8cgEmM2s4BjnSoBXvQYPoMEbhFiif3NJ E4oavj+iRu7uJOhap4an3PaB9v718jhRpnBKQdUadjVUkR0G3Jx09ZN0UaSDzgVKMU7h8vhTHjbl luDWWG4D3YNaIyT8kWlKkDFy7jBT9yHNMG+/4LnRVKpaxJ09rZO6rs5Z6UYzMUxQA3zoeJpvxwK/ JQdkDtmskhRPfSFxkhWtndd03VjXcvpa5SYMjGl4Q4wWcxZww6RuKzzVb91TvFLAGVjxZeRJthSO 1m6cl9bf0GLnR2q0Hwfhjyu7gYcYt7ggMz57gcpokNpKr9jbetaS9XpxIRApO2oY6KEy99YuuWjc shJDhKKcvTfC/MDgaC/++1ARPkPAB14wOLXDuPCKBkkB5eUtUfQDM1Bt6vNG3Q4mQGTzVeP/rvUM XtoKmA/hHHRfWI7dYvJMINvHwZ71mzM/tuRm6XYYGtHrbYt19MmZLUn8VCEWt+GwywQu9xcjORpg O1aHlhOwdFQxS1huuO3e7teJE+7Vv8H3KD1sGUfLbtnj4MsOpGkJucDgT2ACRidfpPA9P3tIwoKc +f2/yhYuGDYa6+VDSat/zbREZOuu0inzHWCpQI846TIyg4VmwQnGrCnINDHSUf0NO4+YGp5OKEUM TKsbp/i2xs8iX8IkxEenf/Pz8NC0desUib1hDFxi6YD3QVQJHotUE5WSUsICltjGvfnLlSsajcIp Hw1pXFEXHybAJHKpaAZfqqPMsnYQNAN76YTEiS+Gv08jAy47Y23tqXC/3HjJrYnHg9uGhnQ1o2op HOSRcv5Mt61fw9iahP18Jj4beJn0q48LjloTm/lfmrrs+xT348qtnGphmIlTN7LRxC5e7JzN7Z8R QMx3kWqc4fCTbr+PYvzJWyy2apLGfcccEbmI2TCajAmWMXnSR6nys+ENRW8Patkd+kjjy1goXyga Lrk2Zy1hU/HCdXv9jNAJTkonIn1HN9Y2o0M1knWVX9x3rWDN5R0uG7BMt6jlM8S0vAR7BSdgixyn bYCIV6VdWbOXtjaimV+0ZcO/+93It9/kS7eRABaj8ZGhS92dx5OnW5sQpG9rgzSRAOUOJuVucWvz Fz8Me/J8RP88HG9zKCtKaFxgMz/Lc3ur2lcBdqiHPppfi1hUcJCbh5R5fHx4Dd7LQ1dzbKHw8J2D FtGLqhUN9A/BYQ0RQwZgQR4xjRmmXbAVFFrfUmd9zALDhMdzJSmPk9HqGwAe0Tx+py30F/yDNWvr x/pJ7F2d1RLK9tBeNAUZCUhU+UKcDBQGPPQQGqja38tyPPvfLddal+CM9cRfupSaRgfZ/NgSQJ34 pUbjWpGxDofjao61iZ6Ky/ocC1FQaZj0A7giV+qGxS8yHH6++sYP7pny0FqT95kle1nr7gzydXf5 SAtwBGQI64iJjBFTSFBXowwWBam5HtxdT9wR44OP5P4kDvKhcAA0s2vvZzrlkFN/n/GQEpy9dulr nkNqrI5CZX1nEdq9THbcun9sYbQXvRw0aG44UkybhVUpKhveGJE1h5F02bLCDuI3VNxtbykCxdvC qjOg6TqglBZbyBk24wXN24+IRBf1+JxCKdPZ97B3+7010nww46P90ksWJeCREr19t1syWquez5nH 88mjpYg/tUw423MQzxrYp+cGT/cFBgASCLL8+spgXaqK9IbxDZuBk3lpzqLZz9H0eADRlaSt45K3 +ROp4WvbgoVTyLfAO1F2pqcQfTCTSNkdN6572jjdXXAqaNyrj4tzDoGeviH8JaMzx5IIkHvDTa// w8QGp11gboGr1pAiHc+PlXpspTzrbnXW1NuhvKF3a+Xss4zxFzuZzWeEIeUAf/+BWDXzyfIXHp+1 hh1aPLVfETK0VqwdJ2x1y0oIS+eemHutSLFOwj8W2vB4v15sJSogB3QpIHhgbQsX4XCfCOncIE2b qukyPTW1bkr8JuiQpE7uVlyzmLGHGb/gUdh1TWVHl44gvFhiJFlfForkNS7HAO5ub8flf8D71Clj qKwtf4ueVv36DW7e7xuXy+6+OGN0K1J16srWj586pnpfjVKLhsDTX+NCeomQ3RGKyXYDjYXUDx2v m202nK3NBqlLspVr1aZjaCxlcSqDqbwhgFnhrwI0hz6RgwcEnEQj5Hz7rYQDpqCEek07lNaTIvlB PsJGwTdTB9T0OyAgWRRqflCUth2o88JHYbHC8IXe0yFtMbkP+Ut7jKNjb9NfAInTKLTisiNytBEW 3wpDzK9XEjPS4n6+/iPoKmLGsH+5g5SOdIBLZrzXwa+B9uhHloqSyfgz9aPdKNT7RcsSCwhuOSuB kKUMGkabh/z8LvYofeCySwTQrdY68IaOPy1LLa2Fj8wbcdaYiYBDhywhAemNx8oJQ9g0quyrxQ35 +nweuv15+NVi4yHXO4lHIzpW3inDjXyMpvgvrFwejmGX+curbr5zW/8ZKk0wVP36jmfcyB5I/DEa cTX5YFIqovTWDTzAw8D2Q/bzIalIb1x41FSIrsASbsjre/POxYYbmb/mxZSB541HSe49r3k6j3Fd tsLlFQUv2YbnMmUYf3pzl29jdF98FMnf5LKMcipId6vHKpw6eIpDJg4N72VHoTDYpboSU1Mu1WZZ UiGUwNFKyjddY3QMcw1WpY9mrNlWayl3dXq23Wp2X/EXltMJ9oJ/ZJFd2Ly/Wp4XXBZGGBq8UEZU U3sR1xDM2cDH2F8rAn+Jk2EKt81AH/8E62NW+Up6gphK26lTyGzDQaR1lL79rMBbYArv+fwJw42C DeWysK+IIE4optWHSO/F7WD719RqBu5UCcfNdQ1jPF/m1phL0WqUOflp3JSe4d28UTG9HfNOQVVS KdBID3Ub8Nl0Kdb4V5iVO3sFmO4H+alAcHv1VJbw7TThXviDZU0doYiUtIWRJdaJCOECvJoeW4UA olOhNNj2vuGwZFm5xwoKrjKlw/MScmHoiud9j92rCmIs5zlfX4en1thfKskUNr8FGjxffhatRrUD Ep6R722bCWMiuDDTDBI/YPU3/0t78a0pbIZyjzokB5Vj7I373Vn4Z6OAU7iIXE1SfPSwt2MPwCwV 2xeQwHX1Rhr9522xpcwI1IH8PbuuPNopILulskgezffKdr9MCwQN3JpKcQz1Fd1y43YWcSqFZSA7 3wNXBLo/BSO4eW+zVGYi2+abtbhm7hgnFciSVjg58h5js8mlzInFhwx3PJoItmfKZPcAEYy0XlBZ PDWUypvG8Mt2J/pOyvxqoEHIsy5shPC08Rpaq7J/jEdSzG8kpanth/9w/p5DXiQdGF9n0RWCLoTW IUdr7Tj0Hoa6nkWq3x3jDrkLex4CnPSD9yDiyLR8DL8Ih75sY3U1K4ZHQ60VcU1+1R6XSyycKw72 SxKCHpXVNfvMyXJGPIQ6zrZAGxce/ikOnALa+iIfqbgBPLXJFaQGRBj4mzEEXYt46O2GoKFZOKf6 2Ipgru3e2gnbfzLfImXvqlELa14f+DVxLbpVWfj3m+2C6N0yWf7ayZj3rI9mamK4ucizu9hLgqTi IqT9ZhNt9HS0o5DMI7rXN/hv8bGamTcmJKbmWltAaeLOH9C3d3XK/IwQGvViaF7w7Dbvy/Wejx/K 5JpiqmLqLU9WxoKEuvbLB3IFYk9W/NCFHpdyhfPQ5hhBNrpuFkIc9j+IwappGi9a5VkQn/+EPfe7 E+eHG9pJwq20ehKmcFfqgJLPqXRCRmz0smFa0duUuFs7lCc7A8Ah/BAVxoPlUwJB0xb1nO3d1vfI p0gMkqnkgOt0QXt4o5c2RSJ6hX9lxkiesuqt444cQf3iafxV/gy0fDdfxTbLGMUZ0kPyEDbFFKsm muhm9NHSN2R/8xkmmXUbMCr5q18rwF9RR6+6+aS/H66dwrHCSwfwr00Mf323D2g9qfxa3k2TlTJ6 v8M1kmmFsy5+PTCnM3nHjcn/chNCoRYPixBaioLbKb1CKwKnjq21UiM28lGPhefDvPzivcEEb/ZJ XJrNHkoZHExIH59kInQMO6mHsPaDGuGxYhVYSDgmbwXyq45rt5AY3Fm7R5rq0bQL6b7wPUXAnmZJ L6FdXv54Ere/RBqNkv5S5y+EDG+IsD/DbnCZLPXEFvRejzhA10TeemP5iB95a/e7iYUo4FFLuptA ohkJ8ufq/HWqq/Shu92kc6gjdIcMQr7ycqnA5J1CgkafPRJs83aNA/zbOuFUm3k7dh3dUHB4D88E RdlXwVld1yRW9i6djhnbYLvRmUe9DKBfOvghxvzcz/wG7xkxISpX97x051YIkeJSwMKOULHcy02d UAu6mKxcsjSbggRjoAU9J64USjXq8WDHJMLKXaBMyDam0FXVxfMgMwHt4ayIlku/uZ133eQ6Ar+T XGA/6YZf48z/6jJnd1xsxG27qhs/Gxh12eqBhIRfgZiTCFfktdiSaPcwWtk6Bw/GqWbqc88JMnnZ JBh0400/36Pf8Htd1rPgOu+LNMvkS59CkyZIUusSsjVskDI7RpCgmq7SiGTnMill9wuuw7okBX5N 7cmr/fHjY9H1zkhhkgAHj2cDBvyAed5mD+kXWQ6+qzSVzZpnp5OywubRg5/59m5I84+smLRVUHy7 sPdhlIExsGCct32UD39JDH2P1PSlwRqgVrr5L2ZDdAXLWJnyjMNAIkopHuhB/PK95WzpaB0xeu4O PcuHiX/mtbILkCj17N+Hgp5Z7LAoqfJQCqFHDCFjuF6BgVE9YvPAbjmxwT2xKRY5etNuEo0Gpsx6 jiEWhlvh0899ib8MoCgEyFA8msk0VQ27DrTAXeumd3Ki7NUB2Mz/qYWrqwcFvgqS2dl6xs5rKMb3 aVwh7oLdAvE5MuZ+CbY9AnEYla02OexHkmrOntBLCjrzXChUjmCrPddtElRMia540B0xHvkca9hS JKzJrpt6v7zkqAddfxfzousn8C6yFT2GTg4sqqA6CoZS52lyuylMw114GI1R9H0uVUm23AACetxh se2YbqI6UQqvWTtpXO5hJ67V0DSR2tjwMzbFJvsROtfVioARPq2dxC8xGknJZPaYcP1hKJ5MT/It QUVOQo0D82qtgCB8eY05/VEmyb0ZnXNTvkhKPj8tFG25ya+M8THQaUaXlTQB65JW2sLidFc1wwId pXGWUw7dhHxHVAKC9Mv/ZL2P8L/gJxIxlCXngqCjq0xeZx1v50HK/hSjtLhKWnwLZEZwjvpka8+S 2+3xy+0artzdLWYHXX1HTWphlVTK8pH6kD1n+dmyTVsNFxjgsxTf7fQ7nEvd4i3fD8uiWPuxXbAL 2WaR8+I2ZeaEopDyLIsyY25p6CqvnlEvdRIF5/chM85G3425CS5xFrPtm+6goOtNboPKZSG/8FPv h6/uD0kpsNWgjubHQZHEv2UT8+imc3Jpxt1KwYlHttgCwR8b9R2Zq2gwEt+acanSBc/Waf3ZXX8v VZM7UsiryxnTTHH+lKmAeFrtSbuIocfRd8zLtUmaRSQBH3HFU4CCP2atvaY3N91SDpX45myTIAqa OGRvYzLAheip1NeqFO7cMvN41Q+YFv5n/RY1Mfh7r40MFq5OR+v5SkzNbMh3iT3PKQs3/kKJcBjc S7cdijRHSyX+ZkM1R/DmS/Rf8IrGUGlX/tU2Ir/vIpEfjux1TGge4JLuduqvDt8/YBLStXhrOV6t t+77d4hdcBUSuDh+LzY9vexr3E6feZfPJVlrY3dmZyICH+fsOpkpy2xjy2Xfh2zgO23bNfejia2M wm6g5SUPL0conOnA/9oM2N9JmRLTQU4vhv8x6ms7F3PFz8NddVBzrxaknCPJ3tX27X2FIlAqpaAu I+G/pyRbA5U3MyuCflKAF/pYU8dpWfiJjPFX0GMaIs7eCdVi5SjAuseghMVEx/Il75l5XENYY+Hf rh+UUgC7TETA9ReAI3SoEwvcwYHeuzAoBXJ51aOyWkrhnwkrOsf1jnjVGDri7qYmPTBRhYGJlea8 6ZigfNha3/EYdgegfFXq33N+XE5qz3vgJ8qvfPg/iQdX/z/GGHpw368zfAHvV0YgvlZJX9OHGTLw YfFwMpYlqgM9iCWOyITEncaOq2urCYxXLYLLsgUk/wr0cX7FXOuUdqdGewzYOv3QBByMn2s4Y5ux eHPoV/HEEbrwQEWMfh03HVFteHFRKJaWraNqeMy6eVrYDOBWORlzKUmppd+BtTAM+Q7FYBTGeWFd 4bAs8w2RhhLuvlmZdd/oOF2ReIJRrpJqmyc1w7S5pYb1TlZ5dUf7y3BvK1+aAbkS9Cpcr4TSvtRv fNdG84FUcbYiTLEaQPRpGzs7zWfCs88TtE1fHAK844ONafrXPEDKDRDcLNRriYNzXVebLnav051y w7Myb2h6ghBnImGMdlUSuXbPn6jjio/vyKiAVVO2ioLWpLPvk53Gud50hd1Ycv3xNeQdsKIu75wp TROkvSjACBKDEHYex4sTb7NgEQHWQXs+k9wmf+WLMxHbYfkIjAaYyBySYSsSa1kvOlofz7X6/udq hbGt54L/Q9h2GU9PwFP548YdUK7oyzUk0yvwQUV4NJqH/3nGPkU/KA83QGLDwoTgAfP8Gm3cpR8t rjzgHrGMG5YSkc/zx9e4MedL3LjL6XVzipUF8w5/Ttn+KtZR98rmdDQGeU/KDPo3NGy0+LoxtY/i dldLLmce7x4CFtg8cW5QgxLOvD7NDBFvH+xTurKJEpoHTlenrigS6XZH80RPUiZ6wNhGbyLXvm90 Ksae4DsUm73nFK8wn40pYuYDcoGuBuI8unE1ccFFlfWjT9FTQFeH/RvG0n7rvEB6FX339LPKV4HU BYK9pljSb31JEfrNhmRfnUhww/UR9kWh6ePJ7d4MSd7N+ciND9zEjeJXh6PWEcblFKf5lpnYHEfN ywnzKuRSMw0nlywRv+TmeNdSsoC1hlIXMdiGk/gcR1bMQuAjGMW9uQs6qf8zcMLNWPtRMgdhxSfM JMfzUxCE58Dy/PGTe8jQz186Gpzs8sr/KZKteXOztqIvZf1DLN/UlQzV18ibYrAByNDvkeDAU4Tq whOpVxLdB852MxDDt1hnwHqf8HvTT4ffVnPwPjT2RIZZlnopWIOPVBMZRPZ+yCJ8ZmpjcQCLf+ZB EixAxjcYuurg+6uQnl0MJZ44Y1V1T0n+9WlGpuWcPv7Qo+kKoltPPfI/0fgh6FNR/iBoGWG80HR+ y0M9UXiscH0hFtklGygh3rcmd31NeAhzhFOFe1V5fzrBxh/rI9ySjrsmO/EcgxA7h0OhQYJfWy2u Lf/v5jyjbjWk6Rgeca7Ddp2R55XWZJrwjkqklVJRzHYaxEUnrg+dm/PUvorNJ3tbY0H1mpn1dETl nlImYEm2pJufvQ9W9HXGb4TBopNTCMx1v8RrsQN109BipsqAkp+YDKIiGtHflJ9x11dIE3m8TjtT QuxVS9YxmC9iGux5A3juFpfEB655RCwflxkvbRlFr1fH+tWuMQ4GmSLSdOHU0sAq4wiUf3vyJMjH RzjBpwAnNWLFCe9P3pg7t5GxVcuhvVYrdimgZDTHR7QDq+a0E1wyfSpECC2pTjdjwLQMMfAPDW5a B/e8976ZrycN/lHdWWuCBC93Cs2IdQ3wsiDJ0UchAwYLyM6EBYjouqs6MXkTYjlBH2VwykWaFytp bhcnEWwhtRmkW0G0S/xqaW1UlcQqIg3q/ZYHjfgSqI9FQkYF7VqSWGpIPFXMi1Od7H9idzF0+Whg uf7qGGj2rMwV/DO9lmVlPIfztDJMkuUL3KMhTtDQGB4vt9dtDklhqxorewdYco66IrEg2xM9OL8s d57KFzccPvX6HwcV4sB3XfCIinpaeWdcOtP68/OXZYHKbipnzjVHnwAHa4BF6r9efiaxhMngSV53 p4r48aP+WwhCOizMLy+fxm19RTpfy+XLONibAYST/YEvtqpdA5wJdoxBrTy3/Evs1+ame9C65lX6 O1mcB18FQdFqALyUORKZZECSSVCxpY2DvsPQh1/8mIJzasmg1RxBUMFyzjpp5AhvgtRJkViGLKtH tmJE2byP7uhcxpqefVQd5ZVZsZKP90dtPIqKOZ31El8542t6vfx3BQwrt8GSH2+ezD7FaRXpc59F NGNpyQ7O8eo3L3l+ryBMRkB9OpQLd47KI5AFhlkDhUDxs/GW8swX5B9ApiYBvUgQZD3A+qoiDS2A oq4q7FlkwJKxgZeLBUoNieKIb4Rxy+UyN3z6zGdtzp6fR6DKV/6ycICRVADNXkdJeRSqHxcYYv4v PiFsk07IbCONXUNVKSQLfCRljDPr4gFI7SyDAuyyqMxq3k60XwS2jaKFDcT3TpiQ06ndJcy0D3HX qu/tRpdEL5Yphr2Mp9dzL94j79SIPxDxVCfnnse4b+NryTnRZQ7lAzNY6Vb8wW/YAf9hQNalUTCL zDH0rAqsbZt6X2F5F5xNc9g+y/yEWVNe4r3ZZV5tXIvhn2WTpHR6QjeSoKse3URnOC0m1JN+kiYa ykfWM0IjTflSwwoB3gIrDLGM0PqLzVAXVIW506IIjiTKIRrYdfO+d3t31MRAcYrx9cUGcwW+RRzc r5uSB48SM9cUxgLjmVN+tfzp2cssQLpIz6Saa31W5AFvCvNkWDmVY/oCfHaYel8C64CX4bRDk7lO Kq2SMX/XoolGSPFwSlRI3KKkvUIAgnelniEJL+JjPxLB/KLvkC3lnrCB7rBUAcg147MOcHfJUelu STjuiKZvfUdvlsGuWJ+822CICnDh/aljQuCRl/VXhIleoXQSpDTW5wRkqKlY+n4/3ulxE3T3jZZA +loP3mMUsMHIK7xD0OFVjY5SKvzTEtueVyE/B9eIBZMpzhwhT5vsyq82DmgWJ1Zu4D4b6x1649bC CW/tXMyz2AdKY5Stc6Z7icQh988wmx/Oz0ehM8gj0qOWTUpfhwhslEW9vYA9/Q7A3douv5Eb+74x hicaFRfFg/BjCTrgjsf6oF/wR2CMuzSWpU+xDk86xjNq6qmVCjzR4wDiwoRh6fppcNLSyEPtbK+j d4JZiBMnSQ4bbTGj6jp0l3w8DxtBq7RJO+6zMaDPzf/LlKX4ZMILc69jayvwvKzi/vBM2JXtBvv3 9jJo51d0fabKraoudqosr6xff26mQRa+6HsM/oZ4929BJ6MRRwLoLI2c4INxCeK5zzFWMC4CihZN nXH3VSCn5HJPwe41gvrR9pJ9+ksgMBz6jqxy2QHw2rcjx/EgGGRJ3/ly7uxEUkSlN07Dq1hif0HG hIr1mJv4E9YtZD12ezkMKqgLJ1OZNln8AHAkGNnHrj4ToRZuv8fLqNku++Uhta4bgvI/FyFoWyGW 7aklZJsYepDz/lVKzPVD8vvZ+lJgAdY6XYAop4qqHCQKOEHvGZWKO/nT+Szmprs2xUbKNgoDK0EZ 8W/anJVKh25g37yxFaazZwAHg9HCt+VIZwCXu7q/8hm9L9k3cBQL/tbuDhIh5VAHtqgnxJrg+rom 0BNUJLibK1JW2LiXUd8ZiqISciZrataIOD3aE1TMY6Jfry/yLeeIn+TEJ7OoB6SCbv4OJtB0W4Wt OE7D3MRFp++DaobEsDoL6u0Oz+pD8yTgK011A4/4RtTTnStTy+kVeGjo0pI/YzcAQl1B1aXPE2TH pAFAc2bONGKuztXb+OcuH6JaXjJ17juqKR/bnR3FHEpE+bXeBsKNDIx5dOPcNnLlyVW9jzN3DW4x VExRfESeAucl/n81p3wqgSAEEtIOuEXXYG7LBH13GgGo15Zr8Hk4+yopE2jHtvUG80V+lx90h9xK 2j6qwYEs2MV9z8qEBtk2SnZjPQYaTLSNeTA8wLWROkpO0ihdAlUnwRDu0CsaZipkqYZLS9IsP3in vwqD83T0Aymet6XpPJcTMgJpvFc5lg4QfYUUYWXsp9sx7OKNjGtbJvdMXoRkwbpXAfHahksPNE08 XdIWZf6CIJUVoY+iBr+B8HVFKADl0ry4VrEHWABApEs/BUhsm5/AjvJF4u16SFqhf3xnU/w0hWKj k0SrT+hK8HFdB8HVSF4RvI5EvwYW8p4kNSxpxgP0uwuYRHilVmDlW7baNLgpuwQ3ByvchKTleyxr wnsP5YevVrNl2mfZ1qHW3T7HXgz0bjluB/jeqH/0cFZo15xHmKQynFxedn6r/iNZyfVW95MBJOiF Z6+F2BWijWeqm8vFyFYAJN0AkgFS1V/zdKEfEd+a3wpqWauH8Tn9HsC2rks3snpBT0SurSlJfnM0 r7hHtAZ7xXAZb1fS4sCppHRFcMH/KkRZKmvgXPJw5AuZq7JScI/oD12eMyRTXwT4vNQ9WRxtk00G UN4T/wfyZ9+Yynv1ChD6V1Fkamp4iSxMKQip2MD7+frg9YTMibuCW5IX6W8lty1N8w+UQIET02nx zp2KKp6j+fYkDj8iZNvChEtj9KuQQRdjxs/X0HRJLfv0vUpQ8PjS2u4EEWfN2fUSlEiH4hPJT91W OBfYhKK0+XZaAFWYjX7tArJ8gYePHgErlKiteHApxE/PljIEdL/fdOwBEJKpnXQwffZXS0NCjXsb 3lZz0JF4yMZCu8wYFj4+ZZMo6AvcUtN3UZnB4P+V6FYEupfCEhN7sA7/0m8O+C5CPkxwOhSVZvP+ R5W5NVMzaXc3WOooSC+9ekQdEKhpj75M4lZfHpD7GbReV0MwBtkzoEkDk9U0b4oOXUioGEVZiooL VrQ2YyANkA2YyVC4oPzZApqOdDGTyiNvXqUWi2s9O6zu70Prw6V3lDqyzQVSfHpB1Skj/1HPVJIm NPpcAbmNrzAdxvD9phnDhF4S2n2d68chif1rOkDi3e2BD5sWqgCfpoLE6shflOfZ6tP5/6ruFfBp XhuG03paWBRdf4gQe0SeHLPky2AlJd9QYmyMzOakHLMXyiX/Yh2oc8mAkadXoc1LqsKGvUAt3Lch F7dd0ErzG5kOA+9J8ciF9a+EYwPGZYrCZT85nnEBgae11jzBkt020LzpohUV27whTsb0C8VQdRnL mVI0uUFi3uTphU4jTvD9zMjc7910CtmBkAOSyql27MZSb7LzX5JkMUUklgxZ2VpRoeifOVNZ+bI8 EFYIJJPyd/9CYjV+2bKtYlsB1meYNAnAd3f06farTtr65tf87BpaGc6dk5Tl8BJOyEEJyMx9Nf8j V3TKRFlcLael7sIrdG8n5/cdDpffDeBKcsYzgrvGXdGluebIx/HimUNWusbm+O7BfSqBXFx8gCO0 035as4KBaFeFzGUkcIv9Dj3eMjyZz/BpXnPHthQkm/O5PeqOGq7DSnzLqvejIjhpZzy99aGhVk0N f8iBqrMdgK8hypvjiy/FRAqJNSePuOh72SpMWebxfIZfF7Iu4H9vq0Iyc9mNh+xEPj5hkIVZsUvq tT5LqMA2Fo513TdJSrH7pxzjj/HiTf2uzG2Y5IyIWXaLwxX+qFhTMeXBOaYozcKAE1I75BNXZfvD 4MvpV6QKsfIJSY9T7ZcZ99IAt3oGszE3zct9wQE5EX8/e85ORUbZwNLOHvSKQaqOd8a6UVlR/GhQ kqNzjPCX57J4o1kZyJ9xtcgFN6n7fUql52XEPJC1ekpFua3ZqY5A6Sxd0EYkmAugMkcM933T8hgE 5L+r6cw5Ar7geRHK3tzmfMVEyXrSJ7Dxlyit3dZoLaT6t2SKZhuGADBj3rcsSLJRai0N3+p2WUCJ 4CY7pnVkrQIFHVdUEMplm8nOtg1+IWfFv95pw10ZdYbldn06zWaH+kaKjMhXwcHcrbMfIHdJacpM fyjBkcpBurA/loCoiZeouowQ83LtcfwdmWSahJP1Jx1ipCYmOK9+hblg1iJcmL+NLOdtUh/uZQwN C1884HlDROM4ZN1ojRDeAPfDGNNW3ta2XFP/cxyEp7MbQGWceuK1xCmFgswsVCxx5wLwzW4gFEM3 i6N/y2g4zt2LBBLG0LV2I9Jj8LLNWbgV6EMUnsCRg+kEv4/Ft4fIv9iDEBP8wIFdEDSHN6xzFKlL 5sBUF+K8nE+brVHDfEeyHYZM5KCadyY2xWAUiYPP6EFZC9v4QDLxONP3WN90xrBFCQlIS5+iySZY MKjU9F9ac75jZo0lFhfd+Ar2MpOWFxm/vRN6TsdgZ3Wuuo1JnNzcbyGuzHXpmL83SbUPuKOGwO95 wioqRGfKQ3QKTcYAj44q91yC7YNiHhsQ2S92Ry2X4COKF/qt1wa52CLew4dg/73hjKPuVxuPtTVe J7OrVumVloEwAlSFkcPZ4i19lTmlZg/h1qeK2WSQ+Gj0uVcTGfapaTEPkqOBreklqdiZ81S4X1Wt JGmzc2mJHhf+QNWIQdGEeREvHDhaxMNx5zcCcG13k0Ev+cB55fFgEWomAYQBMoA7XB8cCknSrxp8 /dT94/L/Ze9cHMTgZmfE0X9fG3r1qOAq1cbuThS3sw4qvYseJTSM+Oywb+LBksSNsXQezqpHVh3f jH25TkpIKhlWtCE82bT4j15CyZxV/HoDbkzJtSyIJcX8FtkXq7tcn+W58UCkdQkiISEInfu/F13M ea3ucvmdMgru9ktUM+mQ9GnxNT9nUco7zjbClbNM+tXJpGshfZxIxXTZiggD07gx5zz6arPyPOHJ 5p596nUkf7T1r0TEpcNKNZJb3sUhKxnV2Mw3A0k3xxuy14gC8asylJkj4GD3gZTFxbgz26mKwY8c nJyxnEFsSGygTtm4KDg7jQ1VlrSimoAyPdui5ORJGx8yKZtsQP4ngl0Wadtz2fj++bSPwP26YkZ2 pgsekyaBL0jQiHY6L6bbKL45WyN5A9pM/4uwtS2DaT+wPpoja8smD4/w36T196btao+Di09v4bcL A2Oe6gL03yCnI3pXen7ciPzhOVYjUiHxQyvIaekZ3/vvDM2I0DFHn/ujcgx48zZDATZvyN+Fekhu 0jpG8g5WDz0qpRCAFSN+S0opCfmiQlGhKXkPktenRPL63PsFpnUr/+qBQtlaL6qXurocdOrNnHH7 q+E8d5iPWS6VreYlJX7r5d9fGaEm12yAIcPqlG6rmO214Qj6+Wvzc2nGHl2TCp3OMsuCkn/NSJCz DqcCvjBwWSKcrXRMywOrr7W/qDZDauSsKhatCg5Kye67xaPWBbipu98ty52a5Jf89Hr4ikeROI2E nEHSQ9AI07hYPRTN8NdXCvN0vnIz4GcK1atBcTP/abGTTDioB8aSF6xaZB62GQlDR79r7SHxZQLd UC+6lP2BeOEjRf8NUY5b5mqD9vtGkC0uWxGfyaPKU+TASHgORAPJ0VNnNna3xVYLHuAlF0FTQYSI /Yz+BNyqSuRb99CddSZD5pGUzqcW5ln7MLAWZa6JZHo5wwB5F8ZPtrl3frYEpkxQKNlbolrR7Lnk 1ufQEeotgAGUwOe1hI4+aoMMX+AaSDBILP3NQmuU6rEWSSjFhSUTizOcMf6SgTidmlbQWnETHO5M oT5Mz/eZprj8+DtI7bQyz4ie14KRHKynDSTV872Edcu7zsb1O6ejgOpC/o6zU5MlEWmeSFgD69j5 BBQ8/fVYTg8PVU1D4NOyDFYcRDScxXs6fNeKyotfknQO1mlikfHDL38uEA5WkWSBMxf/52TZz/OI TSKX+IOJMQhX2eXgmGQiAOBDjlIOQN4on0tc/5gUnDDzUMzVbg9ok2OiwliwGJitZLdE8J6hW/E1 4d0aREWdu2mwLdMZBkWAgUGpCU86LFPOAPuVXoJuPOvR0LT4i9Ufwa2CQ1mXrTi5nG776mCymJD+ smJgBtCCZKaYcVzgdjrZ6sKip7ErI8whmRA9KEfdnHVFNh5Med6BA8yw4v8RuSJpThL9lmtUri0S CRMqrzExbDEdoZiu1CjPlY8KTg+JtpR21dD/udz1A8216D7/VQZso3wZW2googWnyGej+r+IaF4Z smiI1n1ByNCnkaRrUNsORGmnQ1lQaNT3nd/EQJLg0izLRqJ3McVJJZxP2PdD1ixK57Rniee3zyFY jCkv2ox25WU9rOfue/j3gUpe6rEdAm2GLl2t2soCF6f8n+8pT/OZsYnuQqAQO8wZOSlk9KSadAud Gb/SoElQkfhCRr6dGIkOuKtMJdkq1d6PEgjTkVOQIaupFmFJQ+R4U8htWJ/vmiCqOZGUvDysPn0Y A1w7ft7cPDnQHzy8hXfpV0+EWi7Qpbb/OfuJDexR2lNuP5uG8wPSJ6zuoK+aoXAk7mzey6oPKwYn XCJjUIYPKPPefZQYxPLlaxreFGqM8PMRyqaIQCCeB/aRwEmPjxURqRJzSVfULgl+DEDi9QxvqfDI nwmgHIjzMIT7Flf5kDoorfdGIqiGfUsciTffKOAWUSjcVhvwVU2uaSeJHLN7zpUyGd4mDKmXsFjR Bk3GoC3ndf6XJ9miBsbsMAR6Luvc1G25l1WmsmRx2C8CHWW5OGluuw7E1qQkwull6Y+BSBZdKvax cnYzbHpQNaLyqqTQSvVFl+4h+9/Z25XOzmLFBIOslDcaRuSQP+Pzsfm5Lie3jC0Ms9bsQ6fNFhZk KLDg90cNEUkhgQaSF3fHAuQYfz11/HFTQpdydeELLupj+t+OwzqTTTKm0e/n1vewAz4y3/a7p0tM GOy9yYfaUfdIELszmylo9iCtI6FnStql4wGCu0iccHiV5I1xIObgHlthNpeWybSPFf0PKc8xQb7V k+1dcrOXfo5tMfzKwUmjMbeokHyOQTpBTc0tzFx4T0BkB8WAGnt47qsX43Auo1cHzcqVH91wCo6z dScJBf6YxtslWYOp9tfOMlyxX33YlBaeq3F0baU0eFEnJwAImRFajUjK/b2aHKVMUphq3Oz6I4++ LUJnQ4MhIA0d/WqlXDiJyzWzDxA147PN/tnlRP59yuKWOTS9BC3/oTxkFxOdUNA9/25pfspdPDU3 zhAuJ3JRhNvK0ovwcQim+uCYmygR7GzMRC2JtbC55InXexFPbY1g7KZ/axDpCCyIC9ul+tfQa+e0 NMNwtPVcUhc1Q4LLlT3iOlOxRXcMRG+mta9qNxGN/mDHmyuilj75efpDR/b2ERELQdS4T0Z4hLJL 3k85Mb2lSyjPewV1n93CJ0mRy/Ltx4IpdTb+efdp3PJR6nds55rxiTtFQ2uveesDCZZDSSmLp0IE IIQYEtiM3Ty0MCvdADzoCKG3x8LMdgrEmuabMnbbGrlaWXgh0w7P/dJusSpgJ775nbJrKRB8nIOr 2wf4boh6AxL3D/PG1d5G7XaPN+1GoVMn7CA61Y0bt0m5XD09FzJ0n3AEI+oSolJAVVr7rO0tdzU8 yNjWm2EAz1oaAvZQaMIfeIVc5UhaPj42f2ovT+N8nhbMMEopbYHBOIZOpp7wgNH24ykeeyPHJCui Z1lukK79XDlu3x4sxnnim6Ez0ffmv3HlDh+XP1dIIP4jM+icHfvkxJqKgwLxszRtzva5GWapBbeU kBYGqKAoQhy/QC8lDPstg7/x1u4vCkK9uWbssqqbFIHXgHd4yqax/GumXi6f6PUAPiz6kfTYl5yH mAVBjNN2ThQd+QoXNv33+uE87jFGrGCeNJz+VhTKf2dxhIkZdqLRwhX/8xcVY7T3bSCwQGGVwfao D9yJFZ8lDnlHuZI/rHL54acvCNDIPyKj4fdHLjmurSZmATbjqbFTCVdk6LYtvZAqkT5psau2/guZ +rclRSJZNtGhEMlZk8PhF5AQ+u+Z6jmR3syFptHHf4SY05ACMfsnWjo1LmOQOQUyWKe1mi9KLOZi BWm1NPiWw40nvQoRU3aegOT3BYwzzIzySoQ4vCtW1RXr+Cc4kduDgoUXipwRnxiWZ7U9ae3KlVtq UQzgU0iUasr5fyIDVRFLUlyzFd1Z0k+ySEsEuYSETALkTfKxmDToQR6JO+p1qQfcBAbNuusyV/2B XhyHemjf5VFXW+BzgCfJcWSJDZN3Y2Pg/3YYn9z/rASckPZCgJFdu+IM3Y9TBBZJi4gbGmUntKWE gJXNLXw+OaGKZb+A3s9ZtCQcpO0tVGrGsGBs87eEgUpeMxiKdaRcurmC12pfHXrHT0qc43896Jlb IDWCf0xxJ0KduVjfgNTTHFa+T9L8vVdR9x3jvR88QiveFg1CPaIyzuBJ55M08nHRl0LEaSCDPAmv CGIo3nIdBdh3cXpJLB6pjd0weKjlUHNPbx2NDIoeUT2/GfypgFVUD52d80LyUOuTMaViQ9rnYOUr Uhw/lwFQGFobM5xc11nWf+NFDiuYyz4Bn/gjrZx1IcwvUfXtvlq0mBRZ0c/uKvl/RYLv9UBymRQi jBcbFLbrWfQHLKUvQ+RC4dhaNnNlxCg04fRXwoHP0qYfFcJuXRAoHP/XQGwS1DzFYv9dgoNPz5m3 /GLRH3PHRUx/tFpEzdA3T48mv3yJgITEFZa5850KR15348lBLo65snINlmSJGgRcC1xpm7jBNreJ 0jpvM87cKAZ1WQ94urO9wpsy39cCWMUsPHzRdQptOu+sF3TL45WLwd5sIYPFkfWpD5vZzvdtqlxB o3ETPjpq1i9FsFiNHTlDRRwdpQt8O1pJUzde8kzQrj9o2pmkW5FZ55QGU30rSlnlY/xiFgoJPI0l zwFwgr3sPflmve7bPuEDSJ9wV277/CDlK66QQumHYShCXQPBJo/PJXYCuas1LzHqBMH5v1hx5L5E BElY81HMoAvG5dI3leEB2PxNThon5m1m6B2RR5lKL/yZMVeKC/awqNcEG+25KgOdaSL8mfCZIYi0 6GrQPVUMrY9J1K+W4VKJNalPS0lOfw/38NFIupOFvfPMMdZ3lh18ecP54wkDrIYtwh7tae05kwST VcppezwBEph50RvZ9b2GM9BUNKVjPr+dyx7SXRJc0bL6xtYyrJeYUlZmwr1YW4gTF0em879hek1r ZUtdsnYq2HAUxvn1uCpbuBrMaddVGxRY+Objehv1P0o0qtwtdIwnyajsDObzVvF42RITUZo3MRdp EuRQ7Bi9K3HV9uTPfSPXqCiBgHvHdMJPYvv90skJvqIG4D/pSXK6yzB+iaHYL48IoWwEVcU505ko xXfbPZjn4jiEdR++WlU17NkrnBdzYnDlX64F8QQoyq4XufgT2mYJKaVFGxRneE5ac6MjPLyEVWbP xxnFBvj5Y6rfRZ4iAYAukR7zN1RLsqbERQ+ML0IgWeOdtM0YStpUEcPlZPTk6DQWEwAmK/WyPWuN nDTOXuQaoIa4amO0k7+jDB27QqH8/9UZZPXxFarevaAMuJQYlvWSyV3pBH1foltSbOMAajuwhxYh 2Tpy2maCtD5QtkBm9/ZxZ7M1mYoTJeq1av1GwnMht+Q8jOfhLS0lTY8xBG9rAbviP1nIxeXaWHVk f1BpLf69D60gRmWqy4X8CfetEV4blbwCqWUCxUqr7q51+78Z//2aBwBogANQfF6z/x+c/x8RihpG omZl6ZixWSG05QvQz4amcwTn3oZ8kD3kA0qBvA6AJMeSTzLuBMVhL3xrQBiKst0SY/znJombnGUf GvwYBubyFYiTBsWMcC+UzbDycgxpY9p/cS8K3RgkMrskFSNdFxUkD05QRC0/pIuMbNjBSwEdKjjH PTyBAC1aeEHtUQQCRybOFmh7zo/TwFA1LHCoTD0XJZUNxblyFeeOH3sIU5VOYELrWYUMz3Ak5Ozp FN045/BOgwkvoxixJpi44i3tTG5j9J3ktbzaXOsZ4PZUYFv3LfVPqevK9GdXLKp/qwbLPlMTv3DG wboyKw7jDIiyj2tpagfUnQAkQkOFPxIQxjs3aLEpg8tlABu5Qn53BvLf9pa/iSkQwy+UbyOwGqMk ar+YNbdScVNgtsbzRiassALS8a8aJHfVkBVKXyT0VU5lmiYctv9xVlu/qizNfwd8AXjJ+qUrNh2n 4MP1Xf/htqep/1i8BSQYuMBVXnoBZh5UR+d//8ln+nNIetPyCMwWC6X53y7CqhXNJDHBpTI/YtKw bSMaS0Fv2lfJXnIZiBQwK/YNwVmcIlm0T8a/YgyQh3rtzo5rATTSAktamqE3g+mkVc6Q6On81c2b 3nsr+4UkNAXnOl0iCQrY4VO5fTaSQ1US6xX+T3H8GKp/s6+i9RorfwPOv0KRsj0agoNhQHId1mN5 ez7sahBG8jYrF3oPzFGcbI6DJoI5Cx0+LItiaIax/Hwv6nQjSpEG0HzVpUJhu5B2fQBKpgljqwnD kg0Mz/VKgew3EoOK0xDwPulQwrp+GQqIzy21UubhLH/RmGP56JXLatPiP/j8cwi1OwmNDfLdgkrj 0N7viMr+YEvWeobsZ3/BBRT5WIaUJcsmDl/1/f4eVYL5P8iSysO2rY4r6ka1N78LY0OwhkzvvXHc saBo6v1eEYn5Km6vErLsXn+HVkDQPymj34jrMSMo8w7lAI0ZKpLeFv/5RWtUQz0Ix774qKGgzpHo 0/fgktm0jma2gducO3PZq1wnDp7p8XUY8y9fpxlxNXNbV+hW3Fdlcfa5zO2NOpm6xWxcEr4C9SkI vGbRVU1qmAy2R0KRQyuliPVREY386d+rPJHGEaB9R0wB4KAGuPZata8U3y+s2bXYp4CpZfoT1yFf otE8cvRD71qbJXvcsv/P9ppcNozMrDe5bULVpzhyulDciLEds/sDGkLnnSUEzYuKhKLN6rxkkkBc HGo8AbrXwg7jyVbgi/TPGP0u4hPVV3JO9sUNS30/4u8yftX7cqPqpdj6+VRU7muKkoB4giYSjSPT T8SohDsl0adZRkycB3PbPj/rcrkiCyy5d1PSXRzh3moCllAMX/hFbPAC0cpAwOcdsoagq056WmID 18Ataz/nIV5HNJedgYVC6BUMnKLXLfIBCIdAxj/HmEfn0t6H6f/7vWL9mfIvsXe3oVkLc+ApoC9W JIMDL4NDLdijgik7q6Lc3mzWpKgg/fwV6u8DUwp2IQKAYWkIL9crISiEAbYh9/tn8jOy9SlcGkXX Z9D9xm6Ajur+ByuVBRcor17yRahw+T2T1MGW7kt8ERHwP4NOi62IaXehbs7ZU4/SrZaxnouS5a+j CP3tmg7WL9U2zUW7+gnpojbXH5XH4CD/74h6gd9egJa3gP+lXmzV7EV+h0fInPILbZwum1vvYx/J zhKZeNd8A1gY+Y7YkR3+vewxm7oa04Ou/oBRdhbFE+3Z+aQ/VVAyiBW2vPKEeMv/t0MvCb9PYDfT zjAjFAWNSsTdjQU0mwzD7Dl3ID8rqMctFemsPosORbGdiym/aiDuAfOnF6c2/NSOxRPgndnC/9UJ Q3GiQfgBLDBPOOZkIvb3t2SGjCcMiHqP0X3yq8rfVa7jfdZC/KYSHlFESBC303/LxDhyJEfL3lrU p1yjif2nxdrWWowQP56RTH8Hv8L3xNPUsOdKpib4a1aWULXUrl3vfqRNdbDzhRB1fDgdDCxiewqY 72b56cMZ+83xqAAtTSCjCK+7+SYkBimNaTpp87uVNNZiY329U13bLq8MH7veQXBzmw+42gNN2eXR o/DueExKRnccF4IJZYaez6Mazx1+b9qHmFhNDRG9/a7/qJgaMMJkceBRiY7EOS7keToWKKrBUO2M O/H54+skMCrLvr3Zy8Dcg9NZSZTK/wdDbcsY7RlWGH1AtkTnD0+lllDUTDUP72sueJquKsj8FBFt YB2ST4E9yGEIECQ3+Rv2lCRYmLDkLTcOtVdY0b9BburSpb0Mid1JHSOPoj48rb/BTM1toCwj1Mbm hTFIZgC+vbvjBW66T0vD9w9oUCSSWmX3fNQi/FPMbXDcIoiQlEr6aMePy5WUnXAgq5cba6Qq+L6x SnMhR6FTmOmU3pR+fVlLmyk9rW1hpeZ+IjI/H48OChZ3RJbnSrLOGn4p8YQ/Q4za/wlkqZqP3f1X C0tIyJVh53T/mNfJOEW3OtIz5ktxFN4m71qcAnJcXD3aIqcGajp9nRDufbkgT+Qra46BW/5oA/6e gunX9KhQ2fG9rQxO50CNpuF5SKPz8GjEJREeTKtqml2cKH74hlS8XDRqVDMtiLioPCrtVMoKKDG5 PIixhy2COcnqsMqIXI79wQWTMkAPvuOjfMd7wAeE+0I/DwA/iN82DyZdvWtqX+li5EJ8oVjC6CuW +vK7ggxli88YaAtqh+/HJtf4OBdLDjy4tsB60sBsstt4U/F9gv/XHKOxtFHmZ+TO3LT+PepHMRfo TBCx73kcZFOsj1+dcKjBqex22QbB/DJr77QQfF2+wKRR+OwvUFOi4kTeUD2m4k8niLsfa7/87YuI pkV84JiUlni5+q/XKtoJQciYgita+Fx5EiRaSYqOsBjtaRxY/rcj06zr7IIwKQoaOdXo7hBncoB2 Uto6vc7nDSLdGaXAvadKzo/Zdz+6VpJKILJlVXehKJeiDOud8VWoIXBclDGXjVx8wkLcrInkbOKw RGtBi5yS4d4HWH6ssG+Fl5fW2Fu1hJIXQ6Us0Z0qJe46HyCYFsWXDlriu4hUwSv1o5ybKJI4M6tI X/lO9hydHsEjZrikOz6yL+vgpvOThmrYuJzrjY2X9SZw3BormZHCOGdAvAUR+xA0I9niwfsaIrB3 +eMPYg0sPMgWuLzB2KIDrLhl1eJNGjWhIedqvy2Af8Y7dcRPgautg+y9Aqh2F1Ph++3pUenw8ZZW SwLRjFTaxJyrDQ3FMztQtytrPykra0VNn6BIa9JEwfgJGmOjQgjV5sj1/S+suAmDIR6JPTte8LAA wgMsrKbMwDkX3ciIwr0Rq8h0SLiqfNb/rajUihKjyx7b9lmez21e3muznjPb+2d9rkFOS6QEPe05 pvCflMCbYpfOjOyBC2EyUqZ54mSbmREiHObFv1/XhRRxDw5RxvsqclPxSNBYMKOW1C8nbsn1rJ32 pI4X0ZZxS0HTD7C9nH0aZrkGx+1p30ejHM13GJ9DAwjbwJU2rMWrhSimwr0nduDiGQx53y337UKH g+/D7xxAsdyXbiUDsAPAW3ImcK1t4WDzfdHP5yY9Squq2gS8/97UDPhdEG76h4yePnet0IR6WymV eDqPPsu92TGbO0lQ9cfTZhZFNFf+eJXXY/Gay9o43mmSXsWF2btlwPnBCnagg4TcCYWAdpt6Pcav GAnXxAEOqAd0dihamQs8svpJqcXJLYaoSSjJO4iovrX+3b4cUQwzd6U49bxalkvCpD/v/CS86m6d aDc76J21q99UiWRmVSRIO3KwNClD2ECU56yoi6NN1rFelJvSwukAXzhNvhaZNU2K+mBcG1ljNcOi 7KywtkGb389zQrtq2bChAZAjaPWsCWjPHzv1bfxUwqUOgOcJJI9UDBjZzz3IQQupmJt/TNpN+Df5 M/LZABQQ3SFVyZl6Pr3bHJSRYT0Ih6bhOCpZT+P5Rxr3yHlT/vBOkvcGACvrEqgoJuPOPcSBlGAe EE1jewRWIih7u+5DSnBNniOxik1vnYbV5SqDokI0o2/P1p+2tWr0Im+F9gYSh0lsaZua1Xx7qW4/ nkeGz+F0XNY6AesRICa1TgeKrtupAw8tdYjrOco4fLHdzqKCjWSontDq0w1pZHbtPlCzolOKdeeL PJaEBWjTmHL4tulz4QiPHvOnJl1w840V3HwT+7TBBS6n0zOpmb28dmr3HvQBvmOa+56RH/RofWsu hFdB/lnbNgkZm/cj9d7jlzlwN4WAJ8lAvnTpPEZtF9LOGWLIlkR8DMTpRqrRoH75TGR7qQj4vusj /gBH1c2BIMtpv/YcV5et75daOHx9MnkFvLcC2LdOxU74Dhnok023Lj3mdtT4CoXmaEb5sEzfJ7W7 FcVZB2k4AL+jcd0Novzkvlg4vrNxK5HZi4vqvzPGExmKj0akz6EuzFnRlpcbp91Fbd1h7kfTOl7P 5qsu//GkyV1PtrW/uRboAj9XCla97/TFLzXMY+V/6ABLy3+1ZhXxM4mM4i417in+HWsZtl2cwezr eiOI6qqCuXCcFMsglXYmphUTaaqkU1q61m3b1y3NSxplJBxDKs1hB7yXtxrYVVXHDKLM9F7Xq/wG EY52uabEXSsnQT1TE2ujgo2YzrFEDc6AkDAGJIllIuu+hyDXY6FN9NLQ9c35uJchg1BU6D6ioEB7 5LP/UO0T5xhs4CQ7e+aHnYm5n9h49DzoRYVJpfqAhdJUaHuycqhYNcIM3AiIoX58LdJBBNDQVRsf pIrRFFxiyD3ZrelHlO3f+71dZOvqss9grWsX2ZGLC+VLXNtZnwBvEyfFcUhbyp9QdzNi6I2zsDCa gJd2DCoHqRdPak2Olsv+QcEWTWCxcx/5Fj3qFvzwobOkULZbs8R/sVF7Dg6/m9GN1biuONgDuCvY +3BL2oIyRfE6Dg1Wv39boOf3M5qTXGZLXsAUPq8+Oyjk7C+zdwAWy1Xr3s+VCS/CGTUUoRA1Zo2A L5ULth8nE5dA1R1w9W0JKP7n//ytzi/A2aeqsoucFEXH288SjO41/CAI1tcRINSN5hdiD1GfgLiX nSJGx5oNUHTEIsB2xKQx1xSe2F2C/am58F/3b5E8bcFipQpfCyaQA6JdFXxUnPAjRJt2rueMfs7G Qu5oaNmoWHa8ZqQn66lsGuZhDuXMoPArYAIfAfuACx3X6t9p0NBU/qomC+6Oly+tzJArIOZ2fGj3 yRPn41nYhlOGxcUMH6jZgRL/K0x1NV0IWuQy90k9zXH5ZXh4Cl5tm38mu+2XU6Cz7bWUnGBK7Gdf 6hN3Dda5deUhCw19WcmOtHmbmIREfNxDWcsfoLXIWeD4ScCy/iof9Js3RfLFW04XjucdkKatwMVI cidpUKRVX3m838yxFw7djdgap94q0PsJLeTUxHjANiHR6vuQRINawkv26vqreWWiUsYIMSEDdlgZ ge5LM+fbgAz27YsbOY2lQQbz2Hv/8kTtECdnSXN9PVrgYqwVWAoQc4Zh93KMfOMl6o/MRbb0uL9p V/6Qs+cxB02nu/iHfC8Ve97rshvePd8J3kPPpR7j5KEpvlEhEvLw5iOXr3jvwtIasWmAbH9jIKW8 adSLux5SAHP1mXh+UHB5rvb15rv69ZtPk0N66nj2XyJBuzzVKzy+7xDBE7w0w7RHAfR2bjXnzdmq G2CL5DTBcE+qJa4S+bl9+jrJJurbDv/o3Ts2fGCTgF0U8XWU9cG5C5xSys6/zqSe+aI6veY0lZW6 KwCHhkiPO+CI6EBAmMEeSTXkvyll8JoXjdN0cXnXfxbAkyiFaz6CMYNBh9BKm0JpzVMtVwu+kgoS LwlwJl41398aTeLRmlQG+NT/84+Rr1LcejjMfKPLl0hlhI0zP0jjGPhIf24wZdqI3naHQrivN1HT MXkPzSRyVCnPOxR97+o9CIQf5Z5LexLF4BFqq32t54dM445VMvbkC48z6eZi3WyKtpuLz/ciulOv MTuv604LFXAer4Mu3hHlDOvE/YEQDGFbSIxYVQs0X6LAQa2DmqboQSsyckCUKn8jkxiKE1LFmajp g8kdfIPo9oJMaBwiPmXqQJ13rx3VmcFnJS1+ZtwmdHCzcxJC0wX13pEVs8M9EatYehq82Y4siCS/ rUUj7QI40vk1BudnAFrgbVGtVQWwn+Qy6qKpJaTrGwt9vL9CrbiJP8b3kD6Z1AylmRzFY58pmbYa xDdxmPbNgvNrqWeI/TMrzkUwf5AkL6SCtafBpGyLh6uqIU2WSArlQyNzJUxmigWCnruLxfgQP4bU h4Oauqkx/CTeOYYpbhTSFChk1lpvt095MSNLhMjXWjinFANY6KQlAJ8keHhjkro38JrcWzD3iCS2 9JLAi5dTkqWGpTHPPpwM4F63tCZ17m2yKMRmvIG0noYzOPvNl7J5ldzBOljmAtX29v54zLgQAUzA a2C+q5hNOX8QooTIMfsvQjs1OJKNGx3smwqAWtOB/agbr8tMrNHVq/qqrFbmaNCLRbIKR2LdLYp8 +nCpGs2l/8Vzui7PWJerU0ynmkYplV5eJWltmizbBb8RhjbVtgPnOfJRGcTPRk3w1PIiq8fEotVK 5FvsmaZnG1g+HlyXHaTE6AyrjMewffEVL3QEZWusqmUM00Y1ek5G2a9eNECDooRavaErVkGelzyG hSUi4nVYSgCDpWqCLIjBQeMOFIK4HH/tXc1QPTEpB0X65rvhgBSEr91C/5f3b5de/wa5g3QgJfR6 M4RXSx996zdJHY8plBIEiOXCWR4YEdE3A9dV+ldOhYqesrSxyMrQGnAW3hhhF+d79ORdDOh6M3na bOJe2fAzamt3qtIkcRRrPUeoCcVuyuJF4Lv24r7QuJBbYrvEOyLaowRtV7fW56kU3t1m5V9hTHT6 7EOy2oD3IjMSTe/PSJ4i7UtipUQvE7hV5nWt3m8XmdiU3ME83pqgD4MthvOTovNOZDgbRITmjexZ /iDAQcO76ZlP1iQuGUu3jifRQj3AoUkGlCzCiHe8pcMRaZMyBYHBjsiq/kJ0NKCzy/NKFVch/1Wz z2YRUn3xX1wgbpPeRqyGxzXx6tgnCdFg/6/wpmc7HQ9DK/QRueyAW1e7wT2t8FWE9p2XtB8hPrTw sL7TcfESqwIkWnv+q5U46g51dNYkeF7EWbglajjwUep9ugKIhNnnT8jnsBbYweQD9MeDWRhsjB2r OHm18uwu4Ma40TkxfU+QkJBmGk2qBbZ7FGBUccgKFRRqlEHiRvD9Iz5jHqoic8ZvQ1htQonlvnA+ qQKi+XJJY/2tiWcuQpTn6vv+nuvGm0ddIfeMRtSVN0X628HsvpBtUPpUrpNa/6Nl4b0m2HCYRR92 CjZfDtLfh9YJnLYjlNBX4q3YWqw/f6FNgL7qucwfqDDcnHH988Gdt0nY10ICiRR7KDQePijK90V2 A3jNoClH/l1iBRDVdAFxBiRp7ZjnZJZd1i57RRqB/tvnJIRVS/P3DDauzrcY41Yl3HDQ1iQQ8j9+ QcGsTO+l9A+Sqzsd6FtCJLbaPfhi0DfNWFe8LEfefrYoWeFFfvAz23RcxShA334v1vZVAn93/vrv 7dLM6DMVCky26fgV36RppJPlgPK2Kjxg/eLZDU6oXa7VKkuMQHO42dq6siVd2N5QoqXQYf7rbWbe 1JTHFS0Cd/RLR/8mSlZMuYYy5YcsIDaXlbzQe/5FrwOEzt+k+2S/OEtjt1n2isAB6KZ6h6pO3/XE Sm2QF0YLFS5kG7Ok8a6QhLPpOCdyWYuCNqjUXIKzgn/YKBEXC72E8jV3wEV4LDQv6HhUBkefysd/ rflRTNbd9IPAjA8PEKkCvx0RUx5EzXE+zs8PffgZSZrfSzimPem1SzFE0hri5K27FGKURJ3yeq7o doBXnOVgmvgW5eVWn2zVTnSWCha56kLPIcyesOTnrk2WDwQb16deTqPv8LV94DBUdBCsJRQxyZXl 8Jr8sLzvOxT3nKxStSLNM3hRcgDORkrbFLu/WBx4pC1HJjtXWnTUAaKpJUvp2SSTZuQptmhB08TW zhYp28BOz5RcRbR4w6R1U66AaKvy8Krrmo7fbWhb90iXz8IBsTwLuUcaHdW2ekpcBSNcetCFmvV7 laTTk26Jkt3FCT2k6+GBbgBBrlGc+aX/ib4CxCtgJTGN3tSAvv20UUysC5nE/zV4lJKvtTkVyRiK VBm89BQTo4h04BHAWTN6U1aTye7+7eub/CQ/z/Nbh3RL2IAnwmzmFYPV6UaZ0kGeXKqU3XJW4IF5 vmQgrpRqJ6RtlC5V3SFCbqPNrQDMaqY4vYTVFiuMaPq3EyVVjBJxKWvMG5q/wdeEbTUU3SVkhl9c cwBOoBbiFRbQ89OEk6Dk0n/45XDmiZx+Tam06dK+GznXjVN4RHdgT2Sfhr8O2w3RXB6rsauhHcGo kojSnlsGQWEpB0KJNk4NgspJZYO+gqc15MbLQ/V+OWRPRZu5tkUeOoh7uGUTK4EB/8NaqYZwrD7m mrN9x5c7fZkaCbS/kJjujyapHSGce/Vf4g+UtExTcPE5AT4OAcOasZFJHVTxFdSK6Fpm4UWTeVwt nWCUNvalvDbCaXkIzlmOQhHIgYg/DhteFRIf82j6z3KYAZmpzoJUKYf/Mrs60jw7a4g88cENdz6i SJXfaSOfHuUaa1filB/dDOG8AlbQDbXmgEO2ZXsKxp5rr9qZoxT07uGjIVeTqcUXoAHMPeKRvJOH Pa5ra0eg70n8HMfpf0LWiGJGsywE056vVhY8LXoAbi53xqP7EslnjQDyz718FV5PyGrVW2WqzKzt B7RDQuTl8ImFKYlsO0sKnUhJQjgBDtYbVz4oBNnt90x/AIISS3U+IRnxxuEcM9QONaAP4V+SFkli PjWZBAJ1B58eIAYqpf/4jyTHylU8eyiWhFxRVFcXUarB6uU+PIUUpJy8rtbrxbjyb82Bi9JOEzm1 5BigF2coIwYh93eCBPwGGnbuK75lhr2PP36tcVxghUpvaIFBhOw4Wb30zUxQmCv0kBMCdZZnmYXb po5FiRTFNQ3e87yvQwa4s1CVxsClaahzGB3DFfhsrqwV0THkHWB1QVEwyOAY2A10Gm2EY/A8f1wb pKVEkLtLmG4VWQVXd7CJ/f3xlhiOF4WWN6IwnkSuU17819sEzDn1P9l5hocKrvVbiVe5Op+6xeM6 rtvrYUc+IheBv4nxrObXCovcXr3A+wx7wtfrw178/UqjxNamb20B4R5B+Z0cTRQ/57aLK6VQNgvB DjB70Y9rDCm/rMqGJC2yTVZ05u4vY7l/FIozEgHov20Unk0KrCHsoFmGV8BuzqMrfHreLDXmacnO 9Owz6ofkb8U7zNIAekTNKN4jtto8nkvVvLssp/5j3+nieBa4Ttz6rza+zdzoTCcey6wRzRyr4aPb zo5CfY618oGh/lQTjSmYmRayAR31RFU0nJ/Hqe9bPGJpXuhwJuo7lOtxaq9JyHQOwuSrHGztsFBi IZvt9SqpAxqZrCCoh4BmJ8SscGTznP72A7kKsICFl6tTnpbO5Nr4Aru6Xd8ey3L/cfDN+FucmDaB 80yPi3tsUyRoZXw8lnNDRiyGdSnJ2Nc/p6JzujzxO0FLta5UavUmUD8kcmsSLXkXLnDa0UIOPBZx Cz9HkNiR9s1mi1Z/CWYjaIiJMUok/9sebG0eAepjaNF5vfkSthb/NmOshQB8kdBZ2j+Hh9+jRzm2 hMfNKkTBye9eZCyBPTt/r40R7rJGWVDsOm4Z3B+osw/rsRUKihBXJZb6nGourfMExYj/73nSikaU nghzd/3HOFYH49yfZVPvknWBH5DTom/6wEHk3JAUbx4azQyEjY6uselh9TnpUKn2kHnV+gLuWoTv ORJHJkdK4m8ByxWYT3z8E9kIjiqGg4+tQ3xE5DGxPA1Oaje4MHZv4h3W8e0gFwfQ8QsOpKTDJ1Il Tn4UR7SpVa4DyYFKcTV7Yfi+it1GNZDcDlCdVVhRT2EVlCtbjhJ1Dc2H0jOkSkpCMlvgMTA8qFSx Ctx/0q1u8rzQWINQE+k6GZxZUrJcXyHN4+XQxcLMk/YObwUHPqSZPorTSAJKckMhwAoVMJLyi1Tv ANExaYRobRAA3+oUbY+ecQKCVsc+PB68bVHxlbP+6Rc6a/KUKEGr1gHWMbTyHk9kLK+TNFOanSSS fnLHBHH0msaQoPrT+WhD3hQDfmMgpSYuj7CYXP43+dh9G5GhV0la3NW4pTq/Hk9rz6YpnlYEiNze 65KWcEEg6jVFhBZrlRKyut6AGsICU5NIu0+kRR6avoLkksUZYH/igVZ0RpaKW4Woihlxb7tttwdg FwMXlBc41aothZiSx/cmlMKU7F+pXtDU/PQBbtskCE2n9eDwrzKnp5xZfxVUyi4g0TTN1zu0XRpq EQJ+nAb/JUZFUpl1GePXV246Fax9UI05nfGXWb1j3oNNQCkGr1TZAChQmHl1LurbRCLA6m8MIEx8 h7SyzVnZc8A3kdzcWMf5utNvePQ2tmghjI3I1bJVnF/CjlzUyvd9mZEF3Xlk5A6dT5T0R/S1ITsy QQuno56U5dIcF+iqod0fp/nwhg33FyiodILPupBRE+WWNpLpxjEckBBStdMvSJIP3GPtigxMRsSJ uMQhrt1M+FAmy8BytWywKr0/KUk9mqwQ3qqgQJHZx+PmjEY9oLmo/T4dbOujPOwzeDNWNLWBZIFg y7hXL+0YerOH38IcUrIBPgz7qXJF1VvZS0I8hwfaJdOmn+56qIhXNBFAJ232DOOBREAr3UUT1scm g1iUhmnNtbMVT/T8m72FmleLPnpVZ2L8uHkSgM63s5cLSVuTHbG/C1EqFD8YC0IYYIk+ZgPH3v20 rY+IntPwkEVqUHxXsI3dw+oJdpP3UKg985UoUdOZHdDnoip0A5hLHbcgjwTgFtzR3BXbZNlwcL/0 pfBZ6L6FqhwaBSWKlCnS9eRwBIqMCLNB0OTwznL+TTUkX9n1Z6POSKRwLLGTrQGsoGym2fF+cT+k uKAuHSCqNBp8e7t2+xIdwBLTrakeK6uXPNlhQEtR381XMlvEXvv7UheA0FttDuUGy0lT/Xjuox9G v9BoL/8y9wcSCs9FGm58Rmc4V2z+nuY0zdMuIcpDRePvkHQajexmkMOeDYq/ZXK7qYeLqoTphney tTC7T/8KIuOah5wWGLwbMMZl/db51BFNejATShM0O2cH4ZqsX8XiekYkO7cGZU5Wrpd2ZS1mFPtK Sr0oBW6qL84F/+gAX0B9ehFOBStMUk0LAgkctIW/AgoSsDLmuJXwxYhRJ3TDX5VLfTU5BhazWj/8 Gf3dZ9oW2a8hFXOQ134zaolaMCz7zSXMBjV2UO1t1y7qn08heZzBCS4E77oXHt2Exz+UlB9X66Ve DrF+MRKOoCnTvCTzeRZ5XihiYt5bMtqFSUadskErtb2zv6u27A3wzwGQd66+Hqp6JO8fYMEl8C42 LXQMfuCsvFRcv7b0anR4Blx8m2zRxu9W9p0FKB7BblsuU+QcNOHxiInbokwpeEsXT70ZKKxks/xb /Aat0pQ+oQu8P0r72GJEMJVxEc6NfV7g83oqMLZ7iySztiOaJa3/5NtCReiiHv1mMDihN63BUrX4 3lny4X/zDmKzrqEnOWGmT1otNxXl9jIyw3hwRU6j5xzldVXE/UbEnlxhNZ7DXhleL0ShfbKOx9ty fkYmLxbjkPzkV+LBBsoi87GrVuVqqVYrQqBJNCBdmq1BG86AuGXnbhQHKFAUdkU6OPhEyHD+cQhn PVWbZ7HBCQ2PnjBIZBACzkx3B7fCY3xzSGjkfSMDznH6LJGFrnE7eMgLmFq+oWHNKqumNkVDIoWm aBmMqp9lmx18udtiSHj7O3dt3TCUmKh7Rj3bw7Nj7E/AVKCh8NIxFUktQoZA16ymskhkxtvG5M2y Na5/qOGCi1DgcNvOXEkk8y+h8ocf9uI/0gp9qYg/Bh+Y5UE4z15819mg0zvujPc55NRZx1Ll3yim zJEn0WpWiV3OFwY4+g4ImcxKyNhaL1MEGIP1+05jAIA8COvrfI+ffFyicjrXszchfEAM/D7AaZI4 E1qVY+tZ3RZ1US6jMVVLUnwENpAH7umMvXW1q3BwZxwiAOmDDdA1VTiEgPKzAyqLCTsG3LG91P8b k2MVG8B/80Tlx2ISWse48MJn9jOeYyXlAxVC3bGv7PuDZnKg/jNELltZAT1DfCODaVod+anC7iwh Zs9pZkbKGo+P02UUNMg/Yn1mj8JmM1LygLKEY+1LxcCcaqSHa6vxAya1LFYXx1g/e3Z1HD0eQn33 llVOay+WkgBrRlMGeFWP5q5TbJDiaXC7UfhR7oR0Y6TZy2AamrfXJtLquCpSoyJ/8V6aM1d/dxEe EPWeYc2xOgYtkQ4je2krF4FsYzpWqRKRJlkS+IzcFel9spZ7tTL642j9t3QA/v8iVjOIDIGK+sYm kYgqaNRnqLniUO28lcsYUVZqqjbjmCP8PfiH/zW0sSCQINx9lmdZXj3y9YlhOM2XxlTihBiEqxMn yyq4MjorKuCk4BAlsxijPam/bCmnSONo9sMHGlKNTRLaD4OwvbVcAw79uRvqvrHZYH76hExf6PjF Fe42iShbsx2hL8Svu0Kphg11OHyR/XnHf4qLZjDEacMeLlGb5GsP59vyKKGkGsD6hyBjrSS79MWN qREWN/ZPDu0IfyICCaF7Imu1v+G2E7TmaJUM1zsEX1x/BTS4bt217Jg+YHiJlI0vSOMcqXx0/BgZ H4qLQKND4kjs9c20xFxM13M1Y+7/Ix6BTUCnSi/Y59AVKdBVUWl6FYmCvzGc/OxcgvMrRefzd1fG +JXpcvyQzzNg1Y1E29t3koVHIRC8D3Rl9tX96XQCktTSxwnffynsJuAiwDjO+HLGPBHKrp+YQYfe PJigOkgRbBi5SwIWjgZDTMsYzePfts2BdPzrpqs/N0vjwz2aJy4F0MHv3PW3qk1J9VF/J7kYnWVy lZDy+As0T+O6PJQKP7KnpMSbWNXyKHoZTkfgzSqlmJ3BPkVAT+RZWcDnbS6jwVj0VuZX23T6zJJp 9Vv604ihBDLJhS2TxlPGGsZ79vtJAuwiTFK9JQkcDVAx9fHQtBL8BVNUgufxMR0VeLmzztSQ5gpq GLZ6uTS5FiE81LO1a13GhGjhpHqIxJtCUTzLTwZaI3Pv7CNUU5KyRD7hEQ3c/XrzI8uK1KdAqSmJ IxZ8VJnN12NI2305mpfbXRiG2/IRNW94+DrAY2O0T14ZLpxt9qyEc7o5ftT2v7aTg5i7MFMT5EHA UDaw+gXJxOdwt7qGp4H77KccUHa949Zz6W34cF8iUR8uVgF+lMKqAu2D/Fo5UMGwycHp6Xn8zouM F3DWW+u4k2Za5gRM0RdSdsDeZMQS/4q3rM3aj2oxmwNHZ7uqyroJjf1zF2X145WDWVexxgpwvnYd Vjh0svZNiedvO0VPvpZaUAKExCdVkp/5IZo+ZLAI38mTrWF4NEeBJcRgYVijeGb/2ixBHIomgkSA ljUHM/tR2IP6TTpQCdNSF3w5i3gXKIx2tzVYrzcS8TIXquTrgBTTtKAebptPm9vaZ7u51tg9o7zW OGflwy6Jm9LMjP2X33PTeStXzq1ACJpQqzPEOtCvd89wAwyBojHyA5CXZl1/YcRic+UMc9yuaGTv c5VVp2HQWFxbezlFwhiOou5Z/IaGcWLuL1mMfl58bWhu8sM3Tp4FJrMq5cz4zLm2LDurNAXo8/z3 sCRGY4EhvhNgRe/wv7roXxdMmhFrolVmY3v91sQP2SalqlnQMDcPirXhoq4T6jpaDGJCRSIL7lcf S7+iTg3sHYtluggXjg8doY4iu6wHvkfWHX8N/dOYmzUjR/HK80YW+048mZA4XOE7D7Fr22JcKTCW oq3tytWAf1IgA5Pvp7d+eQCQh+lLCcJkf9HX58oKGSntZiJ2RQKg+3OH+P6gp76a4D+D1g1MbVyh XAXGDj6VgQoCfI6DSOVSLT6RnNYZ3mo3YX/FyCDXe6eOUPiW7Uly0aeIA9QP+t1sJoHH6m9bTS7k LVFFoah89RulrhFkMbb4zYl6kZ93LpRNTbnCP8Mj0qBeW2cYKvPthmMLjUF8J6M1Gze6B4zvj9UW 9irPKGydtAk76A3amf13FHJ3uv+uLSYRutfT2fEu30Dx48sK9f1OT0+cS0XPC7POIslTIkWhdjCH bO1k0KwnrsrfqAnT54Jo3RQh8Rs1tefexofDZg7EQYB6PVT0NWoW5BN6Wn85eJ/DmCBACDNtZGd9 Cu/fb/0qAQAfdlW3KI5nA8ZxobLuv5Qxai5ZHcpPsd9CftomoCiJ/0s+WSllvhVzmt8ftA2OD3yo lZyQJS+B20n7JRGmdiaPEqQqyE0XBV/oxbcEgCBMpRzf/e4WC43Gmf5LkQsN01qoSiJ3DZaVeh2B iKBO1P02fv9Yo+siUGVp8ryvLGbdWoe2UUnA/fuVVIj15J7VOnSFG7ygyLltLOXL8OPiSTJJOacF FDZ4IpQ9diQeBs8gOVL0CfYMneazfpseK0oRvYGCAxvcll807866AYpVqbl0ZUpFbaqjlO2zTj/3 eO3OhGYak+agdoH/DYacxjRxikJZXB/J5ib008VH2ICeBbxpgJbcH9g+GzWLV2AMEgbSe1+l0XsA oZkjbiZZjR9d28GIwq8KqN6pjt3siXNRpu8hisHsWBY7aQGkj78LNwio0/ivaf5OEK/W27MJUVlv LrMjXXGor3vfwzXMzgWKFgZQs6n6Naiu8mAb8mYUSy7jFadwz/cI7HpZND0tFaANk2IzsrUN9/4c x2vAx/EVSUF+TIkRu8mMNrZzltr0gS/w8cRzChSY2s7ogtfdleYeUnzX4sKjnZU2Ubw/us2UZW2k K+d3lbZzz5PwshkknOMaBF+gkM5wm3mN0DmGegc2hGvyF+Gibu+SpE8Dh/trPA/68hbNo754Npxa wj0yDgXrk74by2SpqECUQhtOTtmVS02y/O4sBp22rvDbJMzPyCBpZF39t/91iITSpNLlpX8HK0Ot 3HPPcf6QXLNpiXo1cmmh7g7Bp48hrDM4wcVZVaYH+8TIS75pjGSzHG/RxDA8c5d0Om/XJH6HFMCe 1pfu72Nu+FJIWyzaxtXuy6RuZBAgGhz0f1MVFZuut+mOPLJM+vQUK9ts9FbhSX/tL/Tpmf6PbKNP NO3C/nRQV5UgiUKfMKHa16SXEn2e/zOE0pcY8ojuxHHxVKYvdoYds7p+xWb+xylXbdvMgHvGZB9T LOjolqCVCssLKIA/rrwbKBmSPfXO9O8dVe8iYhgOwiPVw82vPjmzYnHk251SLCqKQcP6qhHS4Eh+ zIoBxOvcKVS7WHyLih4/8aydaPVy6OQ8ymuJL1Q31d/4EPEdPm2dLD7TLodIqKw1Z1RdVkOpSXrt erFU6OoVXDUeMEB/s4zJRpYJ9B+7ayCedg7+ESSJr7Iqk/+r8jrxko9JCyC490hsodsr+XSefi36 ZNGFUNbTi503WcxXHFcsw0Bda0e1rb0xhHzYAHSicmQyUKtyPemQ0YiB7eKESIZ+f7Gk5/rgyLKl A9eC2ObmBoj8jahMm+sNJXW5CV0bKDWEfi1K6GEKL3LtaHe/KJ+ZzauyyJpj++8gfBmlB+DdPdn8 Mc4c5MUTRnXNSUhXGGEa2IGgcCWLJS+Z76nzJhaa9Y7o6B0IQgj3iN7YdO/CDAhgiEMS20vrfBYO mNlW0vNCseen/2jHLhcvcRhCvs2JgQJVZEjPkvnUI7RUaRKe+r0kTKKF95NyFPj9Psz901s88W2e 0+BFAGJY7BLbFRD2I838aPExzj2x37W/eZcTHYxuK8BY46nka61vhmzx70FKGuW5/WCY4pr7Fai2 j6TTqNbWQm/whaDOtpBKlxCTt9eP9Gx04hEuKTcoGusvIeg2YdlFg0v0dNbzY0LMfFpebqa9634d N1X1kAIrwFKEW1lBLVCF+fCtnO915KRNV7dUMi1auze9N5z2auicfzEqB3MMicDznzjXZPXXfWtk WtJQlAGBUeXeuorbUpKXtwUCmKff//RMyqR++G7wrad1SlVKqBfL59IuqmYl4EPfV6WOWjPIBjdu +680vtPy/QZHHMRUfo2V4gAG26U6WVsCJ54O+WNXcAI1A20WPPAWDEkCH/jPTCPtGlG7aFmcKrE3 q4MREKY7WmWsf1lNExUDo3B2337hJqrPtdUxzJS/fu+XYtlu2XIRhSbcmd2sWkO2S5gVtB99FRkO A4Z0Fa7pngcEszfDCeB7+MhauDXq5w0hWmDWqO6PD7RXyM6JgsFlvLzCBd/ZGmHRYONgM7t8df9Z Tn1fbkqs/FEdMisHg5aAvOT/pwPTuLn8MGKMUgoaYZzEwrh/bc9kHVSzCe80kuGI5mIzl3YASCwE esUwi5Efhrp2C5mtZSSZpyErJNRFyslaWEyUt44jF682XepElTywI1iMFSeRAYLEdp8DA9gh0YBN v65TkC0oXjWfcw/OVOwBpAcaEFpN+f5D/ahsiRJxU8Kd1suc6g7E59UXnS4PPGU9PSiCXIMn7eWv koa0oTfroqowrDejN8YdhaALir43yMFH4p8/N/SCGFIZxQihnkQqgRyeOpmHfxFm9geHaznj+W/b Bcn/mP5ROQM51/i2s+rEiqMBFX3z0bZucvKKhKx7q7QaCkd+uGMJoZKUdUHnOX7iBbMiHQm1jcHC TJFWvgBilhhPFQ7VMP0/DcArxhepOpAQsihhNmt1vq9Z+cE8r4tIDXpmNSnkivEzSF2oDH15RJzp jA69/zItJ5Tje1rEZmuk/I5CvDSL1atKaeZ/QPX6rCfMEx7JrRJJMMFtoFkLSmPRwYsN6UqaqRS3 M1NwqFhcTn/Yp9zkI44d5M8q4aO/E8WICHxK8S5I8dLr+Wmyr+cjgJmsrBcIM8JExOos4Ot6E+7g ZdBLXfMJRlKFpyjIQY52QSAxLozKbiBQM5Xmrdhst+zM8v9nb3ysxzUY2UEaOiANRXh10k1tmc10 AwgSJUC+GuThvEyqfGS9ez/WC9Q8HC5nuJAN589PvlyyKJWGTydKE1teV8Ov1TD/YQojbtW8/MgR qV7ZtF/lZKQJTUst6yTvMaZDKDXnA71AIjwqz0MNvH+8hu8MRe7FngfnLdkjLGRhKF2IuDHCtj6y mYx442w29T72bBHSKvPSN6PKu7Tv+1BLLQmXPtrtpkq9udUsDvNDx0/PD3JkGGl0LX9h+PkL7HW7 q7MeEyKB7MiEKExnFZllawN0plUeKXjNhLEUkpx0wlY89M9KZ9+BmOJULOzzm/0YcHF2v0eSK5o8 lKtk3D2/OXiW8bske7bVMMLr/d3/6/Wudtookry1QAe6pQCyoyxKPsVPZYpwVEK4t2Pv8PcjNW7h AWi1IKEUOLNrRI628lPVh+CTB2Lp6ZEQQNVUZdUAww3ugL8kE5ybnbBFQVExibAHsF4YmtY9LHp/ qWGi7pBbeNXAimiE8vAgIRk3RcSyoOemoGQ42viULvMXs8LY7Bm0CfMMaYzPj1RyV3Bd3sfw684P 7KpQKMXNkCD9l0oIcC0HyruhNOk8jRg5DDejHRlmojyMoFcJyAUxN1JTf8MQZFLfEhMKn3yLLMr7 cycYHYwDas7gV4nBzuYl944DvZ0pKn35KAW5ltEYp4OVZdWqCVZkeRh9CBvzj7plKknSXPtSJr8D Rfsw7O3pFV35/NIxLCyrNp13EWIdlzX8DdSTcHQ0c9Q6nk7zWbdkoKQ2KBedvx47OLrhA6Wsc6Tq bmvOSPNWS/5rFr0XjP4tV0KKHK++P25jCIk/54Lry3xpZDQ6RH2P8qRvC9dNmdD0xz5Qt1VTnnEr ZVIGyTHfOIXEJTh/MiKjrPc7Wm0Z7K3ybqsy1nUAvdXIu3FifJGGmcJz/GN/BgZnP5LxEMOa9S+r PRTGoi4uMbKfOzN2vmDSzqrFwcX/9A8jmQDYvYvH7aKFh3LoXxei3WtX7BB9Aarhu8dBZ7W7ZDwK BUjppzQK5z/wYjd7te/lNTi9XnPPmB9QY0zcC+k5LYcjatg8iRznNVK+Ach07eiLal4c/wlMSKHY RfcSzBdEvGNJJwuvX5k0H1yI+a6KaU6ma9gpl+dSCK7zddgIt4QC+DAXLC+3XkPdEfsx9VXPocqD Q6r2JSwtHql9Qsho+EylDg8ROLAX5QpqW/o5fmyPSWSCANHcmmlSBCBoIZLWI4Pkx1baIAuJgWUb yUkZkaVcRw5tKYn6G/SkJabOemeU1in/vxjlI5jUdQ4PcIQSFiSgqcFSxkP7HNG+HMxzJOWc0lhk 0NAtMpalNAWEfQ/3gEFZF9weVmZr0HYdRAJzdEQZoVSZORBs9btwy65nTbFkKlVaxu8pT6YJ/azv fdSAzhA9DI4maJDv8g+MfN4uDQl2mVjC+Wimq2r1DLHr77iefL0LoBJt7+l27AxajsVbIZvMifVL MvSh1fpvw/EFHA7H99RlQ1bwlOwP0MelxYWcc22M2QoV5x57wNUp96IndxouSOvjsiyxHNe1lHMf zscNQ9WrILzojPzDlfB4ze5Jlx+D+O4AuqKn9mwhUiJ1xPKrUx3WWpZAoc39me+xvXUFfYVDL/9W YlV14an2+N8BPt+0+/r4LdkMferaayX9Lta14G8FXEGKPCiczxBJabxSSFpHI9jCyVwd2vCpkciq bqpHohi/BNjE+r11gE/ywAJUqlN+YbC4y1DgFV66WcYfOlWATt9tcvtWlhL3W0ZX5LTgD+t49kvH MhwU2BjooVKGWhGKYI8MHCfJ1FsNVgrNCh3APrWfoNxsPA/xnG4S+5quCIuZiRJAJxvXPgWBHruV JFpog3D/v3R0ZJev8cDtRT0QQceh21QieC+51cS555jhmxKTfq21m9g9A6cMQlffHJHVNN1l6Dun ppw5xc/bQPUoqpCvWG5U7GtIxO3MD7SMEAG1U0pmtLkLCn5X1OabbkOwAyBuFP8cD9ZvLcjdsoKb 9eP3LGmamjeIdwQExebR1zY9AgxNOa8l+NJBHDh0UEZAhkzE1luSNB9+XOAOE7SDZtUfuNJKpRkd QGmOppg7Zl0/ukfIQrtslVikmoworT4Yde7Hs6m13gPnPUW0Wkj2gaHtR0kWAMRJzFlUeqHX6Lx/ W0Tegs+Pc6uR/ghd76AOl5jB24xg1QtouyBQibq9UdlIJqDAyzIDYOrfQjXhj7VKQNDusYqHDh4g 9FiB5lWUm+0kHlo2TL0IXbaGonbUVoVz3x5l84nAY2/hkCOhCcxBGn4F8qGeuJF3aKb+0nKZIlsA Mdvgsbzh2WsBYy3OwT8cIsnDRI4KzaIdz1w4KuC2tzAuTK3z4fgAJF1kRG8BIOgnhGg3NpUb9ocU CKY47r32s+/gCTUXSxaSwbzNtCrOEzigYQvrdTMbLMHziYoEtPr0chWxPTPiXUrBoAIgh1c99PGy 2/IBO2osVvqu0Z8mS4UtsJjDbABNuYi1kdCGbUIg9LWK9d3R9JHLv9wJC/zwRtTm0+JjTI3u4FC2 dqfb1qsovOthyDAv2n8M4Xy1uaQLDtSkCamSS7B/tyGQvu4a3sMAwn0RHr2DIB9qDcyiU50KUPSt 3dHgg+rLWIMcD7vkKH90jZeWZiMeIBf8xgT1uibBpb3NvMmQXzhlbXI29VTB3SkImBIhrZoBgUtn ryFHtwebYMpyCHrLN5daZHxLLe7iThh8ny7HBf/9efBW00OX72l91Jihr22SJ4nutQphC+va9nY5 k6rrTFylkm6RawWp518XtI7FcrPluOjL6GzWAluUxEsm/wnM5802AHcpobdfjuuyLNWNeeHuVaIs AjNTVkEB+v4PbeOvkWqaNIQycqIWeHGxzUg8a3Uq5/GGaNwuPCES0AUyyBT9BC3v/bb7yVWKAjdM 8pb2kOgUPO34GrwW6LxTu6inavea5KlcUJmfWm/yFB/oHmfBls6U1aDFE2zwT4f4WVw9mOvNVd1Z I/IZdQGeq2pOQEQJ2vxHuHFURSUKSRbP4Dsjqgmv/hj7Q0co00+ACgkUPSVG+jF7Uh1b2ZxrQFuh AT+8nYxlBH+r97cOc+1jJRFl2/VziHp839456itXCTv/R2eu3Y7KVBsaNxAN0VqbD5N1pFkQMAGu 1PmLm9W9En17cX2fJ25nj7yNgjL+LMg6NOwdLfpvpFzD8jfB7iUhhWqrK1x6mK6rOugPxVDZVw2a ON0Vf4k6j2kUhrGhjG/JT/oGiogJHw/ubWmcskVw0YsSGlzqy53qyuKgzyxG57CYpPi1ARaTdmEP eFJE5jJP2RZ9FKwzf0ogG5TB4cvJzVxyULeijoEvPR4VZzJYcXwqQg9P/izRdINqyQZz2QTliJ8F +zcllr1Q0dlVRXWFtzqnwAiXoW3wXpy85V4NWy9PKhqrYWxG6FQFQdj2DKKTUXzAFS/urrcqw1J5 U0H9KqEIoGWmQqoha2CSNR/ZpBQcmxoyX3iy66A4ao52cDkzumVUf9DQFS2fP2PB0LCd5feQdmbx zmUFFHXXHpF2sW5Hyk3MJC9sUJ/skt7yHHLrCz+8NpE78yDyOOClR6oPTht92Odw9nW2iBAC1tFZ NpUIOPp3tibpeaIrDtvEuAfT1umEtPQK67L1HJzdSoqnpQjzWm2qSQZsDd7uamwJ3cotn7M624+9 FGkRtLyKnnzYbI44NeFZQPK0pdfXnHNTYVrQ5OUP8qPdhV9o2PH+ay21EuBzzf77VV4MBiv+if8G LmksnukjyFYPQMH+OjWGRj710XO8OzNXN0SQ4Re3e1omqgXJKn5a3gFABmcpUKPpQvBukv+5sDHs jzZHCSIkHqTSpE3RSW2ClDnOrKu1PU94YzYVp17wKoad67HsDNRGSH4fMJKXPw6KjWd/9GBXz9fW foZh+yMN1tA/8UT+wWCyyojELssK/XoHEVeWVF2kNcSgHqynyVbMU6C029LmZ4O6W9cYAVjAY9XD ZfoZTM5UoCu6UOO7Gzda2+IsUNwXR/hcm93uzX3lltcEhPdd3KFvzVjLW57MTYO2OpfCXap3uSzQ leBeb8vfXy+1bA/tObpCFz8NuSo53KuccDaTmJrgLIEw0g1Yif4kQQpyP/KgLLaAcfT8yE7bYLv4 h+Q7mht4gkmGu0uirFfsjj4h8WKb3GOqiWuPYmz9/gGhWn6wN5MFoRcs//M1qDBUJn72waYxLi5o WqtZE8BoFRs9hQQIUc4GYM1A48Du5JK8A0choSf0xqfYOK7n8aPOJ3ITq8t0PsgYj3Hgfnqk8k3C kUSKZR1VwU8PRLb3GO/l86TbpGd0uud6YSnz6aeqSrmryCNOjWeNn5s0gANB0zVCsdaEptuidGc7 kIBREIvPi+/t0PjO9l3cP33Mu7dNP/IBVkXaiockTDPi6fnJar7/9sKPGPZpUVvo8v3BLcspzYlm KmNw+CW41FF5j6L/ieHAvIj8cursKeslD3i8Mnxn3yVZ6O40KIPBGR+UtKGSZQ09JhVOvffw5ytX Lfy0yFS5jNWkS+WHhy9Qo40mTLoWbzPByNotrKKLlFndmJXFs98YlKos99wV0xVp7c3wJtSTaK8y Pj1H8ZC0ruKRQ0LGyVGagqGKgVyg2uPdzMZCoxlR3I/9ZZmhS+I2wcFFPWOCMgF1J5T4MlwUv22M dEpRdA025eWQVz2Do4m5pTcu/oh6Bjc45uokPKeCfQWp2BKGo3/P01c050RANTKufZdD7BH/lDFQ IYKSfxEPXTuyJxkv68GwzHkFKmKvI+9cX/oG4Cp7eqB1ZV3rk7EiOLI0M/eMUsqk49IZfZOwLoLg W7XneVqRtClxRoGX4y4X6i/1pjAX68H9hBcSWu68V9IYhMmHpz7PZAfWG9o0e6rjUdkdOxXe9+Ml eugLhYTQqpnyxdTDUameEJV92vSy2nKn3bOGH44UP2AxzUHtcQ8R49OpZaxNCe0CfcybalrwJlCW 0mrcE4xBSWVFcoie1lcrTwmn9Ee6/H1EYW4OCfQy9PXgEjrRaIEwfW0jVbxiQNn/9i5ZLt2hnAqg 4Tjo/TJNY+Sumg39lZLocH/mJRzF3ktPz1dbxDA9RUESIMqHpBAhvTG8fzuH/FLAFa54O3uc2fgH fySLXpIo7g4HT1v+qtI8PIb0g0nv7Sm9pxRRmdIahkgrGwzR6vG2aTH9QuTEnwqV7289AP8AoHiD k0yDDb6vC9rHsumsL6PENKA5+FRn9Ui+k6UmAKMog2pojjVlgKCzyhfLKtrio+2LEZyKDQ13DugN eQ83ZR4dnqdviyaasRa+sEK4aNKyILdvBccKf3DSjsYWoh8jLgxBabeLeTgP+QJMQ4LF8MrGGf/1 AHSvTsCr7zhmHN3fmzYy4UERj3jXiP9Jmuhag07Wh6cY0/2qykdgasapJ+KdfJtIfdIHq4IrmjPI TNREJkx5akx3Nyn7Hm6fn9IqEVJB7yuWYOOymQW+SPzdXtv6k3vwTyX5ZQ1g7rFodzgvm6goCajE q7Lr96vBtXCABu68rhNU631S68gbOpaMqfGgqvRqXJYURN5dPcBcXuaaEjv8I0tfXOZGmVr/RDyc l7JMumb4NSUFLYWDj5BbtRJ7npeMU0uaoKKVB9J4KFUHCEIVMA88z6eTr1D6arey0oYtwRZSMgQg djaBM/t4W6+CEL4De54+M3Ocq1B8+d1KxzPeGuSRayVVoUZkKYa8CSm193vRCYtMW0gquqO6kmhu ypZD9gwLVR9Xiz7AwfA0vp7TjfQb+cRonYCS/2AlH9efNjGkUMMaJORZXAh+TVJhViHHQ+YjLfLb jlpIEt6OJHuVAHBI2g/jOhmajNSGu47yaDUjj5vwgUzVdYBrM99BGkmz9Na9bYx+6LkRyUOGKO4Y qXMYTo05YEOpL8zljzNku36PHjqdThgeEIkm3tO4kZUoKZhvdu+T9LH0y18b3V5qvmuAUm42U+Ba CQDtzRq8I5aha/EIvCstDjbkT1INBPqrJZTN7uf7QmEZqtBnOcZ0APvzZUO91VcD6f1xZVYIGIPP qa7rU4fiOXw6vkZ1QhTOnQooZdKj1ZBeeCpvx/oTa/CH24JWW4/RLupZkg43AopmzYCX61c1irpu duXqGCTK/igo36h/mhQDY90yNlvx5yEXeQtw93BLU8Y96Y7oqYwXaxlZFWIgBM2JhhdBk/Eg++kh BYHpzRzBf/KgSqEafHIt1OhFv/IT1B9xLn9rryJfqtc2i79D5m0V4j5+6A4FXpQcqeobYwDSFVw5 6Fgx0TMJ8J+htVTsVHwy3oSF879FHteZSI9CzgDjdzoXegXmCcDNXpkuh7IpVpIslsf/0dkkgiSf l3q52KD66VJP3C9tdwT83ksT1XnDdA092jQqi/ky1kFbBTHHWHJpRzX2A5Nrl3smbR4fZWyYyHHM 4CYxfMlbIhK5fUVX9ET56X9wXu6NqP1rsW6zjDEQz7FRTgYqCwdeYNO4c9gBWM5gRE+Y2OG66Oyw 5Ly9u0HoRB+VCV5/gOGucpW5aJPEAlUiL7iDC2j+M2oTe0e6wVmrcaW3WTC5hbWe7bpxcsgYx3+T /dGiLO5QPwLJo2oUgEEnf7jtjsxhmr8KOtYu+vWAAxS9i6eopKv85DlMpAPeV4sMw3hODYI0nZRR fjbiV/4XrWL0wy8viPIzVcFimPwydel/ksY4jvE38jnTCofK4cAD2RJVIhTsmPcG4S3RNLpc90Pw /UWl1aeT6rfLud3o1rU0J8dUxIGUFy7BiXwPuvZ0SXOlkZ+ZxKElvYWlbsSpDxZC4GYYGQlF37ds mdYUI//wh56rzHwe1emz6aUp4uki+oplUnOIdAoPzWO1eagsQZ8eEZG9uBjYJ266Tc/JXdAJWIHe bb+pER9mufZQAmw3ZQGHB3lDtVHDGKP0SIS0FW0//sSV0q1vCi07cQdvYl0z7gxGxRQlN84Qmo3O B+y5gnGrDb/daqsyvP6rRMGNMDs7MsddG3/maJuCascY/iBGbh2QThi05oQgP5dQA1LpUX1v4AlF VOvKSTmrONMB19pPN7Pc/ozz0BXM8Tj5//ikIipZHE8ar+56TsqyCFfGGaScc3113m/NiSxIdFNV qPzTSAe8ebinFZgKvK997OWt7U+i+ejDMhiq1DVOtsmUdpv092q2KAA/PC1NQaXGTUF1Mf4jJhcS xB2gn/LZPIP1yGQypyPvJ9EWLdJp1dWM2vdeecUpwC3XS6dmEm92VYEuboiSHSpo0uSm84Epk681 nygRi7EBFWNOCzfVtr+r817ugGCHfV+OW4Vvx8gY41e1FD9jT2krxwkZZNh1JJtLEDgNTWzrvpp2 0hslGWvE1jMOQATwZyYCUctFxgj0ONuOeFwxl6eyi1KBMy08GIl8l4VQ27lbc+KvyQ1EAp9RECRq kVR8uIi+T6VdDnMUpyJ4iBnl+4hA/fZvP+MUxguKJn2UN2a8vIH5YPRDGMJ5yRTJpamEz6WZDc1a J9zVWPiCilFe67vA92G5ZIF5SboeiWGIFvewzQ5DcKaEKVfHqW6v/VBsbgJ3VW2kWDgFK0+0P+3U ZqNTUyIZ7Cx2pJ3UAG2nSi8eRRCSwvQ3K/HE0MjWJmcoq1o7Yr0b0iXIVllk/FNcPFpP9OYFwFk9 usCiEsUYGv/dzwmmAoigN8ckoqN6KUhbnI3+SWaI6NxFblbwqElliHWsUdErYISvCWnpNiDE9TFv E9LpA75eG8EbH8K/KUC2AybsldKnqUCtmLTf+U1p65ooOpXxznzsyjDm6SSm/fNw1Tecy6eUH2/Q M7Bv+y7WDwcy2619IiQ+vF7ogBaehvse0qCqv5opmZSHOanAn79AXiZvA8gCsqREQYb7Clu43ptA 918gNWNyJ/suOiUqcji9NI6G2JpQeSGOuE3f8i6iLtUXkXuzgzs5RSYsubb9ypFJBE64o+oKdfnC iT+hYAthkGEJwwCPQIjopUOGBDq+G6QyWwt6njD7OrDrjJZogVAZAHCiBLBBTFuIeqj/OhgFDkYL ETYm2MGJaRfqHEyoWVwQnkwDXGpXPmqdE0U/FuRe2qPmf2URC0OW2CYVh950ZUEFvpzv7m9nMi4a Y1IGUKqbawbxKKIgtlXBhnXwOx0aUIMwQnQ17NWEs2KFIz88oKtd+2BwxmB41FWtdtS4aHyBGlPe VjjEpUdE+txYE+lhYKSWo+DEtVw+MNRNTkTnxr4dR5g8qav0Gw9Z9Ju89UD+cODmFrDZFriZclTR UXwZESGqzKcLUhVS878bcRCU/MQV1SgkInok++XhvUCh8cNYrJUNj9Dd1BZlCV3SBr0wT9P7wj91 G9lLNZ3iA7iaTauYwm84UnlH5HLnnIBg2gtBD5pT9diTyh3wB26JQx/FimEJKeBxpAQT45pEWKkU P/lbZyfaAZqKQ+ZIpAy+STidfK5d04cqIgkxv+eLanrOAdLhOgDqsJ60LCLMJ/E9yIP84h26Fjwc 5PCy8Pw/F/vzXkzMT/w1axIdsr+TKkral9NmgqWs0nDs5Bl3RJzy6VwTGt+c0DD1mctj2ko7xUEk H29WMMyfdWoYEPFBvum5qaeDAQJBheYMFsXAlIZqq6xTcMQM70nhpK3b2wZb3tT2A0NGeU+OScf2 ZjWVWMgyYCELrxtolYYrOD7JL6TonczWCIn/4+YDHWOLU2AKEv6QeS1rWmlBrK3k0tuYdvPvnozf 8br7PYaWuaHdN38L3ZCLu24JB+eyrQzaU32DExiagBMSqNL2Fj8pG9tZD0UJCYkPmJZ3TuAoJICx AdQ1pNuLq9VYK4fyRDP8SFUX/oVcV6Aufj64SQDggAlfXy/YQXFPx0e+4GKFOZ3j8quY5+sW5zUS jZjDyf6gJMxgsOWgOdECjvrjD5SigVeusrhqJbyTwg1OwVbIDBMl2BT73ufJSXfPrMi6UnW14U8+ mjVM9CI+nmKP2PoHgNYeY7y1W3mPNWTMjHcBJa6NSrT+BFODdGmtTVCPrkUnKiq4/mPaOazGfZrv JuLthllOEma5uHl0zvXKh1hu0JjBcxEOpp5MHcW8WWoHS1GeURQVBeUhtqGZUMO3akS1MdThzkT3 3jVvVet1AMckfYlKrjuxhZzF0u7IIYu+2tS0HXZ0t4t5rWRVLWY2reR6VA65OB0JpUPLuXDphAog P8QY26JuCAq0N84FU2dk53VxtOTsZ9Z4YdoM0t8LbKzFb7nPqg9hwDMaOOO3lQJgEXdOT6UGGJTw hFoA/51tCjp1Z22QE+VBQBK17wSnQn6SBhGdC/lHwegUjz4sgtGrb0wKkBGDtWtku4iu9BAAHz8T T3QTqXUvZrOqi/qQMPukXtdw+oMNDOkXLnX/GUSvYIvufy1Xb24JEcxyhVtQZ/9+HkNY6Br1jsJZ VM30/kogcj9hc8smdaKSeAjhJuh9Re4SrtOyYahdQMNMn4iU6a1OJhR+zGhS7+ZNON4yBdlsECXI Ec51Z1ZUDB7yTnSGlDfucxg7qnno3jDueXR5qk5sJJyqTR/QjjCGdzwEx0ydnEKxBzLUE0ok/2Gx ytCUU2fi6w3tpkjQQ9k8T9r314bfeechi+zZ7g9zJCtnZK1sZ+QZpSOviC5F3JlmnYki5AFpcDy7 dCCoO8eaiMtWMAdrJ9cjDe2pGQVFhUGtPaoc3mK7K5G9f5x3jTPZllz2kviLFSknI9EFNtTP6rYY RELx6CNtaefCKFbXT3eUeq1Ksv85f6PZihHzrwHn+Ki7lOa0NLnc9aTTZyEgs5wBkt83V/ApdAHp dllCtPgu3+75XW3QCV8nxkaDiP6oUuR9yfRd2KEzZy6Za3aGMTpEMKcQjq41+0I9JxQkwhCqm3qc 2dHfCa3M16CGOqpxOL7jvTXFg+9ZiQZGUkuiFQk6sxme4JiKz3mMu5blF0TZP8+2E8NM9boU20vA RyBVPtPAwU8wEBNkW71ih5E+mU9yn1Yjm+03s9l/HEo1SN2sexfSx5+0yGRyKlTHYaeIfey5G+dA t6lB/rKEh+ctkH392J6O8KdqQm9KgDMzIL59sn9fMsw4Kad4nStCQkxWHVfr0jn3WtUrD2brLepy 7I+qUoPtSBIZ6MvHBGEBG11iqwFfPu3DX5F4/wwRwGXuSSzlVIUlNcViVjEQBUSjq/sA/sktyZNN 5Ickfv4kKmJcJERY737KorYi73g8ArscPiqJSK1QlvaxuVpf6pCESQ54Rxzu2xwnlv4IDvdaY7e6 QqdQeCz1zgEGcTAPh8nu7BUZBKA3BY3ildmV4k1XbOSDyv4MSc/hQ4mFcJ2DmJM7wWTMrQRojIIw ayt8KtZ+AD1/LcTTtjUVsTKETRRQkuUPsXacu32C712aiDwAFajg+9H6O5CTsNcnoCigGbjA/Df2 aOOR9Ce8+sHrLZ8+YsvawW7JbVS0VE3K8NbazlW4BUKIg6+po/QcDFwiEETzZ+ZlKzuLDpe//Pad gKxALNaH2ZvCbEAyJQXvgJL7mm6blW56OvO2nNlqmmuTK/EhULC6l9BYvyixqF+AChlYb3cY0XlB tfdSqIfU7rrYSOPIIvopdudf9rBjaQW1npSlPGYGy4PPfLMulL+0faS/v12U0W0XAJ1XgMLKACH+ 2BApJiB1nOMZ+lQtaZHfbh8uCFrkKLCTS0Lc86Ssj9ViTzW8qoz+xe0kzMCvQnj77lna1EC6cqbW ptZ12Gc+MsIuMzGl6wVqQFy9ODh7lFM6ZOLgIhWkGgL5IsJ/b9RsnK32YkbL6hNhhLhj7E6MWWbj g1+onoDklbcVeFFjv5oLTlNGy6SowGT6Z94ZBYBoRC8GpHUw04Ognw5w07/thVpKcz5M9b7/7rmG i0uxeIBY63IfChsN2yBo/ZKX4vAE8pZqcXfYtH88FBdW28nJQPASqET3BuM6Ooz7JkZQndZuTPJ3 yjQItmv59aCJnQSy2c/KSDkkio39h8m4mkl6UDsQJ0Y1QZPFaR49dHVvffts4A6v6ESHJniy+gEy JUrVVqs6cCukFInFqCvo4fKYP8E/LKPaFTtSlRaqxv7DIncdp6KRp8gW9F7RUFJpK2VkTuGuRV5b 4ByQFmxKnq3pSj7D/hqaK/D2AIlK8EdwzsbhfELuKXhaDn3jeAalO0GNNec/iLFXComtnjQ3XJB1 B+yq197+J3x6bMONYKcLl+5V2YJOrXJqQajLhrHk8FMngZJaXIzWAigcJq3Yn/Mleu4rRV4tWMky yY8nSJQHhqlCUj4Gclnor1o/m5/JcFURJKfJ+XlX5nRbNRQ4KFWvIh0rDjggr7lNHy2jvcrfxZXu ewWObjhomBTcjfoYJ5M2OuuuJYEq+IzNnYVPbKkjxIXCcOVEnSL8tasNpkIosqMey+EbA2SisNYT rW8XMNJGjttKgkKTtRpvVZyKg+7aXRjFpSV6cF+xzDxtqB4/BMFjkD+l3u3Tzy8hj6GGIqy4dTbW EDrD3WVxVbGwam/6+TjswDKPtL0VxPpVopHJu3bpLsVI99AaXeTLi4x+zuqswina0mOQCCmelgm9 7t6uOTVEn4RqtO+9zSKpKWEuvjC8IuVyBdT20g8g99tJQQRAwKUyUBORWMGuuMmvIAmGhfY9TyZH UTs8WN6sLuQvp6wpcS8/6rbtllGUMXRtb4jRTg2NdrrAaXOZBTDPUCmSd1vS6PU+4tOfMJghfS3a j4J5xaFYSWVp/Lg1IsUqPgIxiOFo4GMT3p+5ESGqEwsSjciX4Q2QitExpo2Jpgj0mQ17g6HsjyMl bXPQjueFGj9Xl7prQ7PcwEkptRY2JXm+2ssj+gg5Li1IEZcCJc6CEcaHHQUm2cCHK/xoeFkcql2h W4dQSnmKEZg9AvqOJrDiCijGaK2pamwxYfCaxY8CMH0exn2JaslcAjoYnxkeu8vlL5CaaEpz8XCs 3baWyeuEhpHZ+uED0buruie2MxISzDy27g5WUAmA3IJJvDQejw6UnAGKIQTdx2OLsxN9ctX2fzyt 0lyo4crWpyQxnDBELKpu0wXeItSESBagyl1EEwIqXIEpEL9usfTI9tUAQjjLrCHOEOHTIIKAU38u oTOh3AMaOeTm0SroEHHTdcMKQEByDjLmX+PocoNYyB4/Y/A7JcpnDC+tsMjCkRAMQuKdJfyhb/h/ 7wXxbBs7bkn6bLzrks6nB3aOX2mZMGFB5HzPBoOmInFMmUPsns/3pyROzBP2qHL6jaAW13s00LuJ VvWo9GIdOWaab/u3vNDar8xwUb/QFdxjnMpuldMJYm+t+aQrTh78wWQRxtgVFtrfrB/mZk64DZQS CV25G143EhuRmZeZjrCF5tjdSsZ6/Ky5GgfS7VoHEIY3dKzvM0SbSpnP4IUPL/1e3tBYj7fFTXGr HZrBMf/xH5jLvDDZC6r+hL8LlmGsE7QhiVK6mRomoVoNSVXk6NQZ3N5u7XdCAx4jm3cjqMKsJxuE HvVkzU7ZgNfwhFPRgX2iLB4AFEW4YWmeWrVijo3QwozDBkBFbaL8N6wIqn82xEPemGUYvFAIFxxh ByIxZ8NrfsrZ2UROp+8fCuOL1IifZAUZMk8cK70Kj7XV2oS9FufNz2Q6wD3fartzdPqsnZB5evGk owEygC6oyazz0Tii/H6GR+g3dlxF14o0lxx92VMz+EHItWPGyqerPHpPYjBN78E+sopoSplEdQZ4 PjA9Gdkp3y5CZMUuhlIQIJSHQ7KwBV2vdQsx9ZI90WZGfFQQM4Wt2fyjcYhOL/8yiWHjrijlx+Dc W1cPqtKrUanRKSaGYnxd2cku8H0VlWD7Z9ENDU3TtEAz1MT4qVX8FgZC8Nfq6VJ2CzpgdWheZalF 0Gzc8XpGJFFF3D4ubD3jurZHS68+EdOXHaCdwf65Fsl2Hc9qwgQLLRB5ol0GphJbv9dosi2plphn njarFxwIwY9s6g6jE0DeWn28vHXGa9jKQ5ELMmPmvPsqHl+hyZLu5ZZrccQT6AdHtxnQASNMl+mv xLvYJikeiUzY3wdQyHqwTx5e3CulL2L7+kVJL+y3ACGUH2unkcF/iRGvkNIA8p/YhSgQ3HQXmmqY khjIDPXkLoxJyUos/b6TCHODOETo4DYJWS4gd+o1nUdgKcTWy+AVMogCNu+MUpZnkbcBfgtQymGe UrCh9fIsdenXpsAQhkm6SEo9nfJHh/a/Q+h04053cSkLZ9/kxb/3vIRywXo2o3lNgYFMvYNpqeNU CNvt4OnDzsHCtzDdN30JaKLkbKjzDmCXy3A3RErqmAhF7M94ZOkzNvI7bY2Hxabj9DSwlHCvYn0a PcaAZ+9ZLI+UY9B0q5u2pwiOVt/Wt2uQqnPDtqjgtf5wL8g3Zi9Y7Jne2s4I0ugzQCvZ55snVMvk KvVMrHdOWQpOftQ5W2560llkhuvs7tRJu5Zr2j/6KCuYBCyH8sf19asomsw8iUbqcKRlYe+dilrE 2xOxuWCc1+T5W2Pm9Z8jyNpJTppHXCQrkRKquYOdohE3CKBhTBE2C10d3io7GEstOfuYtwLYFm/8 SzjvZajIxqHbvZeoQ4gqlQz4dOukx+182IO2jihfAZv/UUMlR82j9HCoHPU/YQ6Ejs7d20wwX1PZ Wk0tEL5JLSj5rTtzqqbnw8MP7rySSJ52MfyZH6Dj5AKaCMCZ7gj5Awde5GbDJN8wUHaZSxZ+LlA0 ixN5BpbE+HDMrJBsTP7+PmpMRMTGCzRAAjdNerGAlD5Ws6PDGJK5qJKK6VBzE/PWzuhf9e9qtOT3 561Fdh3HV3bREs9QQRU29q/BQ6MlnDzsTuTPVL6/VDFnIAHp2DUv6yrhMQkEu5omlv7A3CeBnx0k 5D9Tq2tGUlCPEgIBXcFpgXD0BucdOU8QtfxLkV2Dw3/YP3IlfMGTV/uMSrmUeTY5SblcrJVERw44 nV6fBeKciubv6BiaA2UdXRRLmwHUE3tkwnOhsbuliXevXY5BYuaAt6UYOYi7kXY7FOLZirJdAALG gnbkVostwZ3G6Q0mPX5sYSCBEx1dFsKp0EnPN806PAWnE2DOp+RUKyTBd8cutH5QLYDUAOUgwQBL buXXTNGKoUcby5Vk9BLfAxMzY14baB7yUJc28Vsa1nSOCqUbmpnllXOBvMJzMEqRB+4aQZb4tQZK q6Wtx0OYz3odDBIoCBYZlbVJS6L/0A7jJjI4SnEhZInzI9I1MN22+XwyUOWUKGWJ3cUeK+Gm+kSj miWHDl7UzoeD/sHgkMZLQ+UBUHRnqeIhDLLanqbonfmgeoGGFflLKpPUG3++h5dByzFtz74WLqHo LI75f/omfgyMGWkUHh7oD6vU5lDvPZBa6UFMwzhkjvATib+XVFjXbB6JVwCkp7Ue1CikuByFT8s7 hV+DwdYLGOyJE2oIjtZ7nSDuZbEl53xdHObd/9ozMZyaQTlCsDuKAD++pIqtFPDVpdMdGEwrqqmS QIZAKP6MBVlsKQvos/6wFF0SN1KZIJTELgBs5d39vOtYOzO/Vc77yAMhfaJPcrgBXbeMi+ts7d2N CiAfzDutSpn0KieWy2CXnZFXAZKd/mmcXI0fyEZidaa5GZfcphwYDX87RewdLCCHJOum7WGflj7Z rDlSMhReMeK56ReplXS7QwQV4Pu1akeNwCpMUlSfyI26qzcA9hQzpqVHBOPvU6DEeA5z4GAKTKrD KmKbsxv9WScUJD4b7vCa0tt2ygTK9C/zVlJthf4Gss+0cSq7pkuq/ggczdrjZGEAZtoIPoV7wI8L 94/mygLaEEGtCumNdqin/GS2SgszxMTyZ2hRFPA8+Z+mvX2bsA== `protect end_protected
---------------------------------------------------------------------- -- brdRstClk (for EmCraft SoC FG896 Kit) ---------------------------------------------------------------------- -- (c) 2016 by Anton Mause -- -- board/kit dependency : LEDs & SW polarity -- ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ---------------------------------------------------------------------- entity brdLexSwx is port ( o_lex, o_pbx : out std_logic ); end brdLexSwx; ---------------------------------------------------------------------- architecture rtl of brdLexSwx is begin -- polarity of LED driver output -- '0' = low idle, high active -- '1' = high idle, low active o_lex <= '0'; -- polarity of push button switch -- '0' = low idle, high active (pressed) -- '1' = high idle, low active (pressed) o_pbx <= '1'; end rtl;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Apr 18 23:15:16 2017 -- Host : DESKTOP-I9J3TQJ running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ bram_2048_0_sim_netlist.vhdl -- Design : bram_2048_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init is port ( douta : out STD_LOGIC_VECTOR ( 8 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"3F3D3B39373533312F2D2B29272523211F1D1B19171513110F0D0B0907050301", INIT_01 => X"7F7D7B79777573716F6D6B69676563615F5D5B59575553514F4D4B4947454341", INIT_02 => X"BFBDBBB9B7B5B3B1AFADABA9A7A5A3A19F9D9B99979593918F8D8B8987858381", INIT_03 => X"FFFDFBF9F7F5F3F1EFEDEBE9E7E5E3E1DFDDDBD9D7D5D3D1CFCDCBC9C7C5C3C1", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 9, READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 9, WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(13 downto 3) => addra(10 downto 0), ADDRARDADDR(2 downto 0) => B"000", ADDRBWRADDR(13 downto 0) => B"00000000000000", CLKARDCLK => clka, CLKBWRCLK => clka, DIADI(15 downto 8) => B"00000000", DIADI(7 downto 0) => dina(7 downto 0), DIBDI(15 downto 0) => B"0000000000000000", DIPADIP(1) => '0', DIPADIP(0) => dina(8), DIPBDIP(1 downto 0) => B"00", DOADO(15 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 8), DOADO(7 downto 0) => douta(7 downto 0), DOBDO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 0), DOPADOP(1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1), DOPADOP(0) => douta(8), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => ena, ENBWREN => '0', REGCEAREGCE => ena, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(3 downto 0) => B"0000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ is port ( douta : out STD_LOGIC_VECTOR ( 10 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 10 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ is signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_37\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_38\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_39\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_45\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_46\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_87\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 18, READ_WIDTH_B => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 18 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 4) => addra(10 downto 0), ADDRARDADDR(3 downto 0) => B"1111", ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 13) => B"0000000000000000000", DIADI(12 downto 8) => dina(10 downto 6), DIADI(7 downto 6) => B"00", DIADI(5 downto 0) => dina(5 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 16), DOADO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_37\, DOADO(14) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_38\, DOADO(13) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_39\, DOADO(12 downto 8) => douta(10 downto 6), DOADO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_45\, DOADO(6) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_46\, DOADO(5 downto 0) => douta(5 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 2), DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_87\, DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_88\, DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => ena, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is port ( douta : out STD_LOGIC_VECTOR ( 8 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 8 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is begin \prim_init.ram\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(8 downto 0) => dina(8 downto 0), douta(8 downto 0) => douta(8 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is port ( douta : out STD_LOGIC_VECTOR ( 10 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 10 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(10 downto 0) => dina(10 downto 0), douta(10 downto 0) => douta(10 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is port ( douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(8 downto 0) => dina(8 downto 0), douta(8 downto 0) => douta(8 downto 0), ena => ena, wea(0) => wea(0) ); \ramloop[1].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(10 downto 0) => dina(19 downto 9), douta(10 downto 0) => douta(19 downto 9), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is port ( douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is begin \valid.cstr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(19 downto 0) => dina(19 downto 0), douta(19 downto 0) => douta(19 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth is port ( douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth is begin \gnbram.gnativebmg.native_blk_mem_gen\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(19 downto 0) => dina(19 downto 0), douta(19 downto 0) => douta(19 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 19 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 19 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 ); sleep : in STD_LOGIC; deepsleep : in STD_LOGIC; shutdown : in STD_LOGIC; rsta_busy : out STD_LOGIC; rstb_busy : out STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 19 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 19 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 ) ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 11; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 11; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "1"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "Estimated Power for IP : 3.9373 mW"; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "bram_2048_0.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "bram_2048_0.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 2048; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 2048; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 2048; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 2048; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is 20; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "zynq"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; doutb(19) <= \<const0>\; doutb(18) <= \<const0>\; doutb(17) <= \<const0>\; doutb(16) <= \<const0>\; doutb(15) <= \<const0>\; doutb(14) <= \<const0>\; doutb(13) <= \<const0>\; doutb(12) <= \<const0>\; doutb(11) <= \<const0>\; doutb(10) <= \<const0>\; doutb(9) <= \<const0>\; doutb(8) <= \<const0>\; doutb(7) <= \<const0>\; doutb(6) <= \<const0>\; doutb(5) <= \<const0>\; doutb(4) <= \<const0>\; doutb(3) <= \<const0>\; doutb(2) <= \<const0>\; doutb(1) <= \<const0>\; doutb(0) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; rsta_busy <= \<const0>\; rstb_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5_synth port map ( addra(10 downto 0) => addra(10 downto 0), clka => clka, dina(19 downto 0) => dina(19 downto 0), douta(19 downto 0) => douta(19 downto 0), ena => ena, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "bram_2048_0,blk_mem_gen_v8_3_5,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "blk_mem_gen_v8_3_5,Vivado 2016.4"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_doutb_UNCONNECTED : STD_LOGIC_VECTOR ( 19 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 19 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 11; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 11; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "1"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 3.9373 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 1; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "bram_2048_0.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "bram_2048_0.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 2048; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 2048; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 20; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 20; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 2048; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 2048; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 20; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 20; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_5 port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => B"00000000000", clka => clka, clkb => '0', dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(19 downto 0) => dina(19 downto 0), dinb(19 downto 0) => B"00000000000000000000", douta(19 downto 0) => douta(19 downto 0), doutb(19 downto 0) => NLW_U0_doutb_UNCONNECTED(19 downto 0), eccpipece => '0', ena => ena, enb => '0', injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(10 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(10 downto 0), regcea => '0', regceb => '0', rsta => '0', rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, rstb => '0', rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, s_aclk => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(3 downto 0) => B"0000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(3 downto 0) => B"0000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(10 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(10 downto 0), s_axi_rdata(19 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(19 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(19 downto 0) => B"00000000000000000000", s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(0) => wea(0), web(0) => '0' ); end STRUCTURE;
-- Top level entity, MARK_II SoC -- -- Part of MARK II project. For informations about license, please -- see file /LICENSE . -- -- author: Vladislav Mlejnecký -- email: [email protected] library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity MARK_II is port( --rs232 uart1_rts: out std_logic:= '1'; uart1_txd: out std_logic:= '1'; uart1_dtr: out std_logic:= '1'; uart1_dcd: in std_logic; uart1_dsr: in std_logic; uart1_rxd: in std_logic; uart1_cts: in std_logic; uart1_ri: in std_logic; --vga vga_hs: out std_logic:= '0'; vga_vs: out std_logic:= '0'; vga_r: out std_logic_vector(2 downto 0):= "000"; vga_g: out std_logic_vector(2 downto 0):= "000"; vga_b: out std_logic_vector(1 downto 0):= "00"; --ps2 keyboard kb_clk: in std_logic; kb_dat: in std_logic; --ps2 mouse ms_clk: in std_logic; ms_dat: in std_logic; --debug uart uart0_txd: out std_logic:= '1'; uart0_rxd: in std_logic; uart0_cbus: in std_logic_vector(1 downto 0); --ethernet enc_int: in std_logic; enc_cs: out std_logic:= '1'; enc_si: out std_logic:= '0'; enc_so: in std_logic; enc_sck: out std_logic:= '0'; --audio i2s_bck: out std_logic:= '0'; i2s_din: out std_logic:= '0'; i2s_lrck: out std_logic:= '0'; --i2c devices scl: inout std_logic:= 'Z'; sda: inout std_logic:= 'Z'; --rtc rtc_mfp: in std_logic; --microSD sd_dat: inout std_logic_vector(3 downto 0):= "ZZZZ"; sd_cmd: out std_logic:= '0'; sd_clk: out std_logic:= '0'; --flash flash_cs: out std_logic:= '0'; flash_sck: out std_logic:= '0'; flash_so: in std_logic; flash_si: out std_logic:= '0'; --sdram sdram_a: out std_logic_vector(12 downto 0):= '0' & x"000"; sdram_dq: inout std_logic_vector(7 downto 0):= "ZZZZZZZZ"; sdram_ba: out std_logic_vector(1 downto 0):= "00"; sdram_ras: out std_logic:= '0'; sdram_cas: out std_logic:= '0'; sdram_we: out std_logic:= '0'; sdram_clk: out std_logic:= '0'; --expansion ex_cmd: out std_logic_vector(3 downto 0):= x"0"; ex_dq: inout std_logic_vector(7 downto 0):= "ZZZZZZZZ"; --oscil clk_25M: in std_logic; clk_18M432: in std_logic; clk_22M5792: in std_logic; --pwrmng pwrmng_rx: in std_logic; pwrmng_tx: out std_logic:= '1'; pwrmng_res: in std_logic; --misc res: buffer std_logic:= '0' ); end entity MARK_II; architecture MARK_II_arch of MARK_II is component cpu is port( --system interface clk: in std_logic; res: in std_logic; --bus interface address: out std_logic_vector(23 downto 0); data_mosi: out std_logic_vector(31 downto 0); data_miso: in std_logic_vector(31 downto 0); we: out std_logic; oe: out std_logic; ack: in std_logic; swirq: out std_logic; --interrupts int: in std_logic; int_address: in std_logic_vector(23 downto 0); int_accept: out std_logic; int_completed: out std_logic ); end component cpu; component intController is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" --base address ); port( --bus clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic; --device int_req: in std_logic_vector(15 downto 0); --peripherals may request interrupt with this signal int_accept: in std_logic; --from the CPU int_completed: in std_logic; --from the CPU int_cpu_address: out std_logic_vector(23 downto 0); --connect this to the CPU, this is address of ISR int_cpu_rq: out std_logic ); end component intController; component rom is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" --base address of the ROM ); port( clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic ); end component rom; component ram is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000"; --base address of the RAM ADDRESS_WIDE: natural := 8 --default address range ); port( clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic ); end component ram; component systim is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" --base address ); port( --bus clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic; --device intrq: out std_logic ); end component systim; component uart is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" --base address of the GPIO ); port( clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic; --device clk_uart: in std_logic; rx: in std_logic; tx: out std_logic; intrq: out std_logic ); end component uart; component vga is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" --base address of the RAM ); port( clk_bus: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic; --device clk_vga: in std_logic; h_sync: out std_logic; v_sync: out std_logic; red: out std_logic_vector(2 downto 0); green: out std_logic_vector(2 downto 0); blue: out std_logic_vector(1 downto 0) ); end component vga; component ps2 is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" ); port( clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_miso: out std_logic_vector(31 downto 0); RD: in std_logic; ack: out std_logic; --device ps2clk: in std_logic; ps2dat: in std_logic; intrq: out std_logic ); end component ps2; component clkgen is port( res: in std_logic; clk_ext: in std_logic; res_out: out std_logic; clk_sdram: out std_logic; clk_sdram_shift: out std_logic ); end component clkgen; component sdram is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" ); port( --bus interface clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic; -- device specific interface clk_sdram: in std_logic; -- sdram interface sdram_a: out std_logic_vector(12 downto 0); sdram_ba: out std_logic_vector(1 downto 0); sdram_dq: inout std_logic_vector(7 downto 0); sdram_ras: out std_logic; sdram_cas: out std_logic; sdram_we: out std_logic ); end component sdram; component timer is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" ); port( --bus clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic; --device intrq: out std_logic ); end component timer; component lfsr is generic( BASE_ADDRESS: unsigned(23 downto 0) := x"000000" ); port( clk: in std_logic; res: in std_logic; address: in std_logic_vector(23 downto 0); data_mosi: in std_logic_vector(31 downto 0); data_miso: out std_logic_vector(31 downto 0); WR: in std_logic; RD: in std_logic; ack: out std_logic ); end component lfsr; --signal for internal bus signal bus_address: std_logic_vector(23 downto 0); signal bus_data_mosi, bus_data_miso: std_logic_vector(31 downto 0); signal bus_ack, bus_WR, bus_RD: std_logic; signal int_req: std_logic_vector(15 downto 0) := x"0000"; --signal for interconnect CPU and int controller signal intCompleted, intAccepted: std_logic; signal intCPUReq: std_logic; signal intAddress: std_logic_vector(23 downto 0); signal rom_ack, ram0_ack, ram1_ack, int_ack, systim_ack, vga_ack, uart0_ack, uart1_ack, uart2_ack, ps2_0_ack, ps2_1_ack, dram0_ack, tim0_ack, tim1_ack, tim2_ack, tim3_ack, lfsr_ack: std_logic; signal clk_uart, clk_vga, clk_sys, clk_audio, clk_sdram: std_logic; begin --clk def clk_uart <= clk_18M432; clk_vga <= clk_25M; clk_sys <= clk_25M; clk_audio <= clk_22M5792; clkgen0: clkgen port map(pwrmng_res, clk_25M, res, clk_sdram, sdram_clk); --CPU parts cpu0: cpu port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, bus_ack, int_req(0), intCPUReq, intAddress, intAccepted, intCompleted ); int0: intController generic map(x"00010F") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, int_ack, int_req, intAccepted, intCompleted, intAddress, intCPUReq ); systim0: systim generic map(x"000104") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, systim_ack, int_req(1) ); --peripherals rom0: rom generic map(x"000000") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, rom_ack ); ram0: ram generic map(x"000400", 10) port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, ram0_ack ); uart0: uart generic map(x"000130") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, uart0_ack, clk_uart, uart0_rxd, uart0_txd, int_req(8) ); uart1: uart generic map(x"000134") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, uart1_ack, clk_uart, uart1_rxd, uart1_txd, int_req(9) ); uart2: uart generic map(x"000138") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, uart2_ack, clk_uart, pwrmng_rx, pwrmng_tx, int_req(10) ); vga0: vga generic map(x"001000") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, vga_ack, clk_vga, vga_hs, vga_vs, vga_r, vga_g, vga_b ); ps2_0: ps2 generic map(x"000106") port map( clk_sys, res, bus_address, bus_data_miso, bus_RD, ps2_0_ack, kb_clk, kb_dat, int_req(11) ); ps2_1: ps2 generic map(x"000107") port map( clk_sys, res, bus_address, bus_data_miso, bus_RD, ps2_1_ack, ms_clk, ms_dat, int_req(12) ); ram1: ram generic map(x"100000", 13) port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, ram1_ack ); dram0: sdram generic map(x"800000") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, dram0_ack, clk_sdram, sdram_a, sdram_ba, sdram_dq, sdram_ras, sdram_cas, sdram_we ); timer0: timer generic map(x"000140") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, tim0_ack, int_req(4) ); timer1: timer generic map(x"000144") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, tim1_ack, int_req(5) ); timer2: timer generic map(x"000148") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, tim2_ack, int_req(6) ); timer3: timer generic map(x"00014C") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, tim3_ack, int_req(7) ); lfsr0: lfsr generic map(x"00010E") port map( clk_sys, res, bus_address, bus_data_mosi, bus_data_miso, bus_WR, bus_RD, lfsr_ack ); bus_ack <= rom_ack or ram0_ack or ram1_ack or int_ack or systim_ack or vga_ack or uart0_ack or uart1_ack or uart2_ack or ps2_0_ack or ps2_1_ack or dram0_ack or tim0_ack or tim1_ack or tim2_ack or tim3_ack or lfsr_ack; end architecture MARK_II_arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iGfOVOiwjaBo5/GUN6JhRch8Mtvg0DblOhBA9OwtSwgfNxdZvytHYbPwDSmBlbxEoV3Ia3BDQR4a G0MbRer94Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block U3CiB0FknAXgOJE6MWwqA7wXrGg05lWT7gMrzSH+nXLwXfRajGocZy/I28xF6RI5OLpqfX877Du2 AC8HKGW9BSNiR3U2sD6kWwxgmw295C37waoK5fDc0Ybao/ZSZYitB8iwZDM0ZcCBBhBk+Ths0A1o VrLi7nEIa+qd/0ylBZ0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XFl/B3daEvT3j8TqOHUTljPcHf1TRrD9EwQ3zWOsVUBtwham2htE2d7sGCIhCKIFDQr2h19Tb4el 7T0ZF9QF4il/gqR952BZEaKcw+48mzRnqCqsZqfdqo1LgZzRhT/PkGBOk9AnxTCDGOOJ7OB+X1h0 2azNr4BNkkFy4PPRu2Vh6DZdc5zGaQJqMr5ln56mxgd9bBmtyE6Gtz4VFHn2tdiTmb2iytXUim4F gvd6B2K2wQgZMp1VBYTO1vA17xEyhRurW3s+hDPgd3udGiCnXVDmLzXjY0cb6DLfWZLXvEXLFXn8 vBNtOytZIrt4iWyDcO5Cb+hHrLvPpLxRyGUwvg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lumkIzw1NwqFeF95Wyop4wxZtDcD24Flwz0UOID0jYkKtN0mpRiQGxt+EkPl5dTiverDL6MkKN4a VRGk3iZOeVw2+SKKUafhFf3eLAcWlc34zHzgPoJS0PSTdhlUbJeu4/latnBLF6Yf11cfVJ8nyihK yrY5/RGQd6Vw02QwX0k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lF4TJKO2ubyL3g5+Y1Q6Q1JMtyztOIopHzdPWRW0BtGUlFAG71GtP1BddPDBc6YJWrybSwaewyIZ +I/3FLkl3m5lBpUOEqRlA0f5728J4hh8AQpfi5a+Op76MXPrEXZGIOUAfEFcKbL5SlXC+kjnaM62 jxWT4hcAZAV1Vj9AuhydZgOsaByZeJD9NFaZZyLFRfvKChTpUMTQjxxbmB7hpJxZrRmtWQOfpbUQ UjnZkOil4OHQx/nreAMdzZd7dJtrM33+oJvYCd+J5PFa/zs8Zki8kDvCwvRbc/LzJwQwh8wI/1mf ZGpjvg7SSfoOYDxj4z8iJyECP2KNNTp6Bf97jA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16624) `protect data_block k57490+uapNb1kip1vHsGMA/AFx/jH1xPKK/B1MEDzo4a5et74i2o3Tmc7MHjYpDN+jTfB/6s3dr UwIJOH0taa+kA6ADgBcpSmIREMaVwlSzI5y/7yrkWngOx3EOIWfsP/MzXzSJ4d4kLVwrsEjCu0Wl lBCAKgzZgkgDNJ4g1Sq+3Gq1L8Mcmi+ahNRFLxLcY9E/BCjMGxMZEzH1wCl6lBdEqfW73Cxf4TGw jw0mC2lvydlpnqHur2+NFEEZG+657nm/52wiqQHu24MaBUrsF8XM2P2x4UxaoKxMl7HcL+VA0waf gbg/Jgd+r6i/ZBwRBU1xNu7UHujeVLs+ZaENjRGDC4svRdzxN1vlGScDHbVUFH7knIGvY1B5i88N c17wNGwqQxFYyR6PFhFCwrdWKsjxx/49FTrSAjN6h8x2fySWfJcu0+fxcl9V/nSIKBOmXkCOVNsF 4AbIBnFoVgydZ3Px1WrQSL1mX/0VSniWUJdwPY4F11akw8A0AGJAxPdBsKWgykhWvL7O6fYj8pcs pJYfW77/jzWXogs8D8CugtUd748LaA5OBgIxJYt4QewDVQr5By8TTVxaOmeyK7M0RA3yh54wfxft U1KhMhXvkb4MYasRwGjryjQH9oBI2iyJf8lBo8K97UgN9Gvt63wE92reEJkfcldFjE/CX3DZjj+p taBHwV05fssC8VFO4zLBgc+EwxMItG3mh5JG1oRDb7XkMooBxuL0UvWtPiBcz7ExImSr2KteOjHF SFuA8dPlQAYjhk5OUy18OeaUH5pc/LNtMZahMcJYSJVkufGN6RNKgdkLjp2pCaQMRfByLLoouaR2 VYu+m0o4yZWjUVm4gTHQMNnOHBjFmwVjpwtTiU09gHriITBffYG8e/Ng3Ntl84jMbFT3LMmQzhQ1 5xthQCDx7cw93UvOiok3Vwg9MB0DgjIVau26hS7GN6YFrQNFxhQIarqYyD60KRlcoAY3UTdbOg5W xKGl6FINWineiQ/qUUuCQONP7A1xFihvBCaYYlfx4ALd6p0u56+TZCIZcxsuunY9viIptRZxJ9bj Lb52ZDrVENd6wPOeDXDgDIqwNiV0LhARCxDq0TTKAIjHlz+hFLD3U2tdWWozSz4QfBto1IROLGl6 aeVhGdGZYQLJMYE4hCyo51UawdgO8nroe2UJKuOpI1nLyXBn6NjwU16Y2q4gyndi4mC3EhxM+uPN geQ/RXvo/h/Zi5FNYiE3/SwBrV9K3DEUawBT0T3A1EHurvOTuVz/qr4t45JfdMtIq2Czq4i+srLg jMoKp9IRb31kCPEYFvJKk2UeHf+pgV0u0I22tKPVOVWQyRjePPF8oW3MktU6PfgS5ab6sj/7jO1x gM9iUx9hvHeLuq+DtESY0xq1C44LRhfEiqE1Wa+J91KnoMOFaZBYTe2jLHQj6q53yoYfFfZsTOLa 3Gs2Qn17xUbAJmKDMGkoRsfBxvXB48/tmxLNjlSCtipKzAOz8jfH+i0tVEvs/TCghYKVBvHl+yAt NMi9DJk8VM8EieQvuaJZV3XP1vcHLwWnxBlnWJ8IBpyfhAAJcT1TZ7jfJjAB7GqyzqVfwOGNJ+9F rCJ3/lYuiim8SWZdtUYNJ7wGPYXEWi5moVdpUC5ICgo8DyAEpE6eziH9qD/8ZIEyzs0SRIwa/ncq tzPhpmwJmDN+dnedgd7j7E7qvCkWp+UxsrFp6OojqnszVvy77oDBPnVk70BS985DllPv+SBwk8u+ DowoKgJCzp/MdkSpD82/HwggHmA/zuQegLZ8X67lu4ShP2HGf4DiUEOtzFdup8mGQNV2k1Ufu0ty +VkYTX5pkUXSda061ELL5PCVdTbXkqv9BZUS0id34zX1K0zdf/65OK/H/ls+4I+TRz6EYWWaBkBi 3E7iv4G5tXkFjsAWTVhMeAAaRyDfqn6ad+UvR0VgBcsJGVR/2pUv6UDtkRGiSizOFOe+LJTfM6+i GFulKwaMh8ncnQznZp5QzM0zatyRwZM7NhZHFPnCAmh/Olmfr3ModMoXQbVhZ7EWFGqDiICecUDh tIlw50rh5KgQWxE+hS7YSZLZefKG8fY1tKn8sQJq4nmJHUxp9JFF8kCb+kwxkHFNeRPygan4kEjF E4wElOg7iBvyXyerFFiAH3no4rQ+WQItapS1YAVavsDw0taT8rDxq3SkMnbI09LFagMQ53QJkAGK ARB1SQGtckxpGH14lnYnPGm+Nm7io9qY1223BEGH/+hEOBZHGPvJd275EFwCMEO7dpVsGqI83o2T rRKZdAMzLvrapaIAtjki8BAWFs6J8xG8HMeLeXAazmTOZOcy9RWkdUJFaWeQyYwmeC0DWL5gH9nJ REDcvLa/7D1vJR4QbcHSpnWSn0knb6tyimetXl10YIshuJMFFNTwXltRbhv3qkFPcBvk+ovgXDoU x569+XRt0wvYtqyZzTlLQGD7fq0/3CUF0C+6ohxAOmnEmIn0hgexrTGb0w+t6FTnlSURxLWu2xkr wybIJ5xoRd20acJ4rw6nZ/sT0At1HUsNGSfeyj95iPIAliZH6xC04nT0Ke0s/i4gtaEYEn4CG/pC wSIuXdVxADKZoG/XWcHWpvwQcUXH4zyKNrkcXiDVR4meMAwkYZXERDMJXN5u099KkQCD5s0eCnCu dc/MdNKwzyweK+SaI/odXNi6FrZogJF048g1P4Ub76qCg5tAlckh+yAIGKi7SUBE+hdvFvAhhPrq rHUBLyi6LxBLnYgSZrx0CgSejz40KDHyT3coKsNubGo0jRQKGD+uCJt82+jFJV3vw4sXdg57t7JO P115obP/XrdzJGKjovx78ihI7LkSnpmPxowX7ea2QsotwHYRRWlzonNlHdqgdQQXE059ur/e4CFE 2kqSFUugeTjdokpCKzudcayGH6sOoNm770zVQvTWIVGT1LeNJcKSS3nSmVoKsaeay2wLqjClKl+Y iOoEt7ItS+zyf/ImKhLrx6r3JgYolHeBzZ84ABvcipUiRz3i6TpKCZ9m9Trm8Z4hW1MQVa84g52E h/C06ZUSszHleEae+e8FDvItMeJKOS2WyDv2UTAUN/dsZayzMIcefyENS8Alxh9yCrUcZRAHw6N1 9P15jr8PPq0KNj0nugRq6SyNSFHJ4rtP/m/ig2XJjkGjh/8vgUi2zlMxtolNitE9d3djjQVC4LR/ PnhSiljMdm14p/mO5TI6x7L6iyhuWzioKL+vv9iD4QTNEk4s0T8etlNoK9huST5KVeJ59jfX0Urv sWrermOcjf2GyDrTpFHEyCnvOW4mfNYswF+IxVfClotL0bZ2hFTlaF9nxiQWDhLkpKS3a5mRN2vA 7Fj+CKFRnnT8BLXHew8Ko/exkri2zupxWQJDgl2oCN8fD0ifq5Rmj9cdP5/pgzUNJ6S/kUaZ1kgH QLolO9ijRRO1Dgc6fN7xnUPtANtnjzqRF6oL28XRPWN05JQe4dwEqTBnpVE05GT9SQoUoT+z1rWV tzgcFnjdm2EjU5NNad2dkTBMDEnSZmn1oo+z29DiK9uPYActq2YKwzcLPT8xH3Lrihk0aDO/ECgn GCXG49fbjSeAn7um7HxOLDq4xSjD0dx6cZE4KmPcD0wFq+aJlMHQcBDEQnQpP2FyzAmjRg7qf3nJ gbwV3XpOgTBIs2wGfYSr0CNYrTR9f2pqkPj3z/mPWdOWfKBE6J/kg6ftu9fWdzFzsvIaeyu5BZ8b +cJP2XqVioj1yxHQ0xmV+7OyMrFOeNj6YhGiR3NAgSZ+snqHyuR7FGt8dBgnnoFUDmlIw6P44eGX voiH6WchG/6q5+zk+yaIdFDI9aqG3HMjPVli7y0n5A50JgGNuvY0hweeKtb029TEHWdCA48BRl0a HTKKXg1K8YqltB5vpwMgoems9i+G+KvoCVC/DLYgfETgsj0MkRiCjMaeFQJb1McRlfaHo1Ne2x7Y QX0A3TWgRu0/DrN6XdU9RwqbzVkdMDBfldy5sCqdbrFuHLtDTYcWEmE8dwFXDBKvnQzptMGTHhw2 Xr8qhniivHhCsVIlhDzrz38y4FNREyY106H/RaJb8ruNQIb4h2vugBq6uBs5z3sGQSOLfjvQ2qC4 TcvUHP+5WoeJopswI2zeImdPE44UE21BvNLEjRKebfUbHbHHU/tlpUpxuWZ3c8AWOEYYVZ2RjNrG N7I0UXuLy5s4O92Tn8j3Di3WCp44FtlY3WakM8iRxh1xK12FJwwq6JtjOGn3Z3Xro5qAHbImsuKE zljVBm0Dn/fB+K+8TFmyBXrld0rLkeLfMOWGPSEu2hU3vx8oEJX+s6+Wvo1Zdphb424WZqYRcmiM YB/dpFeP5fxjGhPA1AICt9CdT72v+KnEy1qX9Svy/bwZnGFM4VJFuijyNaN+Qv4+pEnU3CUYszBc +3iZkzzNecCE8Qvcm5jar5CRvmiAXFOH1hruqLG7F01o+/yWOwqHF74beP7HknBBrry/4Y6EOn8h hKvsjx4mlJHsS3TRmyC0otiuObm1/s3YUYaQMRxz927NvxKsgker6nR4Nq6DkyS+xdz0YchF8i7V P/ybVzO6jjQo93h6nYzvPAZxWhmmkqO4NstgCQChDUEy6YMwVtMBe+EzyDmHu59aBVg9ecSyd/5f I2otCW1uUedJ5AT4KXJucxW7NCl+0wcCVffjL7k3qditLl8eAaCOckV6B6bvZiDQ/ciM0fdXqvjB XMQBxMFBlrFO7jNOZS/HS4iupKuMMK6IbrbrkaOJSNyUJOECsaKNzTEHTphgo3/1cJj4YJkwEedQ 1ZCiO24t7ZsQVWGYiX24Is7sN1Fs2wPQmYrWZpTFa1sCVA3qkrBcYx17mJ72cIevwVH0mtLqNhyk 3sU1vaHDXnAB0r0XZ9aOzuRQXcr2lYlMfmpO8qIwxh0O6Ko68dHo4p/QteM9hg2P1C59FaLtYQ81 jBkejru1DNQnGFFrd7hBSzOEIs7LQlxvG8EF3qExfyY/BeS2W+JGXhGeqW/fS0arHuTdbgOkX3Ky 81RKHqlZODVsaBR4BbJbkV9KLyY5zgz+0Av1Folvfy8QankZBolAkg5MthavoGox+cvj6ml6r9Of l6UVhAgplCNRlpwAjD+bcZFoKaCf9McA7mmGy5yHLvQzf1PzGRcuqdiUoosYQ4qxDk5/svwupU6A MVmwF14RD/y5FO9tv2yI+3577jREpvkPODIgNV9mc+0KpJqXr4NkJNnnV6lfWv5ES/OZl0mQJgrH 5i64o/T+OHIrsngZXxqx8GFxmKRl1KfI/d+XlliU/RYWegheXA9KQSJeEbUl1qwW4AC9vhWtj3e/ MGU/tyMlaxDHRHxs9Bgqq4tU84c4usMFGYPGFKjesn2+jURgdqMvVP3yAWq4BixejPvmfyT/L/TD i/iwpO+6y+FjqwZYVr8d+003j4YwyKVSw4xHwx0VVlCgKR/dOHoawL1zdkCTVm5ZUQu/HDIFmjV5 aa27jvAGbZTciTy6biYQY/AKQmTocWZ5b6ZjeBYLVLPS3xFB/UntXMNX2QaG0pLDlqbSpw9TfQnY QFAgrL7/B6WsIfLdfHMOTwXjpE2uNxcSiX1EpWJNmML0aUK39+DDSpKnGZlAZD5fzrhVNvQfp2d7 vq0OEN5y6/WihnFTr20AIDKsTgmZuDYfBD4jA7yh4mwFlY/fElamg0hgUptNxY6kVdWDyQarCfN1 +58dbufnmeOX3az7QlH5IA85J64LRdsfsSsd24A1ppLA2MRNZjETm7UcyMR1vmYcqicWCVCr79/Y C+eN16qR6POuzQX388sFGD5vaxya15WGZqz8TA73CtJqB3H4N9AIdpBQ5jMyJ2uhaHwNdIm+Eu4W OZucntw70Ucl2qMHtCXNo+WJ5diHI/XAd/dZDqwvxrTQBIQVbJIJqxKHqtdKyLmfcwjpCFBwgYlQ aX0ZifxszoDgU5yOHXvlDZad2xpkiLvhkqByvccNMFmuXjXm8uJ85tb5hie/22Kk+4H+G6LdTfwq iPeDCcnVYYcXbSKDbAnxgX/eTg5jGqOC2K+xHCibQ1CmA+W5Tr5vqFo9ZTdz0N3fhFzVYh+W+r/E nL1Fvkz33ifjt6kfcPDzB4ofViLTjhDPWhWJiOzp0ui4wZ7mhX5LPCO9KqROn5+ZXvLAGBioYNg8 /5aOKUq1gpoRm3eE3Beunup6gl36UpjqhRI4JhbOEyfhaiL0WrHE/Ph+0Zezm6LtMcF6Ees6ItLk sJs0NEBAIAjXJiQ25eG87ef2MPRk/dMED29pCCt3jaz/EQjB4bS1auLZ0xbSy84bZvjDKDwSSZTS FhVz5xLGs1QgEgsol0vVvmDW0qs9+YiUVlJZ2J/sfLXhmBZoLaGn03hVagz1/cuSUGdwLVfucE8Y znCTf0yGvGe8Z3otyc8tiFXrQoMyj0o/56fF4vi6hWxsXzGPJKyhehZRpBgokFetaCvVESjBGb4g uHn0PXGW7Vs265kzisQwSysKZpbtdjD4/epXB9ms35mAPMDZpUak72ZZ5Zc5ausQf/isJkqRUDPO Lk0levjYZQHTQ0QIYfYq4az0n4p5RNXAkHzpdnIEdJNgLs7xOUVsDrDLxwnSSQ2xOH9OYpUoOPlC KaSa7cPES/ekzT7R7b4vl2WbGKGk4BtvAZ47a+8z/obxoGF2aILq6P9rg6Ma0YRpxOpSXdxo5OnB k4zmUtn0JNq5g4USf0rDHflkPh8l55zx3+VlvZQLlgSs36W1YYKCv1GINg1SBs2AA1PHh8OMsvS8 SqVhzRZ4sWyAjHm+sWlQNaw3loNsBjuuUEjNJQ0f7DF2ESLA3A9+LRuDbLDTHXlOiNkD3BVBrMS7 8qi/H3KWv2xpSVKAJ+l0NX5vrvFNfkd2uUc1LJ84dOQA2J2Xit5qhobWQmrIcEujPEtt6A4ksekr yyOT6ogY3JXeZ9JXGpW4YlHh3bCDXTxIJ1+8w8K2deVQgSBSD9sFW56zvkovwQox06GO2FMdIr9d XW42QluW/LCJj4OW3lXk4yDG79ffeN528BlENH3yR/ngOTJy3Ofvt95MKrJY7Os8rgXq1OeN/74j BzV4+teWPfopaBe+HvC43veiPQ1Z6KjlE0QOEenYvIVEnKdeyls9uJtmZxCGsoIaPk/gqT+UGV/z wr8pdRxcm9i7oKEderdD8fYXttsubzrd7fkgCOtipatf4JHhbjK3PCnN/mmx3qQOccVuiywehNyn cQZFZ1+4sRNWlDMjvSDKOAiLtzGfz6GiTOAJVAorS+V55OktAOaQF1kegFrM9K3Mpa1rt6USdJ2K 0QwDx0PHyp5zART/+STX7XoAyrYh8YgMjR2XxPzj0v5ZS7fSK2k8OPI3r4vl0qZHLODp0Pv9ZX5c UBLKIR+LTI1OYpPI0KsgofozQ4wWLq/jt2D6oOq/ezoSq/UdUVbm0lyipOp4MXucYbWWCYxoMhV/ 9hPizLqry4eyfqqpUdxMoAHXzV33GHLSPaUHzBqN+1+O/yQguE3qUhoP80L8pAgEq4TOodEvBmz0 LctshsyhbH9Gt3HB86nE0a0e3Krd+4OpTlDRwe5oiiEjYFZ6e4NFWXtucpJTr6+Wgn1EtWDzLURg mf/EBrEOdY0RmQ+EMcW9tjpL5crbvvQspBeNep2rX5S4dQMgBVqqMFVRAzkDEoQTW7Jm5WuzbFqj KxwZDDsg6MvvDM5dMu6RoqLFc2GWjILUVmJA1cj/4lw0os2oj7PvAsT0TZ6fCM5Aqm/pIo0yvMXb hYvxHe/qKtuu8j1Bv9qEMxfXebV0H9g6ZFs3lgSPHtErSihPEGxrzwUFPmMDGZs1v2bCULZBCCvs 6pW81ahMCIK19piRGStrDBmwhPhPsD3nqYT2zxCLUpO6ZyebL1eW+IkjKU8fHfM0qe2Bcdr2gHlp fYU45i/0wJGgFMf3NyRPPyYwq/iYespZGRSsO8nGkdfE+SaRLC/6Yz6qZC5AjIFf6VBAoXEIJqTS 6GQZOkk6+mKvfgO8BszX5D2LjomG1RH6ioZgPNTtq7tfBdAKkKrGF0DG+wG+1X0XT8F0sQlTZXN0 2fuXksk7dqN7QO9MGNV1dK+xUqhH1nU4auwVOhD7InG5VloRwXgrEqjR8yfzAkHHWFToy6C3Y2fV SUYB9GaZedsraqRkwuYusZJ1WfW6qePYr7SV4xEdi/in+Ee1pr8mZct1NGayHPbpCZ/0qzbrrhRE 1qMsQJwDVY+8U+a3KRyvtFloyhVETpVn9XmBDaASkMJjMAHKQ5OIoAxpq1fX36Fyub7md0aH0teS q4OHsZUlkajyax9B/yyCR5vEreQbIk3YOyhe3u7J+z+eH4FKkHELXFBcIpLZsVh95viHa9nw4O8k ietJXupLjdRTrI3R8rTVbYPICuZUkFvJqFqaQx2pHVhOhOaMy7iQ79sktjeBZ1amexMzB01LSCdh sCgSFXa92UVkjGEty0cogTRUYx/L82YSORtP7dyamzCYPOKr0RdfdJ6gtHNFXeqvjbzHGzZz0XAB 5yMiwRW0ykK14DUzNTJPuXn0ZzSGfgoTLcpwgF5C30uPq5jxjuPNIgtVmPokDgdJ6VnY0EL/V9vK ftJe4s9omKA1KpHM2KUhtIwIaXXZNoHpGp+ZxDg/WYMtCZXPadOcRr7xmlz2q2/Y5fd9Jtj+9DI1 8l8ssF4dqWv3LC1mDNC4HS418S2/1gnGt04Dr8gPtuqr5O4vUr/0OWQliaTkaNF6MiekEZs/ySd9 eQmkJ/9r8zbs4Y8YZkoX45FvqNmNuL3o90ISU4Z3bSpcUMJssG8hcTDBvWv0l8b54I5usdnX3adw 85mym/8oegU8kyjWzl6rk3QzUu5EQBIDtJ643adX4WqG+RtvUyYvQypgSH00OQXMmE5ENpEHC01h 77gCOrmFjVLMVcYjMXc4k8bs2XP7kFwuUi1UngZN6PNx/qolRY6iNOdYXDU03wE6eDMw7vrCplnQ oYBIlPAiMpQkPbDk5M5Yw5nI5mfC55uamfj88qNkuPY0JDRGsgrdLztqsYiTsS8etxpQUqbci0a4 fhpvnDM8wcDsNxfjN4VkaN45wfmnMbWGQuekEnEACZJxB/sXc3aM8qKvNvzXI/+QrpkW34Ah+yKb PgmHP6PRGzI0fAW2pHUX5PeGhPCp/Gnd8Jri6CVSg9UmEqLQHeSB4WF8xvaTKdlsEcTRXyJAhLyH m1A5R/69PPWe6gupH30FsrUMemRcOt++yxlb0+hj1pU0NH0Ho22CRgnAnKdaMr5zt9SG3NVRs6nQ 74SJxumYbGO98JAwBqcq329RRSNzcYga73Tccm6J6HrHCIFd6tqK0NMaqIrnqcptIrGSbzRh0Rk5 56iVZOI5gVRqMWA3jiTmj03UGsfaXCuU4AgBSrDjg0pORk9cg4SXL80r5guGjPdlFWaGk2xwG7Th Efvwrr4+KSRrLG+51JbW0uyzAmOzqUHIBQeMLWoHeSf7yN1idROqj3+mtbT1pad9Rw7dSpQ96Qn6 r6xvgkaTHo9mmNWjEnKAoVU6u5wdbKwNTHVA89YpDrbEWESxapKM0FRDnJL9NjgdqLQLnrlKfSui vzR9RWOGG8UP6HM7L43eHwl9hkoKvbljggZJ+1aFTn0Qv9yPVLzFVuKE1SwWJ+4UZ0LQnCDMeXpl oQs4kwipoSWgxgvLbKrwzPG0EFdnvKjaeOQTUnlXSnbuKXnmsTX0qZtfpRaL8SxJoiyu0fF0sBEu q18omszzV03dp95qfD2NgXThddBqVNY1xKY1PXeTuCxl60dGE89e8AQWp3D5PSgwgx4TukvB1gOV 32vnfj1b424l/xV9hQ7YbI/7mk6g4TCjkZqGHt6HDJhwsooYCuKGxusHQAT2oFfWbUQeFO6UqkEV sKuCNuFa0pDeha26n0eT4YwXfvlq/IIuUoqyS0Ke1AG/SBnaE9ePIAtQq/4l8j/6T+wkmeE3R1gb I9RhMoHGOSNn7A91/QlZ8h+N3Mk/3W13l3g5/PrghKzD5ioOpFUsHmbpP2v5/Q0VY5YRh/6b3wt5 hI6AR+ymItgo0OuG9JO1A6FnuY3eajen/6DSw3N0urin0KnDTWGUEGsAYBdwkS3BKePI87NhwNv5 6aEwMudVUli8LcYIxck4C/ahc2NPZw6voe9vC2BIkRKLKnMAwsUQRbs2W70THuDfgzZ3elIYdP7J N8ODBdhI+h3EkqfBbeP51XAmLZnAbZwHLIkwgvMmtMywUryc/7vrsY+MjiOVapXbOOZ8srffg7yE S2ohhkdrlQK0oM3/uYVJJINXLKNbPTAglI06MeuaQqKe1xSFltDTCzAI9+baKY0kltbS/sq0n1rd a0gn9CLOFPehrGXrksKWeOWXbIFhPBJTUhY1Rit7SibeXbSRLI2GiUceoll+rOn0NABt0w6GGad7 54hVSvjAq3lFoD4/x4Vj1p67wIsSFWNVdrQOnygg4BcldBcFzVeswgAai0W2diRqReeV3JfrHhLo VEUOlkXht79R8PgEPUrKWMDnDtsRkcZyj3gmLC0CgPE4rGU/sml2voeoTmw3eNh9qGc3GI8UYbKg LSjfjQ/ofzEy4tKhjJFnxj++efl+h2L2JyBqvFti5xujcduGYzc4+wP9Ozt3jc6zPl7Q+ICx22Jq tpjJhxntTJVbrj2DgP0XKvG0lfhMS34nxs5HY+WSEu2SkIfAGDTUyA4Sk8QXZvMwdsjwW+iRCEDa FFbojBLEzD1JPt4qKi3A5dFB1ypmvOQxLOy0pZ+SwTG5ckznuoAyec3p/R/4b1pf/ZDqnsiDuP0D 9b2yO9iyYx95ELhis5tY+FKgtR7b0K9jvfrVnO0wMaS8gEIxlPLpEJTejFyM3KXVACN/t1ugPsWK +6+gp15RuP3PwRWwGPrV8hjMbqaVkmfBpy+q52kfciCU75r3bFbK294FiagT/wr7D28ne7jANy9r 5xP1U1bvy095CjboHdmbr1FSwShNp4jEqjz7t3eWsrZIfnK6pMZKimP5A1jau+AtnCBC5RZFJ/nA AneJkctKvYUZ0lHF7LxFAWD30Y7QYlAJObelG2c1HdgNEYFNA/cQQlebbwkosahoRQPms0cj1dLw lXdda+ES768V4aGyr+CyJdfgbirPybus5ojTbXQw+37H1uX43FswMlef3tgPq4JvnGk+ayi+jrMS WAg+TIW3FP3IBHO0YsMONz3bKtDv9ZcrKdiFd1NL+CsL65uF0qAq9NUBjviojnEThBS9VEJoVxte 41X3+UvpoaiISNbMlFjUk5I3oD/C1+srtFRJn7Ev3mVTwFKo9Xmar7lvWWFcYsxanGisrafBbP4g /U9MCv7Evomarlw285oyEYdHtt6hKokOJNfReH4EptgYilGbGLBO/VdPKYd+5vCfZ/R2DM+8WKOL p306huWaIDaEjxjf7oVIVu6P+o804OdH1SSuhVvhxYTFXF2pkLqUvt9xkD6Zz8bKQ9d0w4a25KUn YcUo+9/A1NIZ5AshT6KkZqE3I043kavxhqQuAlX2fcCj2OWGdDcjm+PYBjhiOgXs+ZnLRse2IFYd feR4SNhMRXcsZ4omnAiw87CvMIXX//07HaOM0svS2FOlcsf4u4YdE+tlgK1WHguGOKSNLGhHDn91 4qxGIoPHqRNWqW11gPV9zgNOFci/UQq9e3uWBs8TQ6gblyehxtlNs4R9VjcS064xS73G14MOMa35 UvM+H+4Gh9wDGI9jQsxBk/4tapPicmzRZgcoc32sJAHRcsY/1Eqao/vH7R28d7D+YDg5oBC8Le8X 2Hx2A31mlm+kkY46ZFvCAQpJHIat+u/keuj9hQ7ZUezBtE5YCvjlskxgYQkM593WXW6xKXuNeQzl UfDxQdfVM+Gu8uLuYtPqhu1rkNu6qlZP39xReLzuHnVGzjrHBB7pHzGO2HlS0bQ2lIT95Mj21hAj mTfnZC3ZjBQcrq2197lJ1eLsojvrEjcslorfCL5J2OKqqkSEpZF5jf63pBglyWkKQz5TAgO+zU4n xjnU1AlI8dFDAbdwvTOTSJe3kYg/wQmuTpIFfzppDbm7N2yzKq2Wie9Q6E15lhxHI/ZwzqHo39fK c+2Do3jk6EKAnvauLACQJ6T3j7rVUxxeDD2gUBhaS4g2aLRDTm1zpz9A3bQGd/G31niBTK3+6iYh k1C9SmuhALGcNXACdO+Xbv3iQN8LiCdDgmwd10/bjsE/V+dIWgKSMgHpaRDqFCD//ROuX6ZV6CD8 Zqi2l3TyQKj/82Q6WkqlP0bQ4cwEb6VTSRr0Tcet0t6kvtwai3vcwglaT0iGdLHq4Mqiy524QOsO nXgGx5ZtNidTctXXf+ChTXpq8FY3QyN7PVvkakwmm/Zt9NNqHX7W5QDHYXTwEKjcSnY/ZXo8VpcH 1JdoI06gZorFe39QllxJK6ine5yTbDUaetFzlyMZYqSgvBPEnXxj/i0C0pQbdmmLZuKCBjiQF+JX INcVtNZjc6Jx1VR/WF9x2b7TmWStmGotRqWad+zw21zwtiEOMhQR07XWDhjNad0vaeEmEioPsw2Q TQCDwICp2RytrYZbyM5KgYMphfMoYWXZ90aoJNwumx/uYXZzpLVNe29Oj0f8xYfSa83uFrRXPcTI gxdvYEeahUHRyf5loGl2QwAx9w8Uejpe3UnNAatW2EtXk6q4kJmw7Nu1Ax3f7/QXQ4DuIRfN1vzq wPU3Dw5e3fyDNS+C6Rt75P4pYUlcMm6tISWwaILQQMu0zZZp6FDSuT/7swupYfQUXAA/1+CMsQ7k seQbcMu7Rp18heJweCCFSM0Rs7kpSTTDTtYUafj238YTz/ngseHq7JNvzD5gwRV0Y9s1IiTR3i3V Gnvl6hFN9NQK3RICp6wu9kgVBnwCEJoLakaxvx8YDaIOmtg8ERVmiQNqQgJrRGZV1A5DMh8cHP7p 4rh9mIpw9/H+FP2We5CHDQlMtaCRRSVgL2kzlzYTVP85+bcPhWyES+5egC3ua7elePtaCayB1KgO lVEWLD7RpjZoUn4ZZH0o5ovwBwSaxMuJ7uRiJ2YOu4P3QNHnVMSdp2asMkcSWKvqWzZu4L68o+BQ pxdimYtGYYzqKC3zKnsU5uEHWwKguQHu5TA+qc7VeyJbgdC/+B6cB3COka82OJPsUPUjz5SjxzGp 7nbAY49Cmv8yszlcoRxLzDQJguV7rtgdyL4q/x7gSvQSJzIj/1XAXg/dq1JsAZBWmzPNd2z3Hibn QInZEN8r2PdB5Uc05C9V2joxyjZHeqRSdFuooXkgvqx4ECMVKglo5PKLo5wLDfBFNiDGsNXq1/V+ UCDFLYf2/TrUnHLgMiv1Q+B5oHzJAuWjTZx/viaW/KVM9i/Cd0eKZBzDK3oDptiawZLMSxsCUBUL GPRwtBniv4EnrLY3kFmVHImWQjw7JUZMuZWaVIbPT2c7/+NmaJD9TjTGAnOHTJcXwJ6QbsrmZaP7 hose8PNsLXiVevrUkNfWbH0SXIorxxCQvbs4Z00YckqHeLqCcLCHJByUMJOUvRriUjFLeeHfFF+6 7vQ5hK5SZrb+FsaivT78/2h/Y6REdE9CY4InlCaxKHvWgL31nFg3PhefZEL426paf1t6svFUM3+g qyy/vZIQ9XNRwdeY/VPU/BI1/nYc4DPyNTalEW+O6e6mHNGQdBlHzMXm7gRtdaQ2DoKJLBUokaNS e2gFOhLUJu0u+Ysqtm//RIPU4wBqb1GIl0BhTu0SGJEZN8GtujlTiWq6Yez4db+uEQj7QGsp56JA rwnzcs4+lCnVaXYa2Li+gNuGpq2l+7O9prVskdFc99BJIuQ6iaXtoDSRptNj8pOOSOX3eDlI6HyL qAQwEVxYk2H6jY1VVBiPNy3XE3L0Etmq3ZkFYt+9En8AKsniEtytgSveuqeWmmwdTMiZSqGbDcyI ud115mn6K1nGXtIt1+jBl9DKOiPXgMu6pCkJEHX7gYgUmQeCtDu62ls5vk38MvCcxLt6vB2PNpMs EYgHRJwskaVNQh7591Be2XxJz4TWCSqc7eA4ANIc/JiJwCPiZ4iqxzPf1/2XrkjiZ43srb2g7wsc YDW9bQUm7FRglmKfgt3WtDniCGrp78CeKC1PxIfdme9gJ8G4xcIEQl9EMt2TIGEaBCBKChde/UFO 3h5COopgUpF468cObTbHHD5cGnDwPNPnmI8gjFtuDNC8DsNCeMhm8VP1YIpl+7NLRxPHI7e397OW o+iZZasHkxJfvsALTC4geC9XnDSX8ST9MshG8ss35+J6JvVPwuHGUWrmb/ybHB5RcP3GR76iurlM qD1Y0juzupHlfxImuz1iEj33a4mqxjpUQr6S9RMZX2/7Geocck1icvnc/C4yhq5ssVs3FGYq3ji0 nYW6vmOTjeNfuvJ0n93Bpp8eLF/9vq+njL+LRre2FSxf9ejpJzs01JkZ7KZCqP8r6Zpvjln+Ajg5 K5PrcVl/Aj3UZ3W9ezBVvAfzFt446j9+vF6SdnUkuA05164f3R9ezYAU70UDYOL5srSCd3I/V+8H /ohSFsmUB+6Lwl6aB7bwb5FJf0DPX1WpwUAMS7Re0wRO+SoONwq3LCi2/Gasy7AEZbX6xYF6qTlI o+b8wHs6oWF7f21ANDteDgZ4x4RpFsM1kdcwEUv4ZHTLZnHyJziBSdkKx7OInoDCQUliVmY8KGj7 8S0oU8iJqkYo9mpB89Hhp3UWvAc7/ckDjGvSTCzv4c+5/39KnB0INSxBQ8/kZIrhsBJL7GzFMmv8 FTKXBn/Z5ikHVF2W+8c+BHzCNMz/3eceHYOhDx2HB2lEt5tZZ2rl6u+8Ez3PXiVoZskms+wDXYSK ZgLmD/pmVMDLH2wf6mE3umlMsGpqLjezb11pw+pd0MJp45Z43cIHH3T3EefpPXw+ZCH8OIU1Nxj4 WGOdfXkB8Vy9pq8c4uBD5rLpRgSnDmCceUDQ8+zPKvo8NBKWEhu+iKeeyweAJ4bbTj0DFlPrXs9C jJHDREDPQHVZ0tGcT0pZLOZJboCIWrJvX7nEaij7k7PmenRwlrenuC8pabBr0DRsmHi3tJMtGYzv Hu/GVV4Db1yhCbsHzM7viIxA4D4h0l6ZtoE3n36Vq6aj1euhrKgUReWNws7bUBUzQsslyq5hxmR2 NS3YhFNsANNJaJ4Xnb6/vDrZd/kG50eufiZ6TXr1a9VVt67kWOkuySsSCyMClEJD0ZEC2haowQOb LbuBwaz9mBpX5DtstydBIp039z71LwxEoS94sCIIVvB2f6YbPS0D9uKCpfoJ1gelqJkGpKDyO/sj ksPgr3gcYzxB3X/KWnJDdxNnQYQxv4DRyarJWB04bAR4F/NFbBXNumGYnXyPsjOmb6qY84Z3MbDr iv4qHERNyOKvrRFXUc/F3MS4ulKu8AWTLU+3VbRHnG56pw5MCEY43LOl6bk3Ms1FjzV1mx9r+tzD i/XpDzjDrXIyDr5/rn3mk9Nv9w9CkNHfkPb+ueV+pvaeusaNZBNHWSJtHIDp5n5ShVsk3KPH1+jB jc4EIH0hgUOIez34hNMRxZyyJN11T07BjIr9prwu1fNf+lBXPNYY+KNwyrxkqvshuwprSAw/dgQG RdNzpvGWFm7HpLenMIs9YEADDQJhCxUmyRgYHHXHapkq/ymisMPZVMmG0awVMNPnGUMl9LkVa6Oh fZqRlwahk4yMBAaC2vkOEJdZlOnPB3Q5+u+jRZbaAd8s7HoL9X9mDIJwd7/dn967qyqL249roDjx dr8qwqMpLQ3XveXOLBlgnC4w6ertBeUFoH3RrRsrwF9TaMKy2105MelDDIuTvh9HsFg1qXbIoRX3 PCMHfZTK/OXDY57bD2WnBuXv8+Wd7i2NQVbJOogUUzSkBHHsY9EHvHujrAuEeari9dkjumXChRXv Sd0ZmdavTEb43AzAuG/h+mVnqA3aVQt4jGwAsPmiY5wwcaI8V9MGFeWgJvjL87xrkhN47x4jrTJy Y6K9nSsSyiicfCwtv5Z/6htFTOeJzSRVlgRYHq9rdqRT593nxj9ImZFb+JOkICvfWij2jTSjqsZ+ C524EXmEw1qS9gNjN36VoQ5XB4GEnpQfrCJ56rNhINeOmn+os6DYIqx5LbChxje1EHSZxEu3/FVr G/SRq+zQI6Xxe8h837L8ZrdooZyaTps9h/TZi8Xy9QxSPsNebxt3k9qDX/DT/SVql4i6akVlaRL8 Gmy0ew2UFcgKxAVVb+IF6tHSCLsxMlRPqJooUqVyMmCb8mrWt5UutbK+JkPcU/J02GCtxTKjQTMc CnJMYAn3aiMZFbTmeYIkkifWcSJj4uPkqmVZgqjrq5Q8Rt0msu892qRAD486wrxLl9yoMzv/xS0J yWa7Dfayz4jlF4Cn7AMSN7EBWd3HoSGuHiQgsncn47y70uXGhyoJFQs3LLdcD3GhcyCin9wXJPZ8 mvmiCxOf297cEmohmVIxRIeG7ANWv3POElA6mi+HssZacshNJtvoeXp2w57ZDc9Rsorw5i599Y0c OAQJbbbPqYJOFABprwHQT7y/vhEw/AXRZyMIO5jV1oSowq/jbbhpUb90u766WY84WWWKTz6qk2LL kCflRHO/ySbmd8miIlUXvKX04vILWxoJcuntAWOmn1Brhgn3dO7pU5v7QsdLI27eOjN+C1kTeSvT AjChriVtzwXQiONVua7yE6pxLzB7jOn4SqGbamV/mE4KHRSyCFj9Z249dzvp0cNi4ehiKPkU5DaH ObNsJfoMGnftROa32UTEWyjlt8pLj5zQgs2R6zEoIlYOGKAjkx6QZdotd3AN9p5oWByMZEUZv7F7 pHCJFLPCtGC6aTfAN6xxVcaMoCUaTPId8HnSqi3u/6GhRp0s/eBKVHXSLlQ3umjAuiwB3lBtmIAk 3eZkrwqSZBicTvh2fSQthTKZdumCVf7x0C9eDHs/KN5NpY12Tt2ldAMW6xe5t3lh86FPBJUlOzDh ARBW8cwtd/usK6YKTcBN0DDqyi+i4uvKtXCsArm2Y1gVV9EMn0AllATCPJML7tGMh8LYRIYPQ1OY 8y2vE43ndQwhRFo6h8Fti2L4OH5RNh1CDZlhmZdeHSfCP9K1KNVv3Ta8p5EdtSME98o3HYPw10TC aEdFlg23RrwoNjw7j9FlHVbkdnQTw1pbbkp9V7CFuLZ2P6dKUWFJ1AEUInMXBuBjQO4hC3l9yHHM QjDvSSZnTq1DUPAJvMdaZPDg1YIof8dyOnGNSvKt5MbVUZhiyAiQESM+adZvgE9zehFwY6uqN2OH Le5LXdaFEAMc7agBqL2liRVZ/++Sq7bScnR4DixuWXzKQq6XXLJpG737yp74Vu+nPNZmzNZt21gy QYfqIH9p9saoFJBq5DPbQTnKtfuQsdimuX0+oBojXBh/c/gcQ/wPCb4rmaw/KsWEfv8E+rh/zke4 G2Gb8InKAZ3cKNiCY2lIAib0yy1F7VAHX7sf7xAmhjYCfe+JByZn54ypAH12iPm387X6eHLcTAMK u0zFW3WpuIHZuLmLZm7GvwoFjOURqLiCVyjJiIkalEu2GexS+uasdklBWn+i4ZUudW/SrO6iGqq3 soJM3A4H9YZhg56hFYVg5n85+hC28hwhPuwWYF8N20Ii2dHLq5X0veFdtxKjA3Hkl3RavG4L/WaM wd2c6pf6ZOBb3KQPBb/k2GRO2ObMbDhy5kB6PRWYngnmBmiXZgFbGSA4UoENTbsenEu9sD1P9esc K1bTw2dPdpIJ1QMjSUzRBvkghToBoGNau5s0QAbhDMWmGVroAC6/wG80QjnNRLHwf+yV4G2/qgKB tQwFNIwoKWb2NWBtcKSGVTBMJl9OX8juZsjuPJdU1hdgiLUDtuBi6zsq7w0pa6t/6qnUYED7vYx+ 0OE5fWyqEHkFLNhShoov95em3Vp/Twm0LTtOlFd/6CjeR50/EGevIVI/IPnKwt8n25e/ual2DwEz Z5Zpfvq8dzR+GtrQmP79LO7MHPUFjRPfiATTxc3bYgnLh0n+vhTOs7So/mFGz29s8W1t6uXGRT4b t6yFz3K4uYkqQdDulFVnKaNNBbUmgpqgRVbeJU9SminVQmW3QL7Eo6OR/VR8iRDk7+gjhy2pvusk ULK2lDkS1CqpYZwhzixQIecNlubJxrDQTfY+OyOEvbBO1lVRnSY7aGRVezStjnrHIlbshyeobmY+ 05qlM9tL77YuPGbhu5rvkrW00UcqwsICfewjtrJgPrmidf6CW5vHqSq/XAd143uZYoGFCzC7dIL4 8QySydaIIqy/xFawMPRnTOq9zwXBxs0yPPbAyBmQeNujqD4dUNy5FDmaGy0TWhqw0kaFcx6+EYr3 ph/QuVm9HER7H45iyV7Ol2IHc6EcIIviy9xCfV0u+SBYDUQPmhivCzB8DwyxYwx5dYPnyKstXj2B 2pNbAeXTKbDcnHytj2ijnjjE5lLiWOkkOjtf0aGppC45kzbn1S42M7lI842ybpc/is9sNqERdeun SJCSqqBaYIeg1eVyIXbVEbcHXYs+XrPlsswR2Zz5SwdlnaCmtkwvpJoMw88DljVdicKGWX0PTw9t swTXvGR1u1C1gN7MRXmJpHjHAsHYLZD/4Am1iZblPyu+E+ArWf9hJ+rmUI56UBkUzn4PuZA4h1UL oRBJdkae8WRUKqdydCZ+xLK6ENQv9azQ2IVEj9IHsZmsZXq5Xhcj4ghd++fTI2r9e/4IPOEJl6Wb B4COQcWjwqB6XjTHzglN8xrwJLULRI4vbV6uY8fDEgEzvL/N3BnWVgjA/SVhJnpOKVa/6qf4k3bE NIWbBsyx0dBAl373ippbXF8+FOVmfeI5aZpPtI8TzF8L1Eai54WeU4Ptnn5ONeN9RUr9RQM9+hhL HhXamxuhVGTxLUqgf18dS4pnty3Wqv5FQujg/8A9tP4Oz32zLxibNsjNIwaH4RiLzINzQ3GM2ZqJ dNIHvn00C+GY/vHgGRz9DtajtE8Fu6luGQ6qEqTtGCLOEZ2593NBuea9GGe0avLEkhfDhPzthxko HRO2GExgGpWHEs7lSWLhx16vOUm9XQLyxNn9mG8ud8CdF1lQXfi3D71qR1JA3k/A3kJtdSqX3S3b cTDuyEiAIH1wmrDIF1FWCUNu7d0gJpk8DWsZOMcrdIMTuW+PWmimhBEcBftejbsCg33GoZhsZY7m xgOEzWB5R+H8I5OprNNRUiqg7CvTSdCmTD6ztNCYo8K8pgrMUI18RvgEUU7lNxZaeLACRWKtgBRr jiAWR28ie4woZtQaVwUB10aK+wI2jc6ywvDM4DnVRYhetZfqmXp2/Zf4heaiqlCiG80C5FJPwqOw 4Vq95OqSikMWaT89j/6NTLHEizlTYz5kq2WuMzrn6JiBpCtrYHO2X6kP8sNExBTvBCGUlRnR6s82 msCX6vlBXd2v++BvOQBy7Zq6pRgKzk/Un2SmWW+m8az/YoC7F/TtiFj035qNCboDldPYY2lq2Aph lEd3TUWWhl3YjdloRlpWrnK7PAXSoD6Kbj6bawZRNekrNbT3Gz5zTr/JpjrQcwa2PE28ndthJ4IV P7u2k0YWP5tM/hRib+taTlA8eXbTgiRdL/J3WSVIvi6709Eov5p5KfA/oTUzXYyxB5gJW6dd1N1w 2i34WESXCX6uMTgmJj6QkHjK2eX1FtGi+AHkyBUDtbhC5ynWJvMTee5yyN19mmXHOwyqtWxb6b/u RK46WFrufBqQYCbpgH5tl8Zu8OcNgUtPld7nvvAHuHDHfNixtRuh3hcBEaDG8pe9AidlLwQ99iYu KEktestuJtEb/muQW8IrCISEmY+0r25r6Bfg1DK+ZNvLQiFYLGXbwclqMFZnNyICRuhsoBt158A9 kfLbOau+gBn/r8pmYKD3CUs+sNWdebIetkdp9UuzX8jwidcnG1DUJtom2Cjw9o/obkRveEM6sQic siCz/80ZB0m8Kh0yW54jtX36umdk6D9MQ4jQm0SzdJbaIu2PI9lEVUbbQ+nzhzRnwYW4f91soMbJ AwYmNG8AERAMiuROn+HBh9K8OaXYQE40P25GIr26qEHYgfvrKyfUB4dI7D15HSzEqrlaoYPH7zLi i/oBSGMAuttgI8qu4CZ50+wS6DTdrCm9bfJeEFr9cSRcHPeqfMTlObL7U670JbdCaG3Mg3ALKOoB y+QcYOBpieomNs7neK+/g4yiiyionEgMge8cE8hKc/SueyP3HPAZujeID3IQ8a7lO0IPWQNSlfJ/ S/qjUJHbHvctDLtp41Vxwkzyn5E39/OTYnWFOZmq8nWS7unurwfKP9kSwDj4BgBZEOUOC1xIh5M0 1K5DjMucm/vraDccprkwLAJlHLE+QEMGo7m7bLsvSAidDp3xZsNRz5tqPnFj7M/x8gEOVFA9AejQ MR6+ZFKkEkBQ0hlQO51qngZQugzVtleXhP7pMeGWfWRTeIcGIU1Fhki/DkvWwbJ8S366FK3IsInI 5Fs9HrXBVbV6yvAIunEZyNNkY15IgouNCE4c0R0djySFp+G+UxplevptUmv4Mp/hE6tBiIuCMrKn cJ6leNkXPZRXm69H4CwzaaPP5PwJFFVcgzzRK7d+fjcsPjNwPfs5ImvoR3pE7OucwwDtl9nF2eBR fK7vURsj/2F2mB7ZO0xcTyoIo/xseLpKQG2373QIBhpW6ul8abfb+i6wYdRBHjSSBaTKCcI2JJ06 BYTamW7O+C/D5RP0rhAFFLzXMgZvTmdoFSDWlVr9l47VOzknEoycCorRD6GRIp2Tomly8GDn+Rre nNUVpAU93v0nI7NE7d0i8S5j++CmYF39cYK4vqfGf6yfUkYR+b8nc6rI/divXTCegoWeRJHzOYkf UnBtGrEyoUSlIVk0Zs/fvDNCUNUB+zkej21FOskBzjK8soq+jENCkshSqtnN194s8LHxAtaGDZje 33n1mERfNGeQ7biPOVLoOs6KMUVqRzpLfOcCkmVURcY+vyHefW7F6JMAitm2kIeCsrdwoVG9BD2H yZQJ2GA+EuX7Wk7qUNpfnNczppvjclL8/vYwNcZLqzU0aaIBiN54drcz3XMMFYipOqax9f74YKiB cQ8dnaoEatTIMbgsLeN0GRfTP5cEysNmvlJdkcbYNkfnsxqd9fbJ9aMIbYxH4RMjkY2kjlOBRH2V yvCuU07CzZ3qWANjbOdXeyft8T19Lwa9zpuBCiCWOxq/V0hzIccIViuCqnF8BCnkVUuSrejsz3RK OLO10Z732b033ZNGNvXszpppj/rBOwwFGqvlJDZC69GyYynWlZUVKlAoT+nlYP9QWbfR7Z5/FZaD iZpGE+hG+AvwIVPNk5bOfLHF/dcqMsNs2cGG+3WqLuO5mmGj3QfC4CwYw84bIVNFJcselgykKZ7U ZVaOB12AaLkEdHKDImB5U7nLuClnqfqEuduzPJi8BR9ijkc00twvKULju/N2+foJ2TaGtXtqBhon HHZMHpRc/6ziOBtNWDux4q8vS/ZAOrovwM1bRD8B7VrXZH6sbig4Px2rk3CXaAkazeU+/E1qpO3m cSpgY11qqKSEQtfBt+gjuS3ZfSyT6OkVfDWluLwzReHj5mG+LBBohv2SmpUS6QGSc6mmmLpQMybI ZEvDN29ZMID7WiazAZR/5W4b7GQVLOcbwzXsTUUyQ1d7mzfrmhyUfCev/P5+VWNC+K/+0gxyj4ye hQYtiMHujpBErxTJi+s+pdJtYnvPV+2QiGF4vtKgRYQ3G0DX1s02Xppl8bu/v8C03GRwoTzL0hQI WJY6P7dIJz0laRbG7EzbwLPDunSHcZLOLWRP5QZVeXibXxTE9Uvrzuk/w1DXnXAWgOtLzrkNBd7v +PG4Tm+y+vpLkQlmM/hHhYS8N7hSmwIbL7dMLBDclNl0eaxuIUOJo0YQ1FfvZ0ih1bH8n8ZraURv hWQ8djZYCOVSwWQUy5p/ZUleL7no7EUwtIjjLE3JrIACequzRZz64rk87OiMgO/H52Flj5s/K+OG +OMDP7gJjWta/t3D9DMHaPwOb0Z94kaZ5UHZWX6FysML2zdG96fWamYPrrn3/Q3BnvjfQLqz43zH QC9OE31utL3kMO2PMiVkHvxPYwGhxhBG3nFn6kRD6Nf6Bk5bLFxiphP2DUdxeDHAjbt3cJubSnMN BjFWIZsz8kQSSLVJf8rv6vsErV2MTH3OVMUJ7a4FLlSzavAbvw== `protect end_protected
-------------------------------------------------- -- Module Name: Hex4Digs_2_SSeg - behavioral -- -------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.Hex4Digs_2_SSeg_Package.all; entity Hex4Digs_2_SSeg is Port ( clock : in std_logic; -- Input clock sw0 : in std_logic; -- Switch to control clock incr : in std_logic_vector (3 downto 0); -- Increment digits anodes : out std_logic_vector (3 downto 0); -- Anodes for display cathodes : out std_logic_vector (7 downto 0)); -- Cathodes for segments end Hex4Digs_2_SSeg; architecture behavioral of Hex4Digs_2_SSeg is signal dsel : std_logic_vector (1 downto 0) := "00"; -- Display select signal hx0, hx1, hx2, hx3 : std_logic_vector (3 downto 0) := "0000"; -- Hex digits signal sg0, sg1, sg2, sg3 : std_logic_vector (7 downto 0) := "11111111"; -- Segments begin -- Get 4 Hex digits and assign their segments dig0: Hex2SSeg port map (hx0, sg0); dig1: Hex2SSeg port map (hx1, sg1); dig2: Hex2SSeg port map (hx2, sg2); dig3: Hex2SSeg port map (hx3, sg3); process (incr) begin if (incr(0) = '1') then hx0 <= hx0 + 1; end if; if (incr(1) = '1') then hx1 <= hx1 + 1; end if; if (incr(2) = '1') then hx2 <= hx2 + 1; end if; if (incr(3) = '1') then hx3 <= hx3 + 1; end if; end process; process (clock) -- choose output display with dsel begin if (clock'event and clock = '1') then case dsel is when "00" => cathodes <= sg0; anodes <= "0111"; when "01" => cathodes <= sg1; anodes <= "1011"; when "10" => cathodes <= sg2; anodes <= "1101"; when others => cathodes <= sg3; anodes <= "1110"; end case; dsel <= dsel - 1; end if; end process; end behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2506.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b04x00p03n01i02506ent IS END c07s03b04x00p03n01i02506ent; ARCHITECTURE c07s03b04x00p03n01i02506arch OF c07s03b04x00p03n01i02506ent IS type rec_type is record x : bit; y : integer; z : boolean; end record; BEGIN TESTING: PROCESS variable S1 :rec_type; BEGIN S1 := rec_type'(bit'('0'), 1, true) ;-- No_Failure_here assert NOT(S1.x='0' and S1.y=1 and S1.z=true) report "***PASSED TEST: c07s03b04x00p03n01i02506" severity NOTE; assert (S1.x='0' and S1.y=1 and S1.z=true) report "***FAILED TEST: c07s03b04x00p03n01i02506 - Expression type does not match type mark." severity ERROR; wait; END PROCESS TESTING; END c07s03b04x00p03n01i02506arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2506.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b04x00p03n01i02506ent IS END c07s03b04x00p03n01i02506ent; ARCHITECTURE c07s03b04x00p03n01i02506arch OF c07s03b04x00p03n01i02506ent IS type rec_type is record x : bit; y : integer; z : boolean; end record; BEGIN TESTING: PROCESS variable S1 :rec_type; BEGIN S1 := rec_type'(bit'('0'), 1, true) ;-- No_Failure_here assert NOT(S1.x='0' and S1.y=1 and S1.z=true) report "***PASSED TEST: c07s03b04x00p03n01i02506" severity NOTE; assert (S1.x='0' and S1.y=1 and S1.z=true) report "***FAILED TEST: c07s03b04x00p03n01i02506 - Expression type does not match type mark." severity ERROR; wait; END PROCESS TESTING; END c07s03b04x00p03n01i02506arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2506.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b04x00p03n01i02506ent IS END c07s03b04x00p03n01i02506ent; ARCHITECTURE c07s03b04x00p03n01i02506arch OF c07s03b04x00p03n01i02506ent IS type rec_type is record x : bit; y : integer; z : boolean; end record; BEGIN TESTING: PROCESS variable S1 :rec_type; BEGIN S1 := rec_type'(bit'('0'), 1, true) ;-- No_Failure_here assert NOT(S1.x='0' and S1.y=1 and S1.z=true) report "***PASSED TEST: c07s03b04x00p03n01i02506" severity NOTE; assert (S1.x='0' and S1.y=1 and S1.z=true) report "***FAILED TEST: c07s03b04x00p03n01i02506 - Expression type does not match type mark." severity ERROR; wait; END PROCESS TESTING; END c07s03b04x00p03n01i02506arch;
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: O.87xd -- \ \ Application: netgen -- / / Filename: fifo_generator_64_16.vhd -- /___/ /\ Timestamp: Mon Jul 14 16:27:30 2014 -- \ \ / \ -- \___\/\___\ -- -- Command : -w -sim -ofmt vhdl /home/ogamal/coregen/tmp/_cg/fifo_generator_64_16.ngc /home/ogamal/coregen/tmp/_cg/fifo_generator_64_16.vhd -- Device : 5vlx330ff1760-2 -- Input file : /home/ogamal/coregen/tmp/_cg/fifo_generator_64_16.ngc -- Output file : /home/ogamal/coregen/tmp/_cg/fifo_generator_64_16.vhd -- # of Entities : 1 -- Design Name : fifo_generator_64_16 -- Xilinx : /remote/Xilinx/13.4/ISE/ -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Command Line Tools User Guide, Chapter 23 -- Synthesis and Simulation Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- -- synthesis translate_off library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; use UNISIM.VPKG.ALL; entity fifo_generator_64_16 is port ( clk : in STD_LOGIC := 'X'; rd_en : in STD_LOGIC := 'X'; almost_full : out STD_LOGIC; rst : in STD_LOGIC := 'X'; empty : out STD_LOGIC; wr_en : in STD_LOGIC := 'X'; valid : out STD_LOGIC; full : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); din : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); end fifo_generator_64_16; architecture STRUCTURE of fifo_generator_64_16 is signal N0 : STD_LOGIC; signal N1 : STD_LOGIC; signal N111 : STD_LOGIC; signal N19 : STD_LOGIC; signal N21 : STD_LOGIC; signal Result_0_1 : STD_LOGIC; signal Result_1_1 : STD_LOGIC; signal Result_2_1 : STD_LOGIC; signal Result_3_1 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1_13 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_15 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000014_17 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000023_18 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000056_19 : STD_LOGIC; signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 : STD_LOGIC; signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000010_32 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000026_33 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000081_34 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_36 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_37 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_53 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_54 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_55 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_56 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1_60 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_61 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3_62 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_63 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_64 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2_65 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_SBITERR_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DBITERR_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_5_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_4_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_0_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_5_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_4_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_0_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_5_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_4_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_0_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_5_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_4_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_0_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_7_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_6_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_5_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_4_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_0_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_7_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_6_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_5_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_4_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_0_UNCONNECTED : STD_LOGIC; signal Result : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 1 ); begin almost_full <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i; empty <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i; valid <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1_13; full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_37; XST_GND : GND port map ( G => N0 ); XST_VCC : VCC port map ( P => N1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1 : FDC generic map( INIT => '0' ) port map ( C => clk, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1_13 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_0 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_0 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(0), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_0 : FDPE generic map( INIT => '1' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => Result(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_0 : FDPE generic map( INIT => '1' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, D => Result(0), PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => Result(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_0 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => Result_0_1, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_1 : FDPE generic map( INIT => '1' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, D => Result_1_1, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => Result_2_1, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => Result(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => Result_3_1, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_15 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_61, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_36 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_61, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_37 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_61, Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN : FDC generic map( INIT => '0' ) port map ( C => clk, CLR => rst, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3_62, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_53 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3 : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_61, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3_62 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_55, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_56 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_64, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2_65 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2 : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1_60, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_61 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_54, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_55 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg : FDPE port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_64, D => N0, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_63 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_63, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_64 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDPE port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_55, D => N0, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_54 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1_60 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg_1 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb1 : LUT2 generic map( INIT => X"4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2_65, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_63, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2 generic map( INIT => X"4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_56, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_54, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i1 : LUT2 generic map( INIT => X"4" ) port map ( I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i, I1 => rd_en, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_1_11 : LUT2 generic map( INIT => X"6" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), O => Result_1_1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_1_11 : LUT2 generic map( INIT => X"6" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), O => Result(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_2_11 : LUT3 generic map( INIT => X"6C" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), O => Result_2_1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_2_11 : LUT3 generic map( INIT => X"6C" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), O => Result(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_3_11 : LUT4 generic map( INIT => X"6CCC" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), O => Result_3_1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_3_11 : LUT4 generic map( INIT => X"6CCC" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), O => Result(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en1 : LUT3 generic map( INIT => X"F4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_15, I1 => rd_en, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_ram_wr_en_i1 : LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_36, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1 : LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_15, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb1 : LUT6 generic map( INIT => X"303A3030003A0030" ) port map ( I0 => wr_en, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_53, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_36, I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1, I5 => N111, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_dout_i_SW0 : LUT4 generic map( INIT => X"6FF6" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(2), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(3), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), O => N19 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_dout_i : LUT5 generic map( INIT => X"00008241" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(0), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(1), I4 => N19, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000010 : LUT2 generic map( INIT => X"4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_53, I1 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000010_32 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000026 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000026_33 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000081 : LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000081_34 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000119 : LUT6 generic map( INIT => X"AE0AAA0AAEAAAAAA" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000010_32, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000026_33, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or000081_34, I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000031_SW0 : LUT4 generic map( INIT => X"6FF6" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), O => N21 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000031 : LUT5 generic map( INIT => X"FFFF6FF6" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), I4 => N21, O => N111 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000014 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000014_17 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000023 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000023_18 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000056 : LUT5 generic map( INIT => X"80082002" ) port map ( I0 => rd_en, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000056_19 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000105 : LUT6 generic map( INIT => X"BAAAAAAA32222222" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_15, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000014_17, I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000023_18, I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or000056_19, I5 => N111, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_0_11_INV_0 : INV port map ( I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), O => Result_0_1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_0_11_INV_0 : INV port map ( I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), O => Result(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP : RAMB36SDP_EXP generic map( DO_REG => 0, EN_ECC_READ => FALSE, EN_ECC_SCRUB => FALSE, EN_ECC_WRITE => FALSE, INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT => X"000000000000000000", SRVAL => X"000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_MODE => "SAFE", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( RDENU => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en, RDENL => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en, WRENU => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WRENL => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, SSRU => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q, SSRL => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q, RDCLKU => clk, RDCLKL => clk, WRCLKU => clk, WRCLKL => clk, RDRCLKU => clk, RDRCLKL => clk, REGCEU => N0, REGCEL => N0, SBITERR => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_SBITERR_UNCONNECTED , DBITERR => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DBITERR_UNCONNECTED , DI(63) => din(63), DI(62) => din(62), DI(61) => din(61), DI(60) => din(60), DI(59) => din(59), DI(58) => din(58), DI(57) => din(57), DI(56) => din(56), DI(55) => din(55), DI(54) => din(54), DI(53) => din(53), DI(52) => din(52), DI(51) => din(51), DI(50) => din(50), DI(49) => din(49), DI(48) => din(48), DI(47) => din(47), DI(46) => din(46), DI(45) => din(45), DI(44) => din(44), DI(43) => din(43), DI(42) => din(42), DI(41) => din(41), DI(40) => din(40), DI(39) => din(39), DI(38) => din(38), DI(37) => din(37), DI(36) => din(36), DI(35) => din(35), DI(34) => din(34), DI(33) => din(33), DI(32) => din(32), DI(31) => din(31), DI(30) => din(30), DI(29) => din(29), DI(28) => din(28), DI(27) => din(27), DI(26) => din(26), DI(25) => din(25), DI(24) => din(24), DI(23) => din(23), DI(22) => din(22), DI(21) => din(21), DI(20) => din(20), DI(19) => din(19), DI(18) => din(18), DI(17) => din(17), DI(16) => din(16), DI(15) => din(15), DI(14) => din(14), DI(13) => din(13), DI(12) => din(12), DI(11) => din(11), DI(10) => din(10), DI(9) => din(9), DI(8) => din(8), DI(7) => din(7), DI(6) => din(6), DI(5) => din(5), DI(4) => din(4), DI(3) => din(3), DI(2) => din(2), DI(1) => din(1), DI(0) => din(0), DIP(7) => N0, DIP(6) => N0, DIP(5) => N0, DIP(4) => N0, DIP(3) => N0, DIP(2) => N0, DIP(1) => N0, DIP(0) => N0, RDADDRL(15) => N1, RDADDRL(14) => N0, RDADDRL(13) => N0, RDADDRL(12) => N0, RDADDRL(11) => N0, RDADDRL(10) => N0, RDADDRL(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), RDADDRL(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), RDADDRL(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), RDADDRL(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), RDADDRL(5) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_5_UNCONNECTED , RDADDRL(4) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_4_UNCONNECTED , RDADDRL(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_3_UNCONNECTED , RDADDRL(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_2_UNCONNECTED , RDADDRL(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_1_UNCONNECTED , RDADDRL(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRL_0_UNCONNECTED , RDADDRU(14) => N0, RDADDRU(13) => N0, RDADDRU(12) => N0, RDADDRU(11) => N0, RDADDRU(10) => N0, RDADDRU(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), RDADDRU(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), RDADDRU(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), RDADDRU(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), RDADDRU(5) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_5_UNCONNECTED , RDADDRU(4) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_4_UNCONNECTED , RDADDRU(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_3_UNCONNECTED , RDADDRU(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_2_UNCONNECTED , RDADDRU(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_1_UNCONNECTED , RDADDRU(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_RDADDRU_0_UNCONNECTED , WRADDRL(15) => N1, WRADDRL(14) => N0, WRADDRL(13) => N0, WRADDRL(12) => N0, WRADDRL(11) => N0, WRADDRL(10) => N0, WRADDRL(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), WRADDRL(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), WRADDRL(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), WRADDRL(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), WRADDRL(5) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_5_UNCONNECTED , WRADDRL(4) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_4_UNCONNECTED , WRADDRL(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_3_UNCONNECTED , WRADDRL(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_2_UNCONNECTED , WRADDRL(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_1_UNCONNECTED , WRADDRL(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRL_0_UNCONNECTED , WRADDRU(14) => N0, WRADDRU(13) => N0, WRADDRU(12) => N0, WRADDRU(11) => N0, WRADDRU(10) => N0, WRADDRU(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), WRADDRU(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), WRADDRU(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), WRADDRU(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), WRADDRU(5) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_5_UNCONNECTED , WRADDRU(4) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_4_UNCONNECTED , WRADDRU(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_3_UNCONNECTED , WRADDRU(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_2_UNCONNECTED , WRADDRU(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_1_UNCONNECTED , WRADDRU(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_WRADDRU_0_UNCONNECTED , WEU(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEU(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WEL(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, DO(63) => dout(63), DO(62) => dout(62), DO(61) => dout(61), DO(60) => dout(60), DO(59) => dout(59), DO(58) => dout(58), DO(57) => dout(57), DO(56) => dout(56), DO(55) => dout(55), DO(54) => dout(54), DO(53) => dout(53), DO(52) => dout(52), DO(51) => dout(51), DO(50) => dout(50), DO(49) => dout(49), DO(48) => dout(48), DO(47) => dout(47), DO(46) => dout(46), DO(45) => dout(45), DO(44) => dout(44), DO(43) => dout(43), DO(42) => dout(42), DO(41) => dout(41), DO(40) => dout(40), DO(39) => dout(39), DO(38) => dout(38), DO(37) => dout(37), DO(36) => dout(36), DO(35) => dout(35), DO(34) => dout(34), DO(33) => dout(33), DO(32) => dout(32), DO(31) => dout(31), DO(30) => dout(30), DO(29) => dout(29), DO(28) => dout(28), DO(27) => dout(27), DO(26) => dout(26), DO(25) => dout(25), DO(24) => dout(24), DO(23) => dout(23), DO(22) => dout(22), DO(21) => dout(21), DO(20) => dout(20), DO(19) => dout(19), DO(18) => dout(18), DO(17) => dout(17), DO(16) => dout(16), DO(15) => dout(15), DO(14) => dout(14), DO(13) => dout(13), DO(12) => dout(12), DO(11) => dout(11), DO(10) => dout(10), DO(9) => dout(9), DO(8) => dout(8), DO(7) => dout(7), DO(6) => dout(6), DO(5) => dout(5), DO(4) => dout(4), DO(3) => dout(3), DO(2) => dout(2), DO(1) => dout(1), DO(0) => dout(0), DOP(7) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_7_UNCONNECTED , DOP(6) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_6_UNCONNECTED , DOP(5) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_5_UNCONNECTED , DOP(4) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_4_UNCONNECTED , DOP(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_3_UNCONNECTED , DOP(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_2_UNCONNECTED , DOP(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_1_UNCONNECTED , DOP(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_DOP_0_UNCONNECTED , ECCPARITY(7) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_7_UNCONNECTED , ECCPARITY(6) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_6_UNCONNECTED , ECCPARITY(5) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_5_UNCONNECTED , ECCPARITY(4) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_4_UNCONNECTED , ECCPARITY(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_3_UNCONNECTED , ECCPARITY(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_2_UNCONNECTED , ECCPARITY(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_1_UNCONNECTED , ECCPARITY(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM36_noeccerr_SDP_ECCPARITY_0_UNCONNECTED ); end STRUCTURE; -- synthesis translate_on
library verilog; use verilog.vl_types.all; entity R_SEQ is port( address : in vl_logic_vector(7 downto 0); clock : in vl_logic; q : out vl_logic_vector(127 downto 0) ); end R_SEQ;
library verilog; use verilog.vl_types.all; entity R_SEQ is port( address : in vl_logic_vector(7 downto 0); clock : in vl_logic; q : out vl_logic_vector(127 downto 0) ); end R_SEQ;
package pack is function add4(x : in integer) return integer; end package; package body pack is function add4(x : in integer) return integer is begin return x + 4; end function; end package body; ------------------------------------------------------------------------------- entity ffold is end entity; use work.pack.all; architecture a of ffold is function add1(x : in integer) return integer is begin return x + 1; end function; function log2(x : in integer) return integer is variable r : integer := 0; variable c : integer := 1; begin --while true loop --end loop; if x <= 1 then r := 1; else while c < x loop r := r + 1; c := c * 2; end loop; end if; return r; end function; function case1(x : in integer) return integer is begin case x is when 1 => return 2; when 2 => return 3; when others => return 5; end case; end function; function adddef(x, y : in integer := 5) return integer is begin return x + y; end function; function chain1(x : string) return boolean is variable r : boolean := false; begin if x = "hello" then r := true; end if; return r; end function; function chain2(x, y : string) return boolean is variable r : boolean := false; begin if chain1(x) or chain1(y) then r := true; end if; return r; end function; signal s1 : integer := add1(5); signal s2 : integer := add4(1); signal s3 : integer := log2(11); signal s4 : integer := log2(integer(real'(5.5))); signal s5 : integer := case1(1); signal s6 : integer := case1(7); signal s7 : integer := adddef; signal s8 : boolean := chain2("foo", "hello"); begin end architecture;
package pack is function add4(x : in integer) return integer; end package; package body pack is function add4(x : in integer) return integer is begin return x + 4; end function; end package body; ------------------------------------------------------------------------------- entity ffold is end entity; use work.pack.all; architecture a of ffold is function add1(x : in integer) return integer is begin return x + 1; end function; function log2(x : in integer) return integer is variable r : integer := 0; variable c : integer := 1; begin --while true loop --end loop; if x <= 1 then r := 1; else while c < x loop r := r + 1; c := c * 2; end loop; end if; return r; end function; function case1(x : in integer) return integer is begin case x is when 1 => return 2; when 2 => return 3; when others => return 5; end case; end function; function adddef(x, y : in integer := 5) return integer is begin return x + y; end function; function chain1(x : string) return boolean is variable r : boolean := false; begin if x = "hello" then r := true; end if; return r; end function; function chain2(x, y : string) return boolean is variable r : boolean := false; begin if chain1(x) or chain1(y) then r := true; end if; return r; end function; signal s1 : integer := add1(5); signal s2 : integer := add4(1); signal s3 : integer := log2(11); signal s4 : integer := log2(integer(real'(5.5))); signal s5 : integer := case1(1); signal s6 : integer := case1(7); signal s7 : integer := adddef; signal s8 : boolean := chain2("foo", "hello"); begin end architecture;
package pack is function add4(x : in integer) return integer; end package; package body pack is function add4(x : in integer) return integer is begin return x + 4; end function; end package body; ------------------------------------------------------------------------------- entity ffold is end entity; use work.pack.all; architecture a of ffold is function add1(x : in integer) return integer is begin return x + 1; end function; function log2(x : in integer) return integer is variable r : integer := 0; variable c : integer := 1; begin --while true loop --end loop; if x <= 1 then r := 1; else while c < x loop r := r + 1; c := c * 2; end loop; end if; return r; end function; function case1(x : in integer) return integer is begin case x is when 1 => return 2; when 2 => return 3; when others => return 5; end case; end function; function adddef(x, y : in integer := 5) return integer is begin return x + y; end function; function chain1(x : string) return boolean is variable r : boolean := false; begin if x = "hello" then r := true; end if; return r; end function; function chain2(x, y : string) return boolean is variable r : boolean := false; begin if chain1(x) or chain1(y) then r := true; end if; return r; end function; signal s1 : integer := add1(5); signal s2 : integer := add4(1); signal s3 : integer := log2(11); signal s4 : integer := log2(integer(real'(5.5))); signal s5 : integer := case1(1); signal s6 : integer := case1(7); signal s7 : integer := adddef; signal s8 : boolean := chain2("foo", "hello"); begin end architecture;
---------------------------------------------------------------------------------- -- Company: Universidad Complutense de Madrid -- Engineer: Hortensia Mecha -- -- Design Name: divisor -- Module Name: divisor - divisor_arch -- Project Name: -- Target Devices: -- Description: Creación de un reloj de 1Hz a partir de -- un clk de 100 MHz -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; USE IEEE.std_logic_unsigned.ALL; entity divisor is port ( reset: in STD_LOGIC; clk_entrada: in STD_LOGIC; -- reloj de entrada de la entity superior clk_salida: out STD_LOGIC -- reloj que se utiliza en los process del programa principal ); end divisor; architecture divisor_arch of divisor is SIGNAL cuenta: std_logic_vector(1 downto 0); SIGNAL clk_aux, clk: std_logic; begin clk<=clk_entrada; clk_salida<=clk_aux; contador: PROCESS(reset, clk) BEGIN IF (reset='1') THEN cuenta<= (OTHERS=>'0'); clk_aux <= '0'; ELSIF(clk'EVENT AND clk='1') THEN IF (cuenta="11") THEN clk_aux <= not clk_aux; cuenta<= (OTHERS=>'0'); ELSE cuenta <= cuenta+'1'; END IF; END IF; END PROCESS contador; end divisor_arch;
---------------------------------------------------------------------------------- -- Company: Universidad Complutense de Madrid -- Engineer: Hortensia Mecha -- -- Design Name: divisor -- Module Name: divisor - divisor_arch -- Project Name: -- Target Devices: -- Description: Creación de un reloj de 1Hz a partir de -- un clk de 100 MHz -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; USE IEEE.std_logic_unsigned.ALL; entity divisor is port ( reset: in STD_LOGIC; clk_entrada: in STD_LOGIC; -- reloj de entrada de la entity superior clk_salida: out STD_LOGIC -- reloj que se utiliza en los process del programa principal ); end divisor; architecture divisor_arch of divisor is SIGNAL cuenta: std_logic_vector(1 downto 0); SIGNAL clk_aux, clk: std_logic; begin clk<=clk_entrada; clk_salida<=clk_aux; contador: PROCESS(reset, clk) BEGIN IF (reset='1') THEN cuenta<= (OTHERS=>'0'); clk_aux <= '0'; ELSIF(clk'EVENT AND clk='1') THEN IF (cuenta="11") THEN clk_aux <= not clk_aux; cuenta<= (OTHERS=>'0'); ELSE cuenta <= cuenta+'1'; END IF; END IF; END PROCESS contador; end divisor_arch;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity pixel_gen is port ( clk : in std_logic; x0,y0 : in std_logic_vector(17 downto 0); overflow_bits : out std_logic_vector(19 downto 0)); end pixel_gen; architecture Behavioral of pixel_gen is type pipe_state is (s1,s2,s3); signal state : pipe_state := s1; component mandelbrot_pipeline4 port( clk : IN std_logic; ov_in : in std_logic; x,y,x0,y0 : IN std_logic_vector(17 downto 0); x_out,y_out,x0_out,y0_out : OUT std_logic_vector(17 downto 0); ov : out std_logic_vector (3 downto 0)); end component; signal x_in,y_in,x0_in,y0_in : std_logic_vector(17 downto 0); signal x_out,y_out,x0_out,y0_out : std_logic_vector(17 downto 0); signal ov_in : std_logic; signal ov_out : std_logic_vector (3 downto 0); -- latches signal x_out1, y_out1, x0_out1, y0_out1 : std_logic_vector(17 downto 0); signal x_out2, y_out2, x0_out2, y0_out2 : std_logic_vector(17 downto 0); signal x_out3, y_out3, x0_out3, y0_out3 : std_logic_vector(17 downto 0); signal x_out4, y_out4, x0_out4, y0_out4 : std_logic_vector(17 downto 0); signal ov1, ov2, ov3, ov4 : std_logic_vector (3 downto 0); signal overflow : std_logic_vector (19 downto 0); begin overflow_bits <= overflow; pipeline : mandelbrot_pipeline4 port map( clk => clk, ov_in => ov_in, x => x_in, y => y_in, x0 => x0_in, y0 => y0_in, -- inputs x_out => x_out, y_out => y_out, ov => ov_out, -- outputs x0_out=> x0_out, y0_out => y0_out ); piped : process (clk) begin if rising_edge(clk) then case state is when s1 => x_in <= x0; y_in <= y0; x0_in <= x0; y0_in <= y0; ov_in <= '0'; x_out1 <= x_out; y_out1 <= y_out; x0_out1 <= x0_out; y0_out1 <= y0_out; ov1 <= ov_out; state <= s2; when s2 => x_in <= x_out1; y_in <= y_out1; x0_in <= x0_out1; y0_in <= y0_out1; ov_in <= ov1(0); x_out2<= x_out; y_out2 <= y_out; x0_out2 <= x0_out;y0_out2 <= y0_out; ov2 <= ov_out; state <= s3; when s3 => x_in <= x_out2; y_in <= y_out2; x0_in <= x0_out2; y0_in <= y0_out2; ov_in <= ov2(0); overflow <= ov1 & ov2 & ov_out & x"00"; state <= s1; end case; end if; end process; end Behavioral;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:42:21 08/16/2010 -- Design Name: -- Module Name: C:/Users/georgecuris/Desktop/Folders/FPGA/Projects/Current Projects/Systems/testytest/top_level_TB.vhd -- Project Name: testytest -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: top_level -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY top_level_TB IS END top_level_TB; ARCHITECTURE behavior OF top_level_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT top_level PORT( mclk : IN std_logic; rst : IN std_logic; CQ_write_en : IN std_logic; VQ_read_en : IN std_logic; memory_address_bus : INOUT std_logic_vector(22 downto 0); memory_data_bus : INOUT std_logic_vector(15 downto 0); anodes : OUT std_logic_vector(3 downto 0); decoder_out : OUT std_logic_vector(6 downto 0); RAM_oe : OUT std_logic; RAM_we : OUT std_logic; RAM_adv : OUT std_logic; RAM_clk : OUT std_logic; RAM_ub : OUT std_logic; RAM_lb : OUT std_logic; RAM_ce : OUT std_logic; RAM_cre : OUT std_logic; RAM_wait : IN std_logic; LEDs : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal mclk : std_logic := '0'; signal rst : std_logic := '0'; signal CQ_write_en : std_logic := '0'; signal VQ_read_en : std_logic := '0'; signal RAM_wait : std_logic := '0'; --BiDirs signal memory_address_bus : std_logic_vector(22 downto 0); signal memory_data_bus : std_logic_vector(15 downto 0); --Outputs signal anodes : std_logic_vector(3 downto 0); signal decoder_out : std_logic_vector(6 downto 0); signal RAM_oe : std_logic; signal RAM_we : std_logic; signal RAM_adv : std_logic; signal RAM_clk : std_logic; signal RAM_ub : std_logic; signal RAM_lb : std_logic; signal RAM_ce : std_logic; signal RAM_cre : std_logic; signal LEDs : std_logic_vector(7 downto 0); -- Clock period definitions constant mclk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: top_level PORT MAP ( mclk => mclk, rst => rst, CQ_write_en => CQ_write_en, VQ_read_en => VQ_read_en, memory_address_bus => memory_address_bus, memory_data_bus => memory_data_bus, anodes => anodes, decoder_out => decoder_out, RAM_oe => RAM_oe, RAM_we => RAM_we, RAM_adv => RAM_adv, RAM_clk => RAM_clk, RAM_ub => RAM_ub, RAM_lb => RAM_lb, RAM_ce => RAM_ce, RAM_cre => RAM_cre, RAM_wait => RAM_wait, LEDs => LEDs ); -- Clock process definitions mclk_process :process begin mclk <= '1'; wait for mclk_period/2; mclk <= '0'; wait for mclk_period/2; end process; RAM_wait_proc :process begin RAM_wait <= '0'; wait for 980 ns; RAM_wait <= '0'; wait for 35 ns; RAM_wait <= '1'; wait for 40 ns; RAM_wait <= '0'; wait; end process; -- Stimulus process stim_proc: process begin rst <= '1'; wait for 300 ns; rst <= '0'; wait; end process; END;
entity FIFO is port ( port1 : in std_logic; port1 : out std_logic; port1 : inout std_logic bus; port1 : buffer std_logic bus := "asdf"; port1 : linkage std_logic := "asdf"; port1 : std_logic ); end entity FIFO; entity FIFO is port ( signal port1 : in std_logic; signal port1 : out std_logic; signal port1 : inout std_logic; signal port1 : buffer std_logic; signal port1 : linkage std_logic; signal port1 : std_logic ); end entity FIFO;
-- VHDL Entity lab10_WriteBack_Stage_lib.lab10_WriteBack_Stage.symbol -- -- Created: -- by - Hong.Hong (HSM) -- at - 01:13:04 04/23/14 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2013.1 (Build 6) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY lab10_WriteBack_Stage IS PORT( Control : IN std_logic; Dest : IN std_logic_vector (3 DOWNTO 0); Value : IN std_logic_vector (15 DOWNTO 0); clk : IN std_logic; Control_toRegFile : OUT std_logic; Dest_toRegFile : OUT std_logic_vector (3 DOWNTO 0); Value_toRegFile : OUT std_logic_vector (15 DOWNTO 0) ); -- Declarations END lab10_WriteBack_Stage ; -- -- VHDL Architecture lab10_WriteBack_Stage_lib.lab10_WriteBack_Stage.struct -- -- Created: -- by - Hong.Hong (HSM) -- at - 01:13:04 04/23/14 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2013.1 (Build 6) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ARCHITECTURE struct OF lab10_WriteBack_Stage IS -- Architecture declarations -- Internal signal declarations SIGNAL load_enable : std_logic; -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff' SIGNAL mw_U_0reg_cval : std_logic_vector(15 DOWNTO 0) := "0000000000000000"; -- ModuleWare signal declarations(v1.12) for instance 'U_1' of 'adff' SIGNAL mw_U_1reg_cval : std_logic_vector(3 DOWNTO 0) := "0000"; -- ModuleWare signal declarations(v1.12) for instance 'U_2' of 'adff' SIGNAL mw_U_2reg_cval : std_logic := '0'; BEGIN -- ModuleWare code(v1.12) for instance 'U_0' of 'adff' Value_toRegFile <= mw_U_0reg_cval; u_0seq_proc: PROCESS (clk)BEGIN IF (clk'EVENT AND clk='1') THEN IF (load_enable = '1') THEN mw_U_0reg_cval <= Value; END IF; END IF; END PROCESS u_0seq_proc; -- ModuleWare code(v1.12) for instance 'U_1' of 'adff' Dest_toRegFile <= mw_U_1reg_cval; u_1seq_proc: PROCESS (clk)BEGIN IF (clk'EVENT AND clk='1') THEN IF (load_enable = '1') THEN mw_U_1reg_cval <= Dest; END IF; END IF; END PROCESS u_1seq_proc; -- ModuleWare code(v1.12) for instance 'U_2' of 'adff' Control_toRegFile <= mw_U_2reg_cval; u_2seq_proc: PROCESS (clk)BEGIN IF (clk'EVENT AND clk='1') THEN IF (load_enable = '1') THEN mw_U_2reg_cval <= Control; END IF; END IF; END PROCESS u_2seq_proc; -- ModuleWare code(v1.12) for instance 'ONE' of 'constval' load_enable <= '1'; -- Instance port mappings. END struct;
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
-- dynshreg_i_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_i_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- This version allows the client to specify the initial value -- of the contents of the shift register, as applied -- during configuration. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; -- library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.clog2; -------------------------------------------------------------------------------- -- Explanations of generics and ports regarding aspects that may not be obvious. -- -- C_DWIDTH -------- -- Theoretically, C_DWIDTH may be set to zero and this could be a more -- natural or preferrable way of excluding a dynamic shift register -- in a client than using a VHDL Generate statement. However, this usage is not -- tested, and the user should expect that some VHDL tools will be deficient -- with respect to handling this properly. -- -- C_INIT_VALUE --------------- -- C_INIT_VALUE can be used to specify the initial values of the elements -- in the dynamic shift register, i.e. the values to be present after config- -- uration. C_INIT_VALUE need not be the same size as the dynamic shift -- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE -- is replicated as many times as needed (possibly fractionally the last time) -- to form a full initial value that is the size of the shift register. -- So, if C_INIT_VALUE is left at its default value--an array of size one -- whose value is '0'--the shift register will initialize with all bits at -- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a -- null (size zero) array. -- When determined according to the rules outlined above, the full -- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It -- is allocated to the addresses of the dynamic shift register in this -- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to -- the corresponding indices at address 0, the second C_DWIDTH values -- assigned to address 1, and so forth. -- Please note that the shift register is not resettable after configuration. -- -- Addr ---- -- Addr addresses the elements of the dynamic shift register. Addr=0 causes -- the most recently shifted-in element to appear at Dout, Addr=1 -- the second most recently shifted in element, etc. If C_DEPTH is not -- a power of two, then not all of the values of Addr correspond to an -- element in the shift register. When such an address is applied, the value -- of Dout is undefined until a valid address is established. -------------------------------------------------------------------------------- entity dynshreg_i_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_INIT_VALUE : bit_vector := "0"; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_i_f; architecture behavioral of dynshreg_i_f is constant USE_INFERRED : boolean := true; type bv2sl_type is array(bit) of std_logic; constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1'); function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; -- ------------------------------------------------------------------------------ -- Function used to establish the full initial value. (See the comments for -- C_INIT_VALUE, above.) ------------------------------------------------------------------------------ function full_initial_value(w : natural; d : positive; v : bit_vector ) return bit_vector is variable r : bit_vector(0 to w*d-1); variable i, j : natural; -- i - the index where filling of r continues -- j - the amount to fill on the cur. iteration of the while loop begin if w = 0 then null; -- Handle the case where the shift reg width is zero elsif v'length = 0 then r := (others => '0'); else i := 0; while i /= r'length loop j := min(v'length, r'length-i); r(i to i+j-1) := v(0 to j-1); i := i+j; end loop; end if; return r; end full_initial_value; constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1) := full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- begin INFERRED_GEN : if USE_INFERRED = true generate -- type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); -- function fill_data(w: natural; d: positive; v: bit_vector ) return dataType is variable r : dataType; begin for i in 0 to d-1 loop for j in 0 to w-1 loop r(i)(j) := bv2sl(v(i*w+j)); end loop; end loop; return r; end fill_data; signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL); -- begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2712.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s04b02x00p01n01i02712ent IS END c13s04b02x00p01n01i02712ent; ARCHITECTURE c13s04b02x00p01n01i02712arch OF c13s04b02x00p01n01i02712ent IS BEGIN TESTING: PROCESS BEGIN assert NOT( (2#11#=3) and (7#66#=48) and (12#BB#=143) and (16#FF#=255)) report "***PASSED TEST: c13s04b02x00p01n01i02712" severity NOTE; assert ( (2#11#=3) and (7#66#=48) and (12#BB#=143) and (16#FF#=255)) report "***FAILED TEST: c13s04b02x00p01n01i02712 - Correct based literal test failed." severity ERROR; wait; END PROCESS TESTING; END c13s04b02x00p01n01i02712arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2712.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s04b02x00p01n01i02712ent IS END c13s04b02x00p01n01i02712ent; ARCHITECTURE c13s04b02x00p01n01i02712arch OF c13s04b02x00p01n01i02712ent IS BEGIN TESTING: PROCESS BEGIN assert NOT( (2#11#=3) and (7#66#=48) and (12#BB#=143) and (16#FF#=255)) report "***PASSED TEST: c13s04b02x00p01n01i02712" severity NOTE; assert ( (2#11#=3) and (7#66#=48) and (12#BB#=143) and (16#FF#=255)) report "***FAILED TEST: c13s04b02x00p01n01i02712 - Correct based literal test failed." severity ERROR; wait; END PROCESS TESTING; END c13s04b02x00p01n01i02712arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2712.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s04b02x00p01n01i02712ent IS END c13s04b02x00p01n01i02712ent; ARCHITECTURE c13s04b02x00p01n01i02712arch OF c13s04b02x00p01n01i02712ent IS BEGIN TESTING: PROCESS BEGIN assert NOT( (2#11#=3) and (7#66#=48) and (12#BB#=143) and (16#FF#=255)) report "***PASSED TEST: c13s04b02x00p01n01i02712" severity NOTE; assert ( (2#11#=3) and (7#66#=48) and (12#BB#=143) and (16#FF#=255)) report "***FAILED TEST: c13s04b02x00p01n01i02712 - Correct based literal test failed." severity ERROR; wait; END PROCESS TESTING; END c13s04b02x00p01n01i02712arch;
entity FIFO is end entity; entity FIFO is end entity;
-- ------------------------------------------------------------- -- -- Generated Configuration for mdec_core -- -- Generated -- by: wig -- on: Thu Nov 6 15:56:34 2003 -- cmd: H:\work\mix\mix_0.pl -nodelta ..\nreset2.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: mdec_core-rtl-conf-c.vhd,v 1.1 2004/04/06 10:46:43 wig Exp $ -- $Date: 2004/04/06 10:46:43 $ -- $Log: mdec_core-rtl-conf-c.vhd,v $ -- Revision 1.1 2004/04/06 10:46:43 wig -- Adding result/nreset2 -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.31 2003/10/23 12:13:17 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.17 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration mdec_core_rtl_conf / mdec_core -- configuration mdec_core_rtl_conf of mdec_core is for rtl -- Generated Configuration -- __I_NO_CONFIG_VERILOG --for aou_i1 : aou -- __I_NO_CONFIG_VERILOG -- use configuration work.aou_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; -- __I_NO_CONFIG_VERILOG --for cgu_i1 : cgu -- __I_NO_CONFIG_VERILOG -- use configuration work.cgu_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; -- __I_NO_CONFIG_VERILOG --for cpu_i1 : cpu -- __I_NO_CONFIG_VERILOG -- use configuration work.cpu_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; -- __I_NO_CONFIG_VERILOG --for ema_i1 : ema -- __I_NO_CONFIG_VERILOG -- use configuration work.ema_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; for ga_i1 : ga use configuration work.ga_rtl_conf; end for; -- __I_NO_CONFIG_VERILOG --for i58_io_logic_i1 : i58_io_logic -- __I_NO_CONFIG_VERILOG -- use configuration work.i58_io_logic_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; -- __I_NO_CONFIG_VERILOG --for ifu_top_i1 : ifu_top -- __I_NO_CONFIG_VERILOG -- use configuration work.ifu_top_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; -- __I_NO_CONFIG_VERILOG --for pdu_i1 : pdu -- __I_NO_CONFIG_VERILOG -- use configuration work.pdu_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; -- __I_NO_CONFIG_VERILOG --for tsd_top_i1 : tsd_top -- __I_NO_CONFIG_VERILOG -- use configuration work.tsd_top_rtl_conf; -- __I_NO_CONFIG_VERILOG --end for; for vip_i1 : vip use configuration work.vip_rtl_c0; end for; for vo_i1 : vo use configuration work.vo_rtl_conf; end for; for vor_i1 : vor use configuration work.vor_rtl_conf; end for; end for; end mdec_core_rtl_conf; -- -- End of Generated Configuration mdec_core_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:axi_nic:1.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY sys_axi_nic_10_1 IS PORT ( RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); RX_VALID : IN STD_LOGIC; RX_READY : OUT STD_LOGIC; TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TX_VALID : OUT STD_LOGIC; TX_READY : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC ); END sys_axi_nic_10_1; ARCHITECTURE sys_axi_nic_10_1_arch OF sys_axi_nic_10_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_axi_nic_10_1_arch: ARCHITECTURE IS "yes"; COMPONENT nic_v1_0 IS GENERIC ( C_S00_AXI_DATA_WIDTH : INTEGER; C_S00_AXI_ADDR_WIDTH : INTEGER; USE_1K_NOT_4K_FIFO_DEPTH : BOOLEAN ); PORT ( RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); RX_VALID : IN STD_LOGIC; RX_READY : OUT STD_LOGIC; TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TX_VALID : OUT STD_LOGIC; TX_READY : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC ); END COMPONENT nic_v1_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF RX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TDATA"; ATTRIBUTE X_INTERFACE_INFO OF RX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF RX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF TX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TDATA"; ATTRIBUTE X_INTERFACE_INFO OF TX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF TX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY"; BEGIN U0 : nic_v1_0 GENERIC MAP ( C_S00_AXI_DATA_WIDTH => 32, C_S00_AXI_ADDR_WIDTH => 5, USE_1K_NOT_4K_FIFO_DEPTH => false ) PORT MAP ( RX_DATA => RX_DATA, RX_VALID => RX_VALID, RX_READY => RX_READY, TX_DATA => TX_DATA, TX_VALID => TX_VALID, TX_READY => TX_READY, s00_axi_aclk => s00_axi_aclk, s00_axi_aresetn => s00_axi_aresetn, s00_axi_awaddr => s00_axi_awaddr, s00_axi_awprot => s00_axi_awprot, s00_axi_awvalid => s00_axi_awvalid, s00_axi_awready => s00_axi_awready, s00_axi_wdata => s00_axi_wdata, s00_axi_wstrb => s00_axi_wstrb, s00_axi_wvalid => s00_axi_wvalid, s00_axi_wready => s00_axi_wready, s00_axi_bresp => s00_axi_bresp, s00_axi_bvalid => s00_axi_bvalid, s00_axi_bready => s00_axi_bready, s00_axi_araddr => s00_axi_araddr, s00_axi_arprot => s00_axi_arprot, s00_axi_arvalid => s00_axi_arvalid, s00_axi_arready => s00_axi_arready, s00_axi_rdata => s00_axi_rdata, s00_axi_rresp => s00_axi_rresp, s00_axi_rvalid => s00_axi_rvalid, s00_axi_rready => s00_axi_rready ); END sys_axi_nic_10_1_arch;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 17/08/2015 --! Module Name: elinkInterface_package --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee; use ieee.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; --! package elinkInterface_package is constant elinkRate : integer := 80; -- 80 / 160 / 320 / 640 Mbps (*640 Mbps receiver only, data source is an 8b10b encoded data from emulator) -- if elinkRate is 160 MHz, only {00-direct data} or {01-8b10b encoding} is supported constant elinkEncoding : std_logic_vector(1 downto 0) := "01"; -- 00-direct data / 01-8b10b encoding / 10-HDLC encoding -- constant packet_size : std_logic_vector(7 downto 0) := x"0a"; end package elinkInterface_package ;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 17/08/2015 --! Module Name: elinkInterface_package --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee; use ieee.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; --! package elinkInterface_package is constant elinkRate : integer := 80; -- 80 / 160 / 320 / 640 Mbps (*640 Mbps receiver only, data source is an 8b10b encoded data from emulator) -- if elinkRate is 160 MHz, only {00-direct data} or {01-8b10b encoding} is supported constant elinkEncoding : std_logic_vector(1 downto 0) := "01"; -- 00-direct data / 01-8b10b encoding / 10-HDLC encoding -- constant packet_size : std_logic_vector(7 downto 0) := x"0a"; end package elinkInterface_package ;
-- Nancy Minderman -- [email protected] -- This file makes extensive use of Altera template structures. -- This file is the top-level file for lab 1 winter 2014 for version 12.1sp1 on Windows 7 -- A library clause declares a name as a library. It -- does not create the library; it simply forward declares -- it. library ieee; -- Commonly imported packages: -- STD_LOGIC and STD_LOGIC_VECTOR types, and relevant functions use ieee.std_logic_1164.all; -- SIGNED and UNSIGNED types, and relevant functions use ieee.numeric_std.all; -- Basic sequential functions and concurrent procedures use ieee.VITAL_Primitives.all; use work.DE2_CONSTANTS.all; entity tracking_camera is port ( -- Input ports and 50 MHz Clock KEY : in std_logic_vector (0 downto 0); SW : in std_logic_vector (1 downto 0); CLOCK_50 : in std_logic; GPIO_0 : out std_logic_vector (0 downto 0); -- Green leds on board LEDG : out DE2_LED_GREEN; -- LCD on board LCD_BLON : out std_logic; LCD_ON : out std_logic; LCD_DATA : inout DE2_LCD_DATA_BUS; LCD_RS : out std_logic; LCD_EN : out std_logic; LCD_RW : out std_logic; -- SDRAM on board --DRAM_ADDR : out std_logic_vector (11 downto 0); DRAM_ADDR : out DE2_SDRAM_ADDR_BUS; DRAM_BA_0 : out std_logic; DRAM_BA_1 : out std_logic; DRAM_CAS_N : out std_logic; DRAM_CKE : out std_logic; DRAM_CLK : out std_logic; DRAM_CS_N : out std_logic; --DRAM_DQ : inout std_logic_vector (15 downto 0); DRAM_DQ : inout DE2_SDRAM_DATA_BUS; DRAM_LDQM : out std_logic; DRAM_UDQM : out std_logic; DRAM_RAS_N : out std_logic; DRAM_WE_N : out std_logic; -- SRAM on board SRAM_ADDR : out DE2_SRAM_ADDR_BUS; SRAM_DQ : inout DE2_SRAM_DATA_BUS; SRAM_WE_N : out std_logic; SRAM_OE_N : out std_logic; SRAM_UB_N : out std_logic; SRAM_LB_N : out std_logic; SRAM_CE_N : out std_logic ); end tracking_camera; architecture structure of tracking_camera is -- Declarations (optional) component tracking_camera_system is port ( servo_pwm_0_conduit_end_0_export : out std_logic; clk_clk : in std_logic := 'X'; -- clk reset_reset_n : in std_logic := 'X'; -- reset_n sdram_0_wire_addr : out DE2_SDRAM_ADDR_BUS; -- addr sdram_0_wire_ba : out std_logic_vector(1 downto 0); -- ba sdram_0_wire_cas_n : out std_logic; -- cas_n sdram_0_wire_cke : out std_logic; -- cke sdram_0_wire_cs_n : out std_logic; -- cs_n sdram_0_wire_dq : inout DE2_SDRAM_DATA_BUS := (others => 'X'); -- dq sdram_0_wire_dqm : out std_logic_vector(1 downto 0); -- dqm sdram_0_wire_ras_n : out std_logic; -- ras_n sdram_0_wire_we_n : out std_logic; -- we_n altpll_0_c0_clk : out std_logic; -- clk green_leds_external_connection_export : out DE2_LED_GREEN; -- export switch_external_connection_export : in std_logic := 'X'; -- export switch_0_external_connection_export : in std_logic := 'X'; sram_0_external_interface_DQ : inout DE2_SRAM_DATA_BUS := (others => 'X'); -- DQ sram_0_external_interface_ADDR : out DE2_SRAM_ADDR_BUS; -- ADDR sram_0_external_interface_LB_N : out std_logic; -- LB_N sram_0_external_interface_UB_N : out std_logic; -- UB_N sram_0_external_interface_CE_N : out std_logic; -- CE_N sram_0_external_interface_OE_N : out std_logic; -- OE_N sram_0_external_interface_WE_N : out std_logic; -- WE_N character_lcd_0_external_interface_DATA : inout DE2_LCD_DATA_BUS := (others => 'X'); -- DATA character_lcd_0_external_interface_ON : out std_logic; -- ON character_lcd_0_external_interface_BLON : out std_logic; -- BLON character_lcd_0_external_interface_EN : out std_logic; -- EN character_lcd_0_external_interface_RS : out std_logic; -- RS character_lcd_0_external_interface_RW : out std_logic -- RW ); end component tracking_camera_system; -- These signals are for matching the provided IP core to -- The specific SDRAM chip in our system signal BA : std_logic_vector (1 downto 0); signal DQM : std_logic_vector (1 downto 0); begin DRAM_BA_1 <= BA(1); DRAM_BA_0 <= BA(0); DRAM_UDQM <= DQM(1); DRAM_LDQM <= DQM(0); -- Component Instantiation Statement (optional) u0 : component tracking_camera_system port map ( servo_pwm_0_conduit_end_0_export => GPIO_0(0), clk_clk => CLOCK_50, reset_reset_n => KEY(0), sdram_0_wire_addr => DRAM_ADDR, sdram_0_wire_ba => BA, sdram_0_wire_cas_n => DRAM_CAS_N, sdram_0_wire_cke => DRAM_CKE, sdram_0_wire_cs_n => DRAM_CS_N, sdram_0_wire_dq => DRAM_DQ, sdram_0_wire_dqm => DQM, sdram_0_wire_ras_n => DRAM_RAS_N, sdram_0_wire_we_n => DRAM_WE_N, altpll_0_c0_clk => DRAM_CLK, green_leds_external_connection_export => LEDG, switch_external_connection_export => SW(0), switch_0_external_connection_export => SW(1), sram_0_external_interface_DQ => SRAM_DQ, sram_0_external_interface_ADDR => SRAM_ADDR, sram_0_external_interface_LB_N => SRAM_LB_N, sram_0_external_interface_UB_N => SRAM_UB_N, sram_0_external_interface_CE_N => SRAM_CE_N, sram_0_external_interface_OE_N => SRAM_OE_N, sram_0_external_interface_WE_N => SRAM_WE_N, character_lcd_0_external_interface_DATA => LCD_DATA, character_lcd_0_external_interface_ON => LCD_ON, character_lcd_0_external_interface_BLON => LCD_BLON, character_lcd_0_external_interface_EN => LCD_EN, character_lcd_0_external_interface_RS => LCD_RS, character_lcd_0_external_interface_RW => LCD_RW ); end structure; library ieee; -- Commonly imported packages: -- STD_LOGIC and STD_LOGIC_VECTOR types, and relevant functions use ieee.std_logic_1164.all; package DE2_CONSTANTS is type DE2_SDRAM_ADDR_BUS is array(11 downto 0) of std_logic; type DE2_SDRAM_DATA_BUS is array(15 downto 0) of std_logic; type DE2_LCD_DATA_BUS is array(7 downto 0) of std_logic; type DE2_LED_GREEN is array(7 downto 0) of std_logic; type DE2_SRAM_ADDR_BUS is array(17 downto 0) of std_logic; type DE2_SRAM_DATA_BUS is array(15 downto 0) of std_logic; end DE2_CONSTANTS;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity arpServerWrapper is generic ( keyLength : integer := 32; valueLength : integer := 48); Port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; myMacAddress : in std_logic_vector(47 downto 0); myIpAddress : in std_logic_vector(31 downto 0); axi_arp_to_arp_slice_tvalid : out std_logic; axi_arp_to_arp_slice_tready : in std_logic; axi_arp_to_arp_slice_tdata : out std_logic_vector(63 downto 0); axi_arp_to_arp_slice_tkeep : out std_logic_vector(7 downto 0); axi_arp_to_arp_slice_tlast : out std_logic; axis_arp_lookup_reply_TVALID : out std_logic; axis_arp_lookup_reply_TREADY : in std_logic; axis_arp_lookup_reply_TDATA : out std_logic_vector(55 downto 0); axi_arp_slice_to_arp_tvalid : in std_logic; axi_arp_slice_to_arp_tready : out std_logic; axi_arp_slice_to_arp_tdata : in std_logic_vector(63 downto 0); axi_arp_slice_to_arp_tkeep : in std_logic_vector(7 downto 0); axi_arp_slice_to_arp_tlast : in std_logic; axis_arp_lookup_request_TVALID : in std_logic; axis_arp_lookup_request_TREADY : out std_logic; axis_arp_lookup_request_TDATA : in std_logic_vector(keyLength - 1 downto 0)); end arpServerWrapper; architecture Structural of arpServerWrapper is COMPONENT arp_server_ip PORT(regIpAddress_V : IN std_logic_vector(31 downto 0); myMacAddress_V : in std_logic_vector(47 downto 0); aresetn : IN std_logic; aclk : IN std_logic; macUpdate_resp_TDATA : IN std_logic_vector(55 downto 0); macUpdate_resp_TREADY : OUT std_logic; macUpdate_resp_TVALID : IN std_logic; macUpdate_req_TDATA : OUT std_logic_vector(87 downto 0); macUpdate_req_TREADY : IN std_logic; macUpdate_req_TVALID : OUT std_logic; macLookup_resp_TDATA : IN std_logic_vector(55 downto 0); macLookup_resp_TREADY : OUT std_logic; macLookup_resp_TVALID : IN std_logic; macLookup_req_TDATA : OUT std_logic_vector(39 downto 0); macLookup_req_TREADY : IN std_logic; macLookup_req_TVALID : OUT std_logic; macIpEncode_rsp_TDATA : OUT std_logic_vector(55 downto 0); macIpEncode_rsp_TREADY : IN std_logic; macIpEncode_rsp_TVALID : OUT std_logic; arpDataOut_TLAST : OUT std_logic; arpDataOut_TKEEP : OUT std_logic_vector(7 downto 0); arpDataOut_TDATA : OUT std_logic_vector(63 downto 0); arpDataOut_TREADY : IN std_logic; arpDataOut_TVALID : OUT std_logic; macIpEncode_req_TDATA : IN std_logic_vector(31 downto 0); macIpEncode_req_TREADY : OUT std_logic; macIpEncode_req_TVALID : IN std_logic; arpDataIn_TLAST : IN std_logic; arpDataIn_TKEEP : IN std_logic_vector(7 downto 0); arpDataIn_TDATA : IN std_logic_vector(63 downto 0); arpDataIn_TREADY : OUT std_logic; arpDataIn_TVALID : IN std_logic); END COMPONENT; signal invertedReset : std_logic; signal lup_req_TVALID : std_logic; signal lup_req_TREADY : std_logic; signal lup_req_TDATA : std_logic_vector(39 downto 0); signal lup_req_TDATA_im: std_logic_vector(keyLength downto 0); signal lup_rsp_TVALID : std_logic; signal lup_rsp_TREADY : std_logic; signal lup_rsp_TDATA : std_logic_vector(55 downto 0); signal lup_rsp_TDATA_im: std_logic_vector(valueLength downto 0); signal upd_req_TVALID : std_logic; signal upd_req_TREADY : std_logic; signal upd_req_TDATA : std_logic_vector(87 downto 0); signal upd_req_TDATA_im: std_logic_vector((keyLength + valueLength) + 1 downto 0); signal upd_rsp_TVALID : std_logic; signal upd_rsp_TREADY : std_logic; signal upd_rsp_TDATA : std_logic_vector(55 downto 0); signal upd_rsp_TDATA_im: std_logic_vector(valueLength + 1 downto 0); begin lup_req_TDATA_im <= lup_req_TDATA(32 downto 0); lup_rsp_TDATA <= "0000000" & lup_rsp_TDATA_im; upd_req_TDATA_im <= upd_req_TDATA((keyLength + valueLength) + 1 downto 0); upd_rsp_TDATA <= "000000" & upd_rsp_TDATA_im; invertedReset <= NOT aresetn; -- SmartCam Wrapper SmartCamCtl_inst: entity work.SmartCamCtlArp--(behavior) port map(clk => aclk, rst => invertedReset, led0 => open, led1 => open, cam_ready => open, lup_req_valid => lup_req_TVALID, lup_req_ready => lup_req_TREADY, lup_req_din => lup_req_TDATA_im, lup_rsp_valid => lup_rsp_TVALID, lup_rsp_ready => lup_rsp_TREADY, lup_rsp_dout => lup_rsp_TDATA_im, upd_req_valid => upd_req_TVALID, upd_req_ready => upd_req_TREADY, upd_req_din => upd_req_TDATA_im, upd_rsp_valid => upd_rsp_TVALID, upd_rsp_ready => upd_rsp_TREADY, upd_rsp_dout => upd_rsp_TDATA_im, debug => open); -- ARP Server arp_server_inst: arp_server_ip port map (regIpAddress_V => myIpAddress, myMacAddress_V => myMacAddress, arpDataIn_TVALID => axi_arp_slice_to_arp_tvalid, arpDataIn_TREADY => axi_arp_slice_to_arp_tready, arpDataIn_TDATA => axi_arp_slice_to_arp_tdata, arpDataIn_TKEEP => axi_arp_slice_to_arp_tkeep, arpDataIn_TLAST => axi_arp_slice_to_arp_tlast, macIpEncode_req_TVALID => axis_arp_lookup_request_TVALID, macIpEncode_req_TREADY => axis_arp_lookup_request_TREADY, macIpEncode_req_TDATA => axis_arp_lookup_request_TDATA, arpDataOut_TVALID => axi_arp_to_arp_slice_tvalid, arpDataOut_TREADY => axi_arp_to_arp_slice_tready, arpDataOut_TDATA => axi_arp_to_arp_slice_tdata, arpDataOut_TKEEP => axi_arp_to_arp_slice_tkeep, arpDataOut_TLAST => axi_arp_to_arp_slice_tlast, macIpEncode_rsp_TVALID => axis_arp_lookup_reply_TVALID, macIpEncode_rsp_TREADY => axis_arp_lookup_reply_TREADY, macIpEncode_rsp_TDATA => axis_arp_lookup_reply_TDATA, macLookup_req_TVALID => lup_req_TVALID, macLookup_req_TREADY => lup_req_TREADY, macLookup_req_TDATA => lup_req_TDATA, macLookup_resp_TVALID => lup_rsp_TVALID, macLookup_resp_TREADY => lup_rsp_TREADY, macLookup_resp_TDATA => lup_rsp_TDATA, macUpdate_req_TVALID => upd_req_TVALID, macUpdate_req_TREADY => upd_req_TREADY, macUpdate_req_TDATA => upd_req_TDATA, macUpdate_resp_TVALID => upd_rsp_TVALID, macUpdate_resp_TREADY => upd_rsp_TREADY, macUpdate_resp_TDATA => upd_rsp_TDATA, aclk => aclk, aresetn => aresetn); end Structural;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity arpServerWrapper is generic ( keyLength : integer := 32; valueLength : integer := 48); Port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; myMacAddress : in std_logic_vector(47 downto 0); myIpAddress : in std_logic_vector(31 downto 0); axi_arp_to_arp_slice_tvalid : out std_logic; axi_arp_to_arp_slice_tready : in std_logic; axi_arp_to_arp_slice_tdata : out std_logic_vector(63 downto 0); axi_arp_to_arp_slice_tkeep : out std_logic_vector(7 downto 0); axi_arp_to_arp_slice_tlast : out std_logic; axis_arp_lookup_reply_TVALID : out std_logic; axis_arp_lookup_reply_TREADY : in std_logic; axis_arp_lookup_reply_TDATA : out std_logic_vector(55 downto 0); axi_arp_slice_to_arp_tvalid : in std_logic; axi_arp_slice_to_arp_tready : out std_logic; axi_arp_slice_to_arp_tdata : in std_logic_vector(63 downto 0); axi_arp_slice_to_arp_tkeep : in std_logic_vector(7 downto 0); axi_arp_slice_to_arp_tlast : in std_logic; axis_arp_lookup_request_TVALID : in std_logic; axis_arp_lookup_request_TREADY : out std_logic; axis_arp_lookup_request_TDATA : in std_logic_vector(keyLength - 1 downto 0)); end arpServerWrapper; architecture Structural of arpServerWrapper is COMPONENT arp_server_ip PORT(regIpAddress_V : IN std_logic_vector(31 downto 0); myMacAddress_V : in std_logic_vector(47 downto 0); aresetn : IN std_logic; aclk : IN std_logic; macUpdate_resp_TDATA : IN std_logic_vector(55 downto 0); macUpdate_resp_TREADY : OUT std_logic; macUpdate_resp_TVALID : IN std_logic; macUpdate_req_TDATA : OUT std_logic_vector(87 downto 0); macUpdate_req_TREADY : IN std_logic; macUpdate_req_TVALID : OUT std_logic; macLookup_resp_TDATA : IN std_logic_vector(55 downto 0); macLookup_resp_TREADY : OUT std_logic; macLookup_resp_TVALID : IN std_logic; macLookup_req_TDATA : OUT std_logic_vector(39 downto 0); macLookup_req_TREADY : IN std_logic; macLookup_req_TVALID : OUT std_logic; macIpEncode_rsp_TDATA : OUT std_logic_vector(55 downto 0); macIpEncode_rsp_TREADY : IN std_logic; macIpEncode_rsp_TVALID : OUT std_logic; arpDataOut_TLAST : OUT std_logic; arpDataOut_TKEEP : OUT std_logic_vector(7 downto 0); arpDataOut_TDATA : OUT std_logic_vector(63 downto 0); arpDataOut_TREADY : IN std_logic; arpDataOut_TVALID : OUT std_logic; macIpEncode_req_TDATA : IN std_logic_vector(31 downto 0); macIpEncode_req_TREADY : OUT std_logic; macIpEncode_req_TVALID : IN std_logic; arpDataIn_TLAST : IN std_logic; arpDataIn_TKEEP : IN std_logic_vector(7 downto 0); arpDataIn_TDATA : IN std_logic_vector(63 downto 0); arpDataIn_TREADY : OUT std_logic; arpDataIn_TVALID : IN std_logic); END COMPONENT; signal invertedReset : std_logic; signal lup_req_TVALID : std_logic; signal lup_req_TREADY : std_logic; signal lup_req_TDATA : std_logic_vector(39 downto 0); signal lup_req_TDATA_im: std_logic_vector(keyLength downto 0); signal lup_rsp_TVALID : std_logic; signal lup_rsp_TREADY : std_logic; signal lup_rsp_TDATA : std_logic_vector(55 downto 0); signal lup_rsp_TDATA_im: std_logic_vector(valueLength downto 0); signal upd_req_TVALID : std_logic; signal upd_req_TREADY : std_logic; signal upd_req_TDATA : std_logic_vector(87 downto 0); signal upd_req_TDATA_im: std_logic_vector((keyLength + valueLength) + 1 downto 0); signal upd_rsp_TVALID : std_logic; signal upd_rsp_TREADY : std_logic; signal upd_rsp_TDATA : std_logic_vector(55 downto 0); signal upd_rsp_TDATA_im: std_logic_vector(valueLength + 1 downto 0); begin lup_req_TDATA_im <= lup_req_TDATA(32 downto 0); lup_rsp_TDATA <= "0000000" & lup_rsp_TDATA_im; upd_req_TDATA_im <= upd_req_TDATA((keyLength + valueLength) + 1 downto 0); upd_rsp_TDATA <= "000000" & upd_rsp_TDATA_im; invertedReset <= NOT aresetn; -- SmartCam Wrapper SmartCamCtl_inst: entity work.SmartCamCtlArp--(behavior) port map(clk => aclk, rst => invertedReset, led0 => open, led1 => open, cam_ready => open, lup_req_valid => lup_req_TVALID, lup_req_ready => lup_req_TREADY, lup_req_din => lup_req_TDATA_im, lup_rsp_valid => lup_rsp_TVALID, lup_rsp_ready => lup_rsp_TREADY, lup_rsp_dout => lup_rsp_TDATA_im, upd_req_valid => upd_req_TVALID, upd_req_ready => upd_req_TREADY, upd_req_din => upd_req_TDATA_im, upd_rsp_valid => upd_rsp_TVALID, upd_rsp_ready => upd_rsp_TREADY, upd_rsp_dout => upd_rsp_TDATA_im, debug => open); -- ARP Server arp_server_inst: arp_server_ip port map (regIpAddress_V => myIpAddress, myMacAddress_V => myMacAddress, arpDataIn_TVALID => axi_arp_slice_to_arp_tvalid, arpDataIn_TREADY => axi_arp_slice_to_arp_tready, arpDataIn_TDATA => axi_arp_slice_to_arp_tdata, arpDataIn_TKEEP => axi_arp_slice_to_arp_tkeep, arpDataIn_TLAST => axi_arp_slice_to_arp_tlast, macIpEncode_req_TVALID => axis_arp_lookup_request_TVALID, macIpEncode_req_TREADY => axis_arp_lookup_request_TREADY, macIpEncode_req_TDATA => axis_arp_lookup_request_TDATA, arpDataOut_TVALID => axi_arp_to_arp_slice_tvalid, arpDataOut_TREADY => axi_arp_to_arp_slice_tready, arpDataOut_TDATA => axi_arp_to_arp_slice_tdata, arpDataOut_TKEEP => axi_arp_to_arp_slice_tkeep, arpDataOut_TLAST => axi_arp_to_arp_slice_tlast, macIpEncode_rsp_TVALID => axis_arp_lookup_reply_TVALID, macIpEncode_rsp_TREADY => axis_arp_lookup_reply_TREADY, macIpEncode_rsp_TDATA => axis_arp_lookup_reply_TDATA, macLookup_req_TVALID => lup_req_TVALID, macLookup_req_TREADY => lup_req_TREADY, macLookup_req_TDATA => lup_req_TDATA, macLookup_resp_TVALID => lup_rsp_TVALID, macLookup_resp_TREADY => lup_rsp_TREADY, macLookup_resp_TDATA => lup_rsp_TDATA, macUpdate_req_TVALID => upd_req_TVALID, macUpdate_req_TREADY => upd_req_TREADY, macUpdate_req_TDATA => upd_req_TDATA, macUpdate_resp_TVALID => upd_rsp_TVALID, macUpdate_resp_TREADY => upd_rsp_TREADY, macUpdate_resp_TDATA => upd_rsp_TDATA, aclk => aclk, aresetn => aresetn); end Structural;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; use work.tl_flat_memory_model_pkg.all; entity mem_bus_slave_bfm is generic ( g_name : string; g_latency : positive := 2 ); port ( clock : in std_logic; req : in t_mem_req; resp : out t_mem_resp ); end mem_bus_slave_bfm; architecture bfm of mem_bus_slave_bfm is shared variable mem : h_mem_object; signal bound : boolean := false; signal pipe : t_mem_req_array(0 to g_latency-1) := (others => c_mem_req_init); begin -- this process registers this instance of the bfm to the server package bind: process begin register_mem_model(mem_bus_slave_bfm'path_name, g_name, mem); bound <= true; wait; end process; resp.rack <= '1' when bound and req.request='1' else '0'; resp.rack_tag <= req.tag when bound and req.request='1' else (others => '0'); process(clock) begin if rising_edge(clock) then pipe(0 to g_latency-2) <= pipe(1 to g_latency-1); pipe(g_latency-1) <= req; resp.dack_tag <= (others => '0'); resp.data <= (others => '0'); if bound then if pipe(0).request='1' then if pipe(0).read_writen='1' then resp.dack_tag <= pipe(0).tag; resp.data <= read_memory_8(mem, "000000" & std_logic_vector(pipe(0).address)); else write_memory_8(mem, "000000" & std_logic_vector(pipe(0).address), pipe(0).data); end if; end if; end if; end if; end process; end bfm;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; use work.tl_flat_memory_model_pkg.all; entity mem_bus_slave_bfm is generic ( g_name : string; g_latency : positive := 2 ); port ( clock : in std_logic; req : in t_mem_req; resp : out t_mem_resp ); end mem_bus_slave_bfm; architecture bfm of mem_bus_slave_bfm is shared variable mem : h_mem_object; signal bound : boolean := false; signal pipe : t_mem_req_array(0 to g_latency-1) := (others => c_mem_req_init); begin -- this process registers this instance of the bfm to the server package bind: process begin register_mem_model(mem_bus_slave_bfm'path_name, g_name, mem); bound <= true; wait; end process; resp.rack <= '1' when bound and req.request='1' else '0'; resp.rack_tag <= req.tag when bound and req.request='1' else (others => '0'); process(clock) begin if rising_edge(clock) then pipe(0 to g_latency-2) <= pipe(1 to g_latency-1); pipe(g_latency-1) <= req; resp.dack_tag <= (others => '0'); resp.data <= (others => '0'); if bound then if pipe(0).request='1' then if pipe(0).read_writen='1' then resp.dack_tag <= pipe(0).tag; resp.data <= read_memory_8(mem, "000000" & std_logic_vector(pipe(0).address)); else write_memory_8(mem, "000000" & std_logic_vector(pipe(0).address), pipe(0).data); end if; end if; end if; end if; end process; end bfm;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; use work.tl_flat_memory_model_pkg.all; entity mem_bus_slave_bfm is generic ( g_name : string; g_latency : positive := 2 ); port ( clock : in std_logic; req : in t_mem_req; resp : out t_mem_resp ); end mem_bus_slave_bfm; architecture bfm of mem_bus_slave_bfm is shared variable mem : h_mem_object; signal bound : boolean := false; signal pipe : t_mem_req_array(0 to g_latency-1) := (others => c_mem_req_init); begin -- this process registers this instance of the bfm to the server package bind: process begin register_mem_model(mem_bus_slave_bfm'path_name, g_name, mem); bound <= true; wait; end process; resp.rack <= '1' when bound and req.request='1' else '0'; resp.rack_tag <= req.tag when bound and req.request='1' else (others => '0'); process(clock) begin if rising_edge(clock) then pipe(0 to g_latency-2) <= pipe(1 to g_latency-1); pipe(g_latency-1) <= req; resp.dack_tag <= (others => '0'); resp.data <= (others => '0'); if bound then if pipe(0).request='1' then if pipe(0).read_writen='1' then resp.dack_tag <= pipe(0).tag; resp.data <= read_memory_8(mem, "000000" & std_logic_vector(pipe(0).address)); else write_memory_8(mem, "000000" & std_logic_vector(pipe(0).address), pipe(0).data); end if; end if; end if; end if; end process; end bfm;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; use work.tl_flat_memory_model_pkg.all; entity mem_bus_slave_bfm is generic ( g_name : string; g_latency : positive := 2 ); port ( clock : in std_logic; req : in t_mem_req; resp : out t_mem_resp ); end mem_bus_slave_bfm; architecture bfm of mem_bus_slave_bfm is shared variable mem : h_mem_object; signal bound : boolean := false; signal pipe : t_mem_req_array(0 to g_latency-1) := (others => c_mem_req_init); begin -- this process registers this instance of the bfm to the server package bind: process begin register_mem_model(mem_bus_slave_bfm'path_name, g_name, mem); bound <= true; wait; end process; resp.rack <= '1' when bound and req.request='1' else '0'; resp.rack_tag <= req.tag when bound and req.request='1' else (others => '0'); process(clock) begin if rising_edge(clock) then pipe(0 to g_latency-2) <= pipe(1 to g_latency-1); pipe(g_latency-1) <= req; resp.dack_tag <= (others => '0'); resp.data <= (others => '0'); if bound then if pipe(0).request='1' then if pipe(0).read_writen='1' then resp.dack_tag <= pipe(0).tag; resp.data <= read_memory_8(mem, "000000" & std_logic_vector(pipe(0).address)); else write_memory_8(mem, "000000" & std_logic_vector(pipe(0).address), pipe(0).data); end if; end if; end if; end if; end process; end bfm;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; use work.tl_flat_memory_model_pkg.all; entity mem_bus_slave_bfm is generic ( g_name : string; g_latency : positive := 2 ); port ( clock : in std_logic; req : in t_mem_req; resp : out t_mem_resp ); end mem_bus_slave_bfm; architecture bfm of mem_bus_slave_bfm is shared variable mem : h_mem_object; signal bound : boolean := false; signal pipe : t_mem_req_array(0 to g_latency-1) := (others => c_mem_req_init); begin -- this process registers this instance of the bfm to the server package bind: process begin register_mem_model(mem_bus_slave_bfm'path_name, g_name, mem); bound <= true; wait; end process; resp.rack <= '1' when bound and req.request='1' else '0'; resp.rack_tag <= req.tag when bound and req.request='1' else (others => '0'); process(clock) begin if rising_edge(clock) then pipe(0 to g_latency-2) <= pipe(1 to g_latency-1); pipe(g_latency-1) <= req; resp.dack_tag <= (others => '0'); resp.data <= (others => '0'); if bound then if pipe(0).request='1' then if pipe(0).read_writen='1' then resp.dack_tag <= pipe(0).tag; resp.data <= read_memory_8(mem, "000000" & std_logic_vector(pipe(0).address)); else write_memory_8(mem, "000000" & std_logic_vector(pipe(0).address), pipe(0).data); end if; end if; end if; end if; end process; end bfm;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 12:00:04 05/31/2011 -- Design Name: -- Module Name: arp_tx - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle transmission of an ARP packet. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created - refactored this arp_tx module from the complete arp v0.02 module -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_tx is port ( -- control signals send_I_have : in std_logic; -- pulse will be latched arp_entry : in arp_entry_t; -- arp target for I_have req (will be latched) send_who_has : in std_logic; -- pulse will be latched ip_entry : in std_logic_vector (31 downto 0); -- IP target for who_has req (will be latched) -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted data_out_ready : in std_logic; -- indicates system ready to consume data data_out_valid : out std_logic; -- indicates data out is valid data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) -- system signals our_mac_address : in std_logic_vector (47 downto 0); our_ip_address : in std_logic_vector (31 downto 0); tx_clk : in std_logic; reset : in std_logic ); end arp_tx; architecture Behavioral of arp_tx is type count_mode_t is (RST, INCR, HOLD); type set_clr_t is (SET, CLR, HOLD); type tx_state_t is (IDLE, WAIT_MAC, SEND); type tx_mode_t is (REPLY, REQUEST); -- state variables signal tx_mac_chn_reqd : std_logic; signal tx_state : tx_state_t; signal tx_count : unsigned (7 downto 0); signal send_I_have_reg : std_logic; signal send_who_has_reg : std_logic; signal I_have_target : arp_entry_t; -- latched target for "I have" request signal who_has_target : std_logic_vector (31 downto 0); -- latched IP for "who has" request signal tx_mode : tx_mode_t; -- what sort of tx to make signal target : arp_entry_t; -- target to send to -- busses signal next_tx_state : tx_state_t; signal tx_mode_val : tx_mode_t; signal target_val : arp_entry_t; -- tx control signals signal set_tx_state : std_logic; signal tx_count_mode : count_mode_t; signal set_chn_reqd : set_clr_t; signal kill_data_out_valid : std_logic; signal set_send_I_have : set_clr_t; signal set_send_who_has : set_clr_t; signal set_tx_mode : std_logic; signal set_target : std_logic; begin tx_combinatorial : process ( -- input signals send_I_have, send_who_has, arp_entry, ip_entry, data_out_ready, mac_tx_granted, our_mac_address, our_ip_address, reset, -- state variables tx_state, tx_count, tx_mac_chn_reqd, I_have_target, who_has_target, send_I_have_reg, send_who_has_reg, tx_mode, target, -- busses next_tx_state, tx_mode_val, target_val, -- control signals tx_count_mode, kill_data_out_valid, set_send_I_have, set_send_who_has, set_chn_reqd, set_tx_mode, set_target ) begin -- set output followers mac_tx_req <= tx_mac_chn_reqd; -- set combinatorial output defaults data_out_first <= '0'; case tx_state is when SEND => if data_out_ready = '1' and kill_data_out_valid = '0' then data_out_valid <= '1'; else data_out_valid <= '0'; end if; when others => data_out_valid <= '0'; end case; -- set bus defaults next_tx_state <= IDLE; tx_mode_val <= REPLY; target_val.ip <= (others => '0'); target_val.mac <= (others => '1'); -- set signal defaults set_tx_state <= '0'; tx_count_mode <= HOLD; data_out <= x"00"; data_out_last <= '0'; set_chn_reqd <= HOLD; kill_data_out_valid <= '0'; set_send_I_have <= HOLD; set_send_who_has <= HOLD; set_tx_mode <= '0'; set_target <= '0'; -- process requests in regardless of FSM state if send_I_have = '1' then set_send_I_have <= SET; end if; if send_who_has = '1' then set_send_who_has <= SET; end if; -- TX FSM case tx_state is when IDLE => tx_count_mode <= RST; if send_I_have_reg = '1' then set_chn_reqd <= SET; tx_mode_val <= REPLY; set_tx_mode <= '1'; target_val <= I_have_target; set_target <= '1'; set_send_I_have <= CLR; next_tx_state <= WAIT_MAC; set_tx_state <= '1'; elsif send_who_has_reg = '1' then set_chn_reqd <= SET; tx_mode_val <= REQUEST; set_tx_mode <= '1'; target_val.ip <= who_has_target; target_val.mac <= (others => '1'); set_target <= '1'; set_send_who_has <= CLR; next_tx_state <= WAIT_MAC; set_tx_state <= '1'; else set_chn_reqd <= CLR; end if; when WAIT_MAC => tx_count_mode <= RST; if mac_tx_granted = '1' then next_tx_state <= SEND; set_tx_state <= '1'; end if; -- TODO - should handle timeout here when SEND => if data_out_ready = '1' then tx_count_mode <= INCR; end if; case tx_count is when x"00" => data_out_first <= data_out_ready; data_out <= target.mac (47 downto 40); -- target mac--data_out <= x"ff"; -- dst = broadcast when x"01" => data_out <= target.mac (39 downto 32); --data_out <= x"ff"; when x"02" => data_out <= target.mac (31 downto 24); --data_out <= x"ff"; when x"03" => data_out <= target.mac (23 downto 16); --data_out <= x"ff"; when x"04" => data_out <= target.mac (15 downto 8); --data_out <= x"ff"; when x"05" => data_out <= target.mac (7 downto 0); --data_out <= x"ff"; when x"06" => data_out <= our_mac_address (47 downto 40); -- src = our mac when x"07" => data_out <= our_mac_address (39 downto 32); when x"08" => data_out <= our_mac_address (31 downto 24); when x"09" => data_out <= our_mac_address (23 downto 16); when x"0a" => data_out <= our_mac_address (15 downto 8); when x"0b" => data_out <= our_mac_address (7 downto 0); when x"0c" => data_out <= x"08"; -- pkt type = 0806 : ARP when x"0d" => data_out <= x"06"; when x"0e" => data_out <= x"00"; -- HW type = 0001 : eth when x"0f" => data_out <= x"01"; when x"10" => data_out <= x"08"; -- protocol = 0800 : ip when x"11" => data_out <= x"00"; when x"12" => data_out <= x"06"; -- HW size = 06 when x"13" => data_out <= x"04"; -- prot size = 04 when x"14" => data_out <= x"00"; -- opcode = when x"15" => if tx_mode = REPLY then data_out <= x"02"; -- 02 : REPLY else data_out <= x"01"; -- 01 : REQ end if; when x"16" => data_out <= our_mac_address (47 downto 40); -- sender mac when x"17" => data_out <= our_mac_address (39 downto 32); when x"18" => data_out <= our_mac_address (31 downto 24); when x"19" => data_out <= our_mac_address (23 downto 16); when x"1a" => data_out <= our_mac_address (15 downto 8); when x"1b" => data_out <= our_mac_address (7 downto 0); when x"1c" => data_out <= our_ip_address (31 downto 24); -- sender ip when x"1d" => data_out <= our_ip_address (23 downto 16); when x"1e" => data_out <= our_ip_address (15 downto 8); when x"1f" => data_out <= our_ip_address (7 downto 0); when x"20" => data_out <= target.mac (47 downto 40); -- target mac when x"21" => data_out <= target.mac (39 downto 32); when x"22" => data_out <= target.mac (31 downto 24); when x"23" => data_out <= target.mac (23 downto 16); when x"24" => data_out <= target.mac (15 downto 8); when x"25" => data_out <= target.mac (7 downto 0); when x"26" => data_out <= target.ip (31 downto 24); -- target ip when x"27" => data_out <= target.ip (23 downto 16); when x"28" => data_out <= target.ip (15 downto 8); when x"29" => data_out <= target.ip(7 downto 0); data_out_last <= '1'; when x"2a" => kill_data_out_valid <= '1'; -- data is no longer valid next_tx_state <= IDLE; set_tx_state <= '1'; when others => next_tx_state <= IDLE; set_tx_state <= '1'; end case; end case; end process; tx_sequential : process (tx_clk) begin if rising_edge(tx_clk) then if reset = '1' then -- reset state variables tx_state <= IDLE; tx_count <= (others => '0'); tx_mac_chn_reqd <= '0'; send_I_have_reg <= '0'; send_who_has_reg <= '0'; who_has_target <= (others => '0'); I_have_target.ip <= (others => '0'); I_have_target.mac <= (others => '0'); target.ip <= (others => '0'); target.mac <= (others => '1'); else -- normal (non reset) processing -- Next tx_state processing if set_tx_state = '1' then tx_state <= next_tx_state; else tx_state <= tx_state; end if; -- input request latching case set_send_I_have is when SET => send_I_have_reg <= '1'; I_have_target <= arp_entry; when CLR => send_I_have_reg <= '0'; I_have_target <= I_have_target; when HOLD => send_I_have_reg <= send_I_have_reg; I_have_target <= I_have_target; end case; case set_send_who_has is when SET => send_who_has_reg <= '1'; who_has_target <= ip_entry; when CLR => send_who_has_reg <= '0'; who_has_target <= who_has_target; when HOLD => send_who_has_reg <= send_who_has_reg; who_has_target <= who_has_target; end case; -- tx mode if set_tx_mode = '1' then tx_mode <= tx_mode_val; else tx_mode <= tx_mode; end if; -- target latching if set_target = '1' then target <= target_val; else target <= target; end if; -- tx_count processing case tx_count_mode is when RST => tx_count <= x"00"; when INCR => tx_count <= tx_count + 1; when HOLD => tx_count <= tx_count; end case; -- control access request to mac tx chn case set_chn_reqd is when SET => tx_mac_chn_reqd <= '1'; when CLR => tx_mac_chn_reqd <= '0'; when HOLD => tx_mac_chn_reqd <= tx_mac_chn_reqd; end case; end if; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 12:00:04 05/31/2011 -- Design Name: -- Module Name: arp_tx - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle transmission of an ARP packet. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created - refactored this arp_tx module from the complete arp v0.02 module -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_tx is port ( -- control signals send_I_have : in std_logic; -- pulse will be latched arp_entry : in arp_entry_t; -- arp target for I_have req (will be latched) send_who_has : in std_logic; -- pulse will be latched ip_entry : in std_logic_vector (31 downto 0); -- IP target for who_has req (will be latched) -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted data_out_ready : in std_logic; -- indicates system ready to consume data data_out_valid : out std_logic; -- indicates data out is valid data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) -- system signals our_mac_address : in std_logic_vector (47 downto 0); our_ip_address : in std_logic_vector (31 downto 0); tx_clk : in std_logic; reset : in std_logic ); end arp_tx; architecture Behavioral of arp_tx is type count_mode_t is (RST, INCR, HOLD); type set_clr_t is (SET, CLR, HOLD); type tx_state_t is (IDLE, WAIT_MAC, SEND); type tx_mode_t is (REPLY, REQUEST); -- state variables signal tx_mac_chn_reqd : std_logic; signal tx_state : tx_state_t; signal tx_count : unsigned (7 downto 0); signal send_I_have_reg : std_logic; signal send_who_has_reg : std_logic; signal I_have_target : arp_entry_t; -- latched target for "I have" request signal who_has_target : std_logic_vector (31 downto 0); -- latched IP for "who has" request signal tx_mode : tx_mode_t; -- what sort of tx to make signal target : arp_entry_t; -- target to send to -- busses signal next_tx_state : tx_state_t; signal tx_mode_val : tx_mode_t; signal target_val : arp_entry_t; -- tx control signals signal set_tx_state : std_logic; signal tx_count_mode : count_mode_t; signal set_chn_reqd : set_clr_t; signal kill_data_out_valid : std_logic; signal set_send_I_have : set_clr_t; signal set_send_who_has : set_clr_t; signal set_tx_mode : std_logic; signal set_target : std_logic; begin tx_combinatorial : process ( -- input signals send_I_have, send_who_has, arp_entry, ip_entry, data_out_ready, mac_tx_granted, our_mac_address, our_ip_address, reset, -- state variables tx_state, tx_count, tx_mac_chn_reqd, I_have_target, who_has_target, send_I_have_reg, send_who_has_reg, tx_mode, target, -- busses next_tx_state, tx_mode_val, target_val, -- control signals tx_count_mode, kill_data_out_valid, set_send_I_have, set_send_who_has, set_chn_reqd, set_tx_mode, set_target ) begin -- set output followers mac_tx_req <= tx_mac_chn_reqd; -- set combinatorial output defaults data_out_first <= '0'; case tx_state is when SEND => if data_out_ready = '1' and kill_data_out_valid = '0' then data_out_valid <= '1'; else data_out_valid <= '0'; end if; when others => data_out_valid <= '0'; end case; -- set bus defaults next_tx_state <= IDLE; tx_mode_val <= REPLY; target_val.ip <= (others => '0'); target_val.mac <= (others => '1'); -- set signal defaults set_tx_state <= '0'; tx_count_mode <= HOLD; data_out <= x"00"; data_out_last <= '0'; set_chn_reqd <= HOLD; kill_data_out_valid <= '0'; set_send_I_have <= HOLD; set_send_who_has <= HOLD; set_tx_mode <= '0'; set_target <= '0'; -- process requests in regardless of FSM state if send_I_have = '1' then set_send_I_have <= SET; end if; if send_who_has = '1' then set_send_who_has <= SET; end if; -- TX FSM case tx_state is when IDLE => tx_count_mode <= RST; if send_I_have_reg = '1' then set_chn_reqd <= SET; tx_mode_val <= REPLY; set_tx_mode <= '1'; target_val <= I_have_target; set_target <= '1'; set_send_I_have <= CLR; next_tx_state <= WAIT_MAC; set_tx_state <= '1'; elsif send_who_has_reg = '1' then set_chn_reqd <= SET; tx_mode_val <= REQUEST; set_tx_mode <= '1'; target_val.ip <= who_has_target; target_val.mac <= (others => '1'); set_target <= '1'; set_send_who_has <= CLR; next_tx_state <= WAIT_MAC; set_tx_state <= '1'; else set_chn_reqd <= CLR; end if; when WAIT_MAC => tx_count_mode <= RST; if mac_tx_granted = '1' then next_tx_state <= SEND; set_tx_state <= '1'; end if; -- TODO - should handle timeout here when SEND => if data_out_ready = '1' then tx_count_mode <= INCR; end if; case tx_count is when x"00" => data_out_first <= data_out_ready; data_out <= target.mac (47 downto 40); -- target mac--data_out <= x"ff"; -- dst = broadcast when x"01" => data_out <= target.mac (39 downto 32); --data_out <= x"ff"; when x"02" => data_out <= target.mac (31 downto 24); --data_out <= x"ff"; when x"03" => data_out <= target.mac (23 downto 16); --data_out <= x"ff"; when x"04" => data_out <= target.mac (15 downto 8); --data_out <= x"ff"; when x"05" => data_out <= target.mac (7 downto 0); --data_out <= x"ff"; when x"06" => data_out <= our_mac_address (47 downto 40); -- src = our mac when x"07" => data_out <= our_mac_address (39 downto 32); when x"08" => data_out <= our_mac_address (31 downto 24); when x"09" => data_out <= our_mac_address (23 downto 16); when x"0a" => data_out <= our_mac_address (15 downto 8); when x"0b" => data_out <= our_mac_address (7 downto 0); when x"0c" => data_out <= x"08"; -- pkt type = 0806 : ARP when x"0d" => data_out <= x"06"; when x"0e" => data_out <= x"00"; -- HW type = 0001 : eth when x"0f" => data_out <= x"01"; when x"10" => data_out <= x"08"; -- protocol = 0800 : ip when x"11" => data_out <= x"00"; when x"12" => data_out <= x"06"; -- HW size = 06 when x"13" => data_out <= x"04"; -- prot size = 04 when x"14" => data_out <= x"00"; -- opcode = when x"15" => if tx_mode = REPLY then data_out <= x"02"; -- 02 : REPLY else data_out <= x"01"; -- 01 : REQ end if; when x"16" => data_out <= our_mac_address (47 downto 40); -- sender mac when x"17" => data_out <= our_mac_address (39 downto 32); when x"18" => data_out <= our_mac_address (31 downto 24); when x"19" => data_out <= our_mac_address (23 downto 16); when x"1a" => data_out <= our_mac_address (15 downto 8); when x"1b" => data_out <= our_mac_address (7 downto 0); when x"1c" => data_out <= our_ip_address (31 downto 24); -- sender ip when x"1d" => data_out <= our_ip_address (23 downto 16); when x"1e" => data_out <= our_ip_address (15 downto 8); when x"1f" => data_out <= our_ip_address (7 downto 0); when x"20" => data_out <= target.mac (47 downto 40); -- target mac when x"21" => data_out <= target.mac (39 downto 32); when x"22" => data_out <= target.mac (31 downto 24); when x"23" => data_out <= target.mac (23 downto 16); when x"24" => data_out <= target.mac (15 downto 8); when x"25" => data_out <= target.mac (7 downto 0); when x"26" => data_out <= target.ip (31 downto 24); -- target ip when x"27" => data_out <= target.ip (23 downto 16); when x"28" => data_out <= target.ip (15 downto 8); when x"29" => data_out <= target.ip(7 downto 0); data_out_last <= '1'; when x"2a" => kill_data_out_valid <= '1'; -- data is no longer valid next_tx_state <= IDLE; set_tx_state <= '1'; when others => next_tx_state <= IDLE; set_tx_state <= '1'; end case; end case; end process; tx_sequential : process (tx_clk) begin if rising_edge(tx_clk) then if reset = '1' then -- reset state variables tx_state <= IDLE; tx_count <= (others => '0'); tx_mac_chn_reqd <= '0'; send_I_have_reg <= '0'; send_who_has_reg <= '0'; who_has_target <= (others => '0'); I_have_target.ip <= (others => '0'); I_have_target.mac <= (others => '0'); target.ip <= (others => '0'); target.mac <= (others => '1'); else -- normal (non reset) processing -- Next tx_state processing if set_tx_state = '1' then tx_state <= next_tx_state; else tx_state <= tx_state; end if; -- input request latching case set_send_I_have is when SET => send_I_have_reg <= '1'; I_have_target <= arp_entry; when CLR => send_I_have_reg <= '0'; I_have_target <= I_have_target; when HOLD => send_I_have_reg <= send_I_have_reg; I_have_target <= I_have_target; end case; case set_send_who_has is when SET => send_who_has_reg <= '1'; who_has_target <= ip_entry; when CLR => send_who_has_reg <= '0'; who_has_target <= who_has_target; when HOLD => send_who_has_reg <= send_who_has_reg; who_has_target <= who_has_target; end case; -- tx mode if set_tx_mode = '1' then tx_mode <= tx_mode_val; else tx_mode <= tx_mode; end if; -- target latching if set_target = '1' then target <= target_val; else target <= target; end if; -- tx_count processing case tx_count_mode is when RST => tx_count <= x"00"; when INCR => tx_count <= tx_count + 1; when HOLD => tx_count <= tx_count; end case; -- control access request to mac tx chn case set_chn_reqd is when SET => tx_mac_chn_reqd <= '1'; when CLR => tx_mac_chn_reqd <= '0'; when HOLD => tx_mac_chn_reqd <= tx_mac_chn_reqd; end case; end if; end if; end process; end Behavioral;
------------------------------------------------------------------------------- -- -- RapidIO IP Library Core -- -- This file is part of the RapidIO IP library project -- http://www.opencores.org/cores/rio/ -- -- Description -- Containing a bridge between a RapidIO network and a Wishbone bus. Packets -- NWRITE and NREAD are currently supported. -- -- To Do: -- - -- -- Author(s): -- - Nader Kardouni, [email protected] -- ------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Authors and OPENCORES.ORG -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -- ------------------------------------------------------------------------------- library ieee; use ieee.numeric_std.ALL; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.rio_common.all; ------------------------------------------------------------------------------- -- entity for RioWbBridge. ------------------------------------------------------------------------------- Entity RioWbBridge is generic( DEVICE_IDENTITY : std_logic_vector(15 downto 0); DEVICE_VENDOR_IDENTITY : std_logic_vector(15 downto 0); DEVICE_REV : std_logic_vector(31 downto 0); ASSY_IDENTITY : std_logic_vector(15 downto 0); ASSY_VENDOR_IDENTITY : std_logic_vector(15 downto 0); ASSY_REV : std_logic_vector(15 downto 0); DEFAULT_BASE_DEVICE_ID : std_logic_vector(15 downto 0) := x"ffff"); port( clk : in std_logic; -- Main clock 25MHz areset_n : in std_logic; -- Asynchronous reset, active low readFrameEmpty_i : in std_logic; readFrame_o : out std_logic; readContent_o : out std_logic; readContentEnd_i : in std_logic; readContentData_i : in std_logic_vector(31 downto 0); writeFrameFull_i : in std_logic; writeFrame_o : out std_logic; writeFrameAbort_o : out std_logic; writeContent_o : out std_logic; writeContentData_o : out std_logic_vector(31 downto 0); -- interface to the peripherals module wbStb_o : out std_logic; -- strob signal, active high wbWe_o : out std_logic; -- write signal, active high wbData_o : out std_logic_vector(7 downto 0); -- master data bus wbAdr_o : out std_logic_vector(25 downto 0); -- master address bus wbErr_i : in std_logic; -- error signal, high active wbAck_i : in std_logic; -- slave acknowledge wbData_i : in std_logic_vector(7 downto 0) -- slave data bus ); end; ------------------------------------------------------------------------------- -- Architecture for RioWbBridge. ------------------------------------------------------------------------------- architecture rtl of RioWbBridge is component Crc16CITT is port( d_i : in std_logic_vector(15 downto 0); crc_i : in std_logic_vector(15 downto 0); crc_o : out std_logic_vector(15 downto 0)); end component; constant RST_LVL : std_logic := '0'; constant BERR_UNKNOWN_DATA : std_logic_vector(7 downto 0) := X"08"; -- not valid data constant BERR_FRAME_SIZE : std_logic_vector(7 downto 0) := X"81"; -- Frame code size error constant BERR_FRAME_CODE : std_logic_vector(7 downto 0) := X"80"; -- Frame code type error constant BERR_NOT_RESPONSE : std_logic_vector(7 downto 0) := X"86"; -- Not response from the device type state_type_RioBrige is (IDLE, WAIT_HEADER_0, HEADER_0, HEADER_1, CHECK_OPERATION, READ_ADDRESS, READ_FROM_FIFO, CHECK_ERROR, WRITE_DATA, WRITE_TO_WB, WAIT_IDLE, SEND_DONE_0, SEND_DONE_1, SEND_DONE_2, READ_FROM_WB, APPEND_CRC, SEND_TO_FIFO, SEND_ERROR, SEND_FRAME, APPEND_CRC_AND_SEND, SEND_MAINTENANCE_READ_RESPONSE_0, SEND_MAINTENANCE_READ_RESPONSE_1, SEND_MAINTENANCE_WRITE_RESPONSE_0, SEND_MAINTENANCE_WRITE_RESPONSE_1); signal stateRB, nextStateRB : state_type_RioBrige; type byteArray8 is array (0 to 7) of std_logic_vector(7 downto 0); signal dataLane : byteArray8; -- type byteArray4 is array (0 to 3) of std_logic_vector(7 downto 0); -- signal dataLaneS : byteArray4; signal pos, byteOffset : integer range 0 to 7; signal numberOfByte, byteCnt, headLen : integer range 0 to 256; signal endianMsb, reserved, ready : std_logic; signal start : std_logic; signal wdptr : std_logic; signal wbStb : std_logic; signal xamsbs : std_logic_vector(1 downto 0); signal ftype : std_logic_vector(3 downto 0); signal ttype : std_logic_vector(3 downto 0); signal size : std_logic_vector(3 downto 0); signal tid : std_logic_vector(7 downto 0); signal tt : std_logic_vector(1 downto 0); signal errorCode : std_logic_vector(7 downto 0); signal sourceId : std_logic_vector(15 downto 0); signal destinationId : std_logic_vector(15 downto 0); signal writeContentData : std_logic_vector(31 downto 0); signal crc16Current, crc16Temp, crc16Next: std_logic_vector(15 downto 0); signal tempAddr : std_logic_vector(25 downto 0); signal timeOutCnt : std_logic_vector(14 downto 0); -- Configuration memory signal declaration. signal configEnable : std_logic; signal configWrite : std_logic; signal configAddress : std_logic_vector(23 downto 0); signal configDataWrite : std_logic_vector(31 downto 0); signal configDataRead : std_logic_vector(31 downto 0); signal componentTag : std_logic_vector(31 downto 0); signal baseDeviceId : std_logic_vector(15 downto 0) := DEFAULT_BASE_DEVICE_ID; signal hostBaseDeviceIdLocked : std_logic; signal hostBaseDeviceId : std_logic_vector(15 downto 0) := (others => '1'); begin wbStb_o <= wbStb; writeContentData_o <= writeContentData; Crc16High: Crc16CITT port map( d_i=>writeContentData(31 downto 16), crc_i=>crc16Current, crc_o=>crc16Temp); Crc16Low: Crc16CITT port map( d_i=>writeContentData(15 downto 0), crc_i=>crc16Temp, crc_o=>crc16Next); ----------------------------------------------------------------------------- -- wbInterfaceCtrl -- This process handle the Wishbone interface to the RioWbBridge module. ----------------------------------------------------------------------------- wbInterfaceCtrl: process(clk, areset_n) variable Temp : std_logic_vector(2 downto 0); begin if areset_n = RST_LVL then start <= '0'; wdptr <= '0'; wbStb <= '0'; wbWe_o <= '0'; byteCnt <= 0; headLen <= 0; byteOffset <= 0; readFrame_o <= '0'; readContent_o <= '0'; writeFrame_o <= '0'; writeContent_o <= '0'; writeFrameAbort_o <= '0'; configWrite <= '0'; configEnable <= '0'; ready <= '0'; endianMsb <= '0'; stateRB <= IDLE; nextStateRB <= IDLE; tt <= (others => '0'); tid <= (others => '0'); size <= (others => '0'); ttype <= (others => '0'); ftype <= (others => '0'); xamsbs <= (others => '0'); sourceId <= (others => '0'); configDataWrite <= (others => '0'); destinationId <= (others => '0'); errorCode <= (others => '0'); tempAddr <= (others => '0'); wbAdr_o <= (others => '0'); wbData_o <= (others => '0'); writeContentData <= (others => '0'); dataLane <= (others =>(others => '0')); -- dataLaneS <= (others =>(others => '0')); crc16Current <= (others => '0'); timeOutCnt <= (others => '0'); Temp := (others => '0'); elsif clk'event and clk ='1' then case stateRB is when IDLE => if (readFrameEmpty_i = '0') and (writeFrameFull_i = '0') then readContent_o <= '1'; byteCnt <= 0; ready <= '0'; endianMsb <= '1'; timeOutCnt <= (others => '0'); crc16Current <= (others => '1'); stateRB <= WAIT_HEADER_0; else start <= '0'; readFrame_o <= '0'; readContent_o <= '0'; writeFrame_o <= '0'; writeContent_o <= '0'; writeFrameAbort_o <= '0'; errorCode <= (others => '0'); writeContentData <= (others => '0'); dataLane <= (others =>(others => '0')); -- dataLaneS <= (others =>(others => '0')); Temp := (others => '0'); end if; when WAIT_HEADER_0 => stateRB <= HEADER_0; when HEADER_0 => readContent_o <= '1'; -- read the header (frame 0) tt <= readContentData_i(21 downto 20); ftype <= readContentData_i(19 downto 16); destinationId <= readContentData_i(15 downto 0); stateRB <= HEADER_1; when HEADER_1 => -- read the header (frame 1) readContent_o <= '1'; ttype <= readContentData_i(15 downto 12); size <= readContentData_i(11 downto 8); tid <= readContentData_i(7 downto 0); sourceId <= readContentData_i(31 downto 16); stateRB <= READ_ADDRESS; when READ_ADDRESS => readContent_o <= '0'; wdptr <= readContentData_i(2); xamsbs <= readContentData_i(1 downto 0); tempAddr <= readContentData_i(25 downto 3) & "000"; -- Wishbone address bus is 26 bits width configAddress <= readContentData_i(23 downto 0); -- this line is in case of maintenance pakage (config-offset(21-bits)+wdptr(1-bit)+rsv(2-bits)) stateRB <= CHECK_ERROR; when CHECK_ERROR => byteOffset <= pos; -- first byte position in the first payload tempAddr <= tempAddr + pos; -- first address if readContentEnd_i = '1' then -- check if data not valid i the switch buffer wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= BERR_UNKNOWN_DATA; -- not valid data stateRB <= SEND_ERROR; -- check if error in the frame size for write pakage elsif (reserved = '1') and (ftype = FTYPE_WRITE_CLASS) then wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= BERR_FRAME_SIZE; -- Frame code size error stateRB <= SEND_ERROR; -- type 5 pakage formate, NWRITE transaction (write to peripherals) read payload from the buffer elsif (ftype = FTYPE_WRITE_CLASS) and (ttype = "0100") and (tt = "01") then readContent_o <= '1'; stateRB <= READ_FROM_FIFO; -- read the payload nextStateRB <= SEND_ERROR; -- this is in case not valid data in switch buffer headLen <= 12; -- Type 2 pakage formate, NREAD transaction, (read from peripherals) write payload to the buffer elsif (ftype = FTYPE_REQUEST_CLASS) and (ttype = "0100") and (tt = "01") then writeContent_o <= '1'; -- write the header-0 of the Read Response pakage writeContentData(15 downto 0) <= sourceId; -- write to the source address writeContentData(19 downto 16) <= "1101"; -- Response pakage type 13, ftype Response writeContentData(21 downto 20) <= "01"; -- tt writeContentData(31 downto 22) <= "0000000000"; -- acckId, vc, cfr, prio stateRB <= SEND_DONE_0; -- headLen <= 8; -- Type 8 pakage formate, maintenance Read request elsif (ftype = FTYPE_MAINTENANCE_CLASS) and (ttype = TTYPE_MAINTENANCE_READ_REQUEST) and (tt = "01") then configWrite <= '0'; -- read config operation configEnable <= '1'; -- enable signal to the memoryConfig process writeContent_o <= '1'; -- write the header-0 of the Read Response pakage writeContentData(15 downto 0) <= sourceId; -- write to the source address, this is a response pakage writeContentData(19 downto 16) <= FTYPE_MAINTENANCE_CLASS; -- ftype, maintenance writeContentData(21 downto 20) <= "01"; -- tt writeContentData(31 downto 22) <= "0000000000"; -- acckId, vc, cfr, prio stateRB <= SEND_MAINTENANCE_READ_RESPONSE_0; -- Type 8 pakage formate, maintenance Write request elsif (ftype = FTYPE_MAINTENANCE_CLASS) and (ttype = TTYPE_MAINTENANCE_WRITE_REQUEST) and (tt = "01") then configWrite <= '1'; -- write config operation writeContent_o <= '1'; -- write the header-0 writeContentData(15 downto 0) <= sourceId; -- write to the source address, this is a response pakage writeContentData(19 downto 16) <= FTYPE_MAINTENANCE_CLASS; -- ftype, maintenance writeContentData(21 downto 20) <= "01"; -- tt writeContentData(31 downto 22) <= "0000000000"; -- acckId, vc, cfr, prio stateRB <= SEND_MAINTENANCE_WRITE_RESPONSE_0; -- Error: unexpected ftype or ttype else wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= BERR_FRAME_CODE; stateRB <= SEND_ERROR; -- next state after the dataLane is stored in the switch buffer end if; when SEND_MAINTENANCE_READ_RESPONSE_0 => byteCnt <= 0; configEnable <= '0'; -- disable signal to the memoryConfig process -- write the header-1 of the Read Response pakage writeContentData(7 downto 0) <= tid; writeContentData(11 downto 8) <= "0000"; -- size/status writeContentData(15 downto 12) <= TTYPE_MAINTENANCE_READ_RESPONSE; -- transaction type, Maintenance Read Response writeContentData(31 downto 16) <= baseDeviceId; -- destination address, because this is a response pakage crc16Current <= crc16Next; -- first frame's CRC stateRB <= SEND_MAINTENANCE_READ_RESPONSE_1; when SEND_MAINTENANCE_READ_RESPONSE_1 => byteCnt <= byteCnt + 1; -- using byteCnt as a counter if byteCnt = 0 then writeContentData <= X"FF" & X"000000"; -- write the filed with HOP + reserved crc16Current <= crc16Next; -- second frame's CRC elsif byteCnt = 1 then if configAddress(2) = '0' then -- check the wdptr bit in the config offset field writeContentData <= configDataRead; -- write payload-0 with data if wdptr='0' else writeContentData <= (others => '0'); -- write zeros end if; crc16Current <= crc16Next; -- third frame's CRC elsif byteCnt = 2 then if configAddress(2) = '0' then -- check the wdptr bit in the config offset field writeContentData <= (others => '0'); -- write zeros else writeContentData <= configDataRead; -- write payload-1 with data if wdptr='1' end if; crc16Current <= crc16Next; -- forth frame's CRC elsif byteCnt = 3 then writeContentData <= crc16Next & X"0000"; -- write the CRC field else writeContent_o <= '0'; stateRB <= SEND_FRAME; end if; when SEND_MAINTENANCE_WRITE_RESPONSE_0 => byteCnt <= 0; readContent_o <= '1'; -- read the config offset if configAddress(2) = '0' then -- check the wdptr bit in the config offset field configDataWrite <= readContentData_i; -- copy payload-0 if wdptr='0' else configDataWrite <= configDataWrite; -- do nothing end if; writeContentData(7 downto 0) <= tid; writeContentData(11 downto 8) <= "0000"; -- size/status writeContentData(15 downto 12) <= TTYPE_MAINTENANCE_WRITE_RESPONSE; -- transaction type, Maintenance Write Response writeContentData(31 downto 16) <= baseDeviceId; -- destination address, because this is a response pakage crc16Current <= crc16Next; -- first frame's CRC stateRB <= SEND_MAINTENANCE_WRITE_RESPONSE_1; when SEND_MAINTENANCE_WRITE_RESPONSE_1 => byteCnt <= byteCnt + 1; -- using byteCnt as a counter if byteCnt = 0 then writeContentData <= X"FF" & X"000000"; -- write the filed with HOP + reserved crc16Current <= crc16Next; -- second frame's CRC elsif byteCnt = 1 then configEnable <= '1'; -- enable signal to the memoryConfig process writeContentData <= crc16Next & X"0000"; -- write the CRC field if configAddress(2) = '0' then -- check the wdptr bit in the config offset field configDataWrite <= configDataWrite; -- do nothing else configDataWrite <= readContentData_i; -- copy payload-1 if wdptr='1' end if; else configEnable <= '0'; -- disable signal to the memoryConfig process readContent_o <= '0'; -- at this point even the frame's CRC is read from the buffer writeContent_o <= '0'; stateRB <= SEND_FRAME; end if; when SEND_DONE_0 => writeContent_o <= '1'; writeContentData(7 downto 0) <= tid; writeContentData(11 downto 8) <= "0000"; -- size/status writeContentData(15 downto 12) <= "1000"; -- ttype writeContentData(31 downto 16) <= baseDeviceId; crc16Current <= crc16Next; -- first frame's CRC stateRB <= SEND_DONE_1; when SEND_DONE_1 => byteCnt <= 0; dataLane <= (others =>(others => '0')); writeContent_o <= '0'; -- this line is to make sure that the CRC is complete read crc16Current <= crc16Next; -- second frame's CRC wbAdr_o <= tempAddr; tempAddr <= tempAddr + 1; wbStb <= '1'; wbWe_o <= '0'; byteOffset <= pos; stateRB <= READ_FROM_WB; when READ_FROM_WB => if wbAck_i = '1' then timeOutCnt <= (others => '0'); -- reset the time out conter if wbErr_i = '0' then -- check if no error occur if (byteCnt < numberOfByte - 1) then -- check if reach the last data byte byteCnt <= byteCnt + 1; if (byteCnt + headLen = 80) then -- when current position in payload is a CRC position dataLane(0) <= crc16Current(15 downto 8); dataLane(1) <= crc16Current(7 downto 0); dataLane(2) <= wbData_i; byteOffset <= 3; elsif byteOffset < 7 then dataLane(byteOffset) <= wbData_i; byteOffset <= byteOffset + 1; else -- dataLane vector is ready to send to fifo dataLane(7) <= wbData_i; byteOffset <= 0; -- Here, sets byteOffset for other response stateRB <= SEND_TO_FIFO; nextStateRB <= READ_FROM_WB; -- end if; else -- get last data from Wishbone wbStb <= '0'; byteCnt <= 0; -- Here, using byteCnt and reset it for other response dataLane(byteOffset) <= wbData_i; stateRB <= APPEND_CRC_AND_SEND; if byteOffset < 7 then -- checking for CRC appending position byteOffset <= byteOffset + 1; else byteOffset <= 0; end if; end if; -- when Wishbone error occur else wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= wbData_i; stateRB <= SEND_ERROR; end if; else -- when no acknowledge received if timeOutCnt(13) = '1' then -- when waiting more than 1 ms for response from the device wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= BERR_NOT_RESPONSE; stateRB <= SEND_ERROR; else timeOutCnt <= timeOutCnt + 1; end if; end if; -- appending CRC and write to the fifo when frame is shorter then 80 bytes when APPEND_CRC_AND_SEND => writeContent_o <= '0'; byteCnt <= byteCnt + 1; -- check if frame is shorter than 80 bytes if (numberOfByte < 65) then -- Yes, frame is shorter then 80 bytes if byteCnt = 0 then -- first write the current double word to the fifo -- then put the CRC in the next double word byteOffset <= 0; stateRB <= SEND_TO_FIFO; nextStateRB <= APPEND_CRC_AND_SEND; elsif byteCnt = 1 then -- append the CRC writeContent_o <= '1'; writeContentData <= crc16Current & X"0000"; else stateRB <= SEND_FRAME; -- store in the switch buffer end if; else --No, appending CRC and write to the fifo when frame is longer then 80 bytes if byteCnt = 0 then -- check if the last byte was placed in the second half of the double word, -- in that case write the first word to the fifo. writeContentData <= dataLane(0) & dataLane(1) & dataLane(2) & dataLane(3); elsif byteCnt = 1 then crc16Current <= crc16Temp; -- calcylate the crc for the 16 most significant bits elsif byteCnt = 2 then writeContent_o <= '1'; writeContentData <= dataLane(0) & dataLane(1) & crc16Current; else stateRB <= SEND_FRAME; -- store in the switch buffer end if; end if; when SEND_TO_FIFO => if byteOffset = 0 then -- using byteOffset as a counter byteOffset <= 1; writeContent_o <= '1'; writeContentData <= dataLane(0) & dataLane(1) & dataLane(2) & dataLane(3); elsif byteOffset = 1 then -- using byteOffset as a counter byteOffset <= 2; writeContent_o <= '0'; crc16Current <= crc16Next; -- calcylate the crc elsif byteOffset = 2 then byteOffset <= 3; writeContent_o <= '1'; writeContentData <= dataLane(4) & dataLane(5) & dataLane(6) & dataLane(7); elsif byteOffset = 3 then crc16Current <= crc16Next; -- calcylate the crc writeContent_o <= '0'; byteOffset <= 0; stateRB <= nextStateRB; dataLane <= (others =>(others => '0')); end if; when READ_FROM_FIFO => if (endianMsb = '1') then if (readContentEnd_i = '0') then endianMsb <= '0'; dataLane(0 to 3) <= (readContentData_i(31 downto 24), readContentData_i(23 downto 16), readContentData_i(15 downto 8), readContentData_i(7 downto 0)); else wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; readContent_o <= '0'; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= BERR_FRAME_SIZE; stateRB <= SEND_ERROR; -- stateRB <= IDLE; end if; else endianMsb <= '1'; readContent_o <= '0'; dataLane(4 to 7) <= (readContentData_i(31 downto 24), readContentData_i(23 downto 16), readContentData_i(15 downto 8), readContentData_i(7 downto 0)); if ready = '1' then stateRB <= nextStateRB; else stateRB <= WRITE_TO_WB; end if; end if; when WRITE_TO_WB => if wbStb = '0' then wbStb <= '1'; wbWe_o <= '1'; byteCnt <= 1; byteOffset <= byteOffset + 1; -- increase number of counted byte tempAddr <= tempAddr + 1; -- increase the memory sddress address wbAdr_o <= tempAddr; wbData_o <= dataLane(byteOffset); else if wbAck_i = '1' then timeOutCnt <= (others => '0'); -- reset the time out conter if wbErr_i = '0' then -- check the peripherals error signal if byteCnt < numberOfByte then tempAddr <= tempAddr + 1; -- increase the memory sddress address wbAdr_o <= tempAddr; wbData_o <= dataLane(byteOffset); byteCnt <= byteCnt + 1; -- increase number of counted byte if byteOffset < 7 then if (byteCnt + headLen = 79) then -- check for the CRC-byte position 80 in the frame byteOffset <= byteOffset + 3; else byteOffset <= byteOffset + 1; end if; else if (byteCnt + headLen = 79) then -- check for the CRC-byte position 80 in the frame byteOffset <= 2; else byteOffset <= 0; end if; if byteCnt < numberOfByte - 1 then readContent_o <= '1'; stateRB <= READ_FROM_FIFO; end if; end if; else -- no more data to send to the peripherals wbStb <= '0'; wbWe_o <= '0'; ready <= '1'; stateRB <= SEND_FRAME; end if; else -- if the peripheral generates an error, send an error Response wbStb <= '0'; wbWe_o <= '0'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= wbData_i; stateRB <= SEND_ERROR; end if; else -- if readContentEnd_i = '1' then -- when unvalid data in the switch buffer -- wbStb <= '0'; -- wbWe_o <= '0'; -- readFrame_o <= '1'; -- byteOffset <= 0; -- writeFrameAbort_o <= '1'; -- over write the frame with an error frame -- errorCode <= BERR_FRAME_SIZE; -- more data content is expected, Frame size error -- stateRB <= SEND_ERROR; -- else if timeOutCnt(13) = '1' then -- when waiting more than 1 ms for response from the device wbStb <= '0'; wbWe_o <= '0'; readFrame_o <= '1'; byteOffset <= 0; writeFrameAbort_o <= '1'; -- over write the frame with an error frame errorCode <= BERR_NOT_RESPONSE; stateRB <= SEND_ERROR; else timeOutCnt <= timeOutCnt + 1; end if; -- end if; end if; end if; when SEND_ERROR => -- Generate a Response Class, an error pakage ftype=13, ttype=8, status="1111" readFrame_o <= '0'; writeFrameAbort_o <= '0'; byteOffset <= byteOffset + 1; if byteOffset = 0 then writeContent_o <= '1'; -- start write to the buffer crc16Current <= (others => '1'); writeContentData <= "00000000" & "00" & "01" & "1101" & sourceId; elsif byteOffset = 1 then writeContentData <= baseDeviceId & "1000" & "1111" & tid; crc16Current <= crc16Next; -- first frame's CRC elsif byteOffset = 2 then writeContentData <= errorCode & x"000000"; crc16Current <= crc16Next; -- second frame's CRC elsif byteOffset = 3 then writeContentData <= x"00000000"; crc16Current <= crc16Next; -- third frame's CRC elsif byteOffset = 4 then writeContentData <= crc16Next & X"0000"; -- write the CRC field else writeContent_o <= '0'; writeFrame_o <= '1'; readFrame_o <= '1'; stateRB <= WAIT_IDLE; end if; when SEND_FRAME => if (ftype = FTYPE_WRITE_CLASS) and (ttype = TTYPE_NWRITE_TRANSACTION) and (tt = "01") then -- check what type of pakage we got readFrame_o <= '1'; elsif (ftype = FTYPE_REQUEST_CLASS) and (ttype = TTYPE_NREAD_TRANSACTION) and (tt = "01") then -- write payload to the buffer is done readFrame_o <= '1'; writeFrame_o <= '1'; else -- the operation was not valid readFrame_o <= '1'; writeFrame_o <= '1'; end if; stateRB <= WAIT_IDLE; when WAIT_IDLE => readFrame_o <= '0'; writeFrame_o <= '0'; readContent_o <= '0'; -- this line is to make sure that the CRC is complete read stateRB <= IDLE; when others => stateRB <= IDLE; end case; end if; end process; ----------------------------------------------------------------------------- -- Configuration memory. ----------------------------------------------------------------------------- memoryConfig : process(clk, areset_n) begin if (areset_n = '0') then configDataRead <= (others => '0'); baseDeviceId <= DEFAULT_BASE_DEVICE_ID; componentTag <= (others => '0'); hostBaseDeviceIdLocked <= '0'; hostBaseDeviceId <= (others => '1'); elsif (clk'event and clk = '1') then if (configEnable = '1') then case (configAddress) is when x"000000" => -- Device Identity CAR. Read-only. configDataRead(31 downto 16) <= DEVICE_IDENTITY; configDataRead(15 downto 0) <= DEVICE_VENDOR_IDENTITY; when x"000004" => -- Device Information CAR. Read-only. configDataRead(31 downto 0) <= DEVICE_REV; when x"000008" => -- Assembly Identity CAR. Read-only. configDataRead(31 downto 16) <= ASSY_IDENTITY; configDataRead(15 downto 0) <= ASSY_VENDOR_IDENTITY; when x"00000c" => -- Assembly Informaiton CAR. Read-only. -- Extended features pointer to "0000". configDataRead(31 downto 16) <= ASSY_REV; configDataRead(15 downto 0) <= x"0000"; when x"000010" => -- Processing Element Features CAR. Read-only. -- Bridge(31), Memory(30), Processor(29), Switch(28). configDataRead(31) <= '1'; configDataRead(30 downto 4) <= (others => '0'); configDataRead(3) <= '1'; -- support 16 bits common transport large system configDataRead(2 downto 0) <= "001"; -- support 34 bits address when x"000018" => -- Source Operations CAR. Read-only. configDataRead(31 downto 0) <= (others => '0'); when x"00001C" => -- Destination Operations CAR. Read-only. configDataRead(31 downto 16) <= (others => '0'); configDataRead(15) <= '1'; configDataRead(14) <= '1'; configDataRead(13 downto 0) <= (others => '0'); when x"00004C" => -- Processing Element Logical Layer Control CSR. configDataRead(31 downto 3) <= (others => '0'); configDataRead(2 downto 0) <= "001"; -- support 34 bits address when x"000060" => -- Base Device ID CSR. -- Only valid for end point devices. if (configWrite = '1') then baseDeviceId <= configDataWrite(15 downto 0); else configDataRead(15 downto 0) <= baseDeviceId; end if; when x"000068" => -- Host Base Device ID Lock CSR. if (configWrite = '1') then -- Check if this field has been written before. if (hostBaseDeviceIdLocked = '0') then -- The field has not been written. -- Lock the field and set the host base device id. hostBaseDeviceIdLocked <= '1'; hostBaseDeviceId <= configDataWrite(15 downto 0); else -- The field has been written. -- Check if the written data is the same as the stored. if (hostBaseDeviceId = configDataWrite(15 downto 0)) then -- Same as stored, reset the value to its initial value. hostBaseDeviceIdLocked <= '0'; hostBaseDeviceId <= (others => '1'); else -- Not writing the same as the stored value. -- Ignore the write. end if; end if; else configDataRead(31 downto 16) <= (others => '0'); configDataRead(15 downto 0) <= hostBaseDeviceId; end if; when x"00006C" => -- Component TAG CSR. if (configWrite = '1') then componentTag <= configDataWrite; else configDataRead <= componentTag; end if; when others => configDataRead <= (others => '0'); end case; else -- Config memory not enabled. end if; end if; end process; ----------------------------------------------------------------------------- -- findInPayload -- find out number of the bytes and first byte's position in the payload. ----------------------------------------------------------------------------- findInPayload: process(wdptr, size) begin case size is when "0000" => reserved <= '0'; numberOfByte <= 1; if wdptr = '1' then pos <= 4; else pos <= 0; end if; when "0001" => reserved <= '0'; numberOfByte <= 1; if wdptr = '1' then pos <= 5; else pos <= 1; end if; when "0010" => reserved <= '0'; numberOfByte <= 1; if wdptr = '1' then pos <= 6; else pos <= 2; end if; when "0011" => reserved <= '0'; numberOfByte <= 1; if wdptr = '1' then pos <= 7; else pos <= 3; end if; when "0100" => reserved <= '0'; numberOfByte <= 2; if wdptr = '1' then pos <= 4; else pos <= 0; end if; when "0101" => reserved <= '0'; numberOfByte <= 3; if wdptr = '1' then pos <= 5; else pos <= 0; end if; when "0110" => reserved <= '0'; numberOfByte <= 2; if wdptr = '1' then pos <= 6; else pos <= 2; end if; when "0111" => reserved <= '0'; numberOfByte <= 5; if wdptr = '1' then pos <= 3; else pos <= 0; end if; when "1000" => reserved <= '0'; numberOfByte <= 4; if wdptr = '1' then pos <= 4; else pos <= 0; end if; when "1001" => reserved <= '0'; numberOfByte <= 6; if wdptr = '1' then pos <= 2; else pos <= 0; end if; when "1010" => reserved <= '0'; numberOfByte <= 7; if wdptr = '1' then pos <= 1; else pos <= 0; end if; when "1011" => reserved <= '0'; if wdptr = '1' then numberOfByte <= 16; else numberOfByte <= 8; end if; pos <= 0; when "1100" => reserved <= '0'; if wdptr = '1' then numberOfByte <= 64; else numberOfByte <= 32; end if; pos <= 0; when "1101" => if wdptr = '1' then reserved <= '0'; numberOfByte <= 128; else reserved <= '1'; numberOfByte <= 96; end if; pos <= 0; when "1110" => if wdptr = '1' then numberOfByte <= 192; else numberOfByte <= 160; end if; reserved <= '1'; pos <= 0; when "1111" => if wdptr = '1' then reserved <= '0'; numberOfByte <= 256; else reserved <= '1'; numberOfByte <= 224; end if; pos <= 0; when others => reserved <= '1'; numberOfByte <= 0; pos <= 0; end case; end process; end architecture;
-- This file has been automatically generated by go-iec61499-vhdl and should not be edited by hand -- Converter written by Hammond Pearce and available at github.com/kiwih/go-iec61499-vhdl -- This file represents the Basic Function Block for ConveyorController library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ConveyorController is port( --for clock and reset signal clk : in std_logic; reset : in std_logic; enable : in std_logic; sync : in std_logic; --input events InjectDone : in std_logic; EmergencyStopChanged : in std_logic; LasersChanged : in std_logic; --output events ConveyorChanged : out std_logic; ConveyorStoppedForInject : out std_logic; --input variables EmergencyStop_I : in std_logic; --type was BOOL InjectSiteLaser_I : in std_logic; --type was BOOL --output variables ConveyorSpeed_O : out unsigned(7 downto 0); --type was BYTE --for done signal done : out std_logic ); end entity; architecture rtl of ConveyorController is -- Build an enumerated type for the state machine type state_type is (STATE_E_Stop, STATE_Running, STATE_Pause); -- Register to hold the current state signal state : state_type := STATE_E_Stop; -- signals to store variable sampled on enable signal EmergencyStop : std_logic := '0'; --register for input signal InjectSiteLaser : std_logic := '0'; --register for input -- signals to rename outputs signal ConveyorSpeed : unsigned(7 downto 0) := (others => '0'); -- signals for enabling algorithms signal ConveyorStart_alg_en : std_logic := '0'; signal ConveyorStart_alg_done : std_logic := '1'; signal ConveyorStop_alg_en : std_logic := '0'; signal ConveyorStop_alg_done : std_logic := '1'; signal ConveyorRunning_alg_en : std_logic := '0'; signal ConveyorRunning_alg_done : std_logic := '1'; signal ConveyorEStop_alg_en : std_logic := '0'; signal ConveyorEStop_alg_done : std_logic := '1'; -- signal for algorithm completion signal AlgorithmsStart : std_logic := '0'; signal AlgorithmsDone : std_logic; --internal variables signal Variable1 : std_logic; --type was BOOL begin -- Registers for data variables (only updated on relevant events) process (clk) begin if rising_edge(clk) then if sync = '1' then if EmergencyStopChanged = '1' then EmergencyStop <= EmergencyStop_I; end if; if LasersChanged = '1' then InjectSiteLaser <= InjectSiteLaser_I; end if; end if; end if; end process; --output var renaming, no output registers as inputs are stored where they are processed ConveyorSpeed_O <= ConveyorSpeed; -- Logic to advance to the next state process (clk, reset) begin if reset = '1' then state <= STATE_E_Stop; AlgorithmsStart <= '1'; elsif (rising_edge(clk)) then if AlgorithmsStart = '1' then --algorithms should be triggered only once via this pulse signal AlgorithmsStart <= '0'; elsif enable = '1' then --default values state <= state; AlgorithmsStart <= '0'; --next state logic if AlgorithmsStart = '0' and AlgorithmsDone = '1' then case state is when STATE_E_Stop => if EmergencyStopChanged = '1' and (not EmergencyStop = '1') then state <= STATE_Running; AlgorithmsStart <= '1'; end if; when STATE_Running => if LasersChanged = '1' and (InjectSiteLaser = '1') then state <= STATE_Pause; AlgorithmsStart <= '1'; end if; when STATE_Pause => if InjectDone = '1' then state <= STATE_Running; AlgorithmsStart <= '1'; elsif EmergencyStopChanged = '1' and (EmergencyStop = '1') then state <= STATE_E_Stop; AlgorithmsStart <= '1'; end if; end case; end if; end if; end if; end process; -- Event outputs and internal algorithm triggers depend solely on the current state process (state) begin --default values --events ConveyorChanged <= '0'; ConveyorStoppedForInject <= '0'; --algorithms ConveyorStart_alg_en <= '0'; ConveyorStop_alg_en <= '0'; ConveyorRunning_alg_en <= '0'; ConveyorEStop_alg_en <= '0'; case state is when STATE_E_Stop => when STATE_Running => ConveyorStart_alg_en <= '1'; ConveyorChanged <= '1'; when STATE_Pause => ConveyorStop_alg_en <= '1'; ConveyorChanged <= '1'; ConveyorStoppedForInject <= '1'; end case; end process; -- Algorithms process process(clk) begin if rising_edge(clk) then if AlgorithmsStart = '1' then if ConveyorStart_alg_en = '1' then -- Algorithm ConveyorStart ConveyorStart_alg_done <= '0'; end if; if ConveyorStop_alg_en = '1' then -- Algorithm ConveyorStop ConveyorStop_alg_done <= '0'; end if; if ConveyorRunning_alg_en = '1' then -- Algorithm ConveyorRunning ConveyorRunning_alg_done <= '0'; end if; if ConveyorEStop_alg_en = '1' then -- Algorithm ConveyorEStop ConveyorEStop_alg_done <= '0'; end if; end if; if ConveyorStart_alg_done = '0' then -- Algorithm ConveyorStart --begin algorithm raw text ConveyorSpeed <= x"01"; ConveyorStart_alg_done <= '1'; --end algorithm raw text end if; if ConveyorStop_alg_done = '0' then -- Algorithm ConveyorStop --begin algorithm raw text ConveyorSpeed <= x"00"; ConveyorStop_alg_done <= '1'; --end algorithm raw text end if; if ConveyorRunning_alg_done = '0' then -- Algorithm ConveyorRunning --begin algorithm raw text ConveyorRunning_alg_done <= '1'; --end algorithm raw text end if; if ConveyorEStop_alg_done = '0' then -- Algorithm ConveyorEStop --begin algorithm raw text ConveyorEStop_alg_done <= '1'; --end algorithm raw text end if; end if; end process; --Done signal AlgorithmsDone <= (not AlgorithmsStart) and ConveyorStart_alg_done and ConveyorStop_alg_done and ConveyorRunning_alg_done and ConveyorEStop_alg_done; Done <= AlgorithmsDone; end rtl;
-- This file has been automatically generated by go-iec61499-vhdl and should not be edited by hand -- Converter written by Hammond Pearce and available at github.com/kiwih/go-iec61499-vhdl -- This file represents the Basic Function Block for ConveyorController library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ConveyorController is port( --for clock and reset signal clk : in std_logic; reset : in std_logic; enable : in std_logic; sync : in std_logic; --input events InjectDone : in std_logic; EmergencyStopChanged : in std_logic; LasersChanged : in std_logic; --output events ConveyorChanged : out std_logic; ConveyorStoppedForInject : out std_logic; --input variables EmergencyStop_I : in std_logic; --type was BOOL InjectSiteLaser_I : in std_logic; --type was BOOL --output variables ConveyorSpeed_O : out unsigned(7 downto 0); --type was BYTE --for done signal done : out std_logic ); end entity; architecture rtl of ConveyorController is -- Build an enumerated type for the state machine type state_type is (STATE_E_Stop, STATE_Running, STATE_Pause); -- Register to hold the current state signal state : state_type := STATE_E_Stop; -- signals to store variable sampled on enable signal EmergencyStop : std_logic := '0'; --register for input signal InjectSiteLaser : std_logic := '0'; --register for input -- signals to rename outputs signal ConveyorSpeed : unsigned(7 downto 0) := (others => '0'); -- signals for enabling algorithms signal ConveyorStart_alg_en : std_logic := '0'; signal ConveyorStart_alg_done : std_logic := '1'; signal ConveyorStop_alg_en : std_logic := '0'; signal ConveyorStop_alg_done : std_logic := '1'; signal ConveyorRunning_alg_en : std_logic := '0'; signal ConveyorRunning_alg_done : std_logic := '1'; signal ConveyorEStop_alg_en : std_logic := '0'; signal ConveyorEStop_alg_done : std_logic := '1'; -- signal for algorithm completion signal AlgorithmsStart : std_logic := '0'; signal AlgorithmsDone : std_logic; --internal variables signal Variable1 : std_logic; --type was BOOL begin -- Registers for data variables (only updated on relevant events) process (clk) begin if rising_edge(clk) then if sync = '1' then if EmergencyStopChanged = '1' then EmergencyStop <= EmergencyStop_I; end if; if LasersChanged = '1' then InjectSiteLaser <= InjectSiteLaser_I; end if; end if; end if; end process; --output var renaming, no output registers as inputs are stored where they are processed ConveyorSpeed_O <= ConveyorSpeed; -- Logic to advance to the next state process (clk, reset) begin if reset = '1' then state <= STATE_E_Stop; AlgorithmsStart <= '1'; elsif (rising_edge(clk)) then if AlgorithmsStart = '1' then --algorithms should be triggered only once via this pulse signal AlgorithmsStart <= '0'; elsif enable = '1' then --default values state <= state; AlgorithmsStart <= '0'; --next state logic if AlgorithmsStart = '0' and AlgorithmsDone = '1' then case state is when STATE_E_Stop => if EmergencyStopChanged = '1' and (not EmergencyStop = '1') then state <= STATE_Running; AlgorithmsStart <= '1'; end if; when STATE_Running => if LasersChanged = '1' and (InjectSiteLaser = '1') then state <= STATE_Pause; AlgorithmsStart <= '1'; end if; when STATE_Pause => if InjectDone = '1' then state <= STATE_Running; AlgorithmsStart <= '1'; elsif EmergencyStopChanged = '1' and (EmergencyStop = '1') then state <= STATE_E_Stop; AlgorithmsStart <= '1'; end if; end case; end if; end if; end if; end process; -- Event outputs and internal algorithm triggers depend solely on the current state process (state) begin --default values --events ConveyorChanged <= '0'; ConveyorStoppedForInject <= '0'; --algorithms ConveyorStart_alg_en <= '0'; ConveyorStop_alg_en <= '0'; ConveyorRunning_alg_en <= '0'; ConveyorEStop_alg_en <= '0'; case state is when STATE_E_Stop => when STATE_Running => ConveyorStart_alg_en <= '1'; ConveyorChanged <= '1'; when STATE_Pause => ConveyorStop_alg_en <= '1'; ConveyorChanged <= '1'; ConveyorStoppedForInject <= '1'; end case; end process; -- Algorithms process process(clk) begin if rising_edge(clk) then if AlgorithmsStart = '1' then if ConveyorStart_alg_en = '1' then -- Algorithm ConveyorStart ConveyorStart_alg_done <= '0'; end if; if ConveyorStop_alg_en = '1' then -- Algorithm ConveyorStop ConveyorStop_alg_done <= '0'; end if; if ConveyorRunning_alg_en = '1' then -- Algorithm ConveyorRunning ConveyorRunning_alg_done <= '0'; end if; if ConveyorEStop_alg_en = '1' then -- Algorithm ConveyorEStop ConveyorEStop_alg_done <= '0'; end if; end if; if ConveyorStart_alg_done = '0' then -- Algorithm ConveyorStart --begin algorithm raw text ConveyorSpeed <= x"01"; ConveyorStart_alg_done <= '1'; --end algorithm raw text end if; if ConveyorStop_alg_done = '0' then -- Algorithm ConveyorStop --begin algorithm raw text ConveyorSpeed <= x"00"; ConveyorStop_alg_done <= '1'; --end algorithm raw text end if; if ConveyorRunning_alg_done = '0' then -- Algorithm ConveyorRunning --begin algorithm raw text ConveyorRunning_alg_done <= '1'; --end algorithm raw text end if; if ConveyorEStop_alg_done = '0' then -- Algorithm ConveyorEStop --begin algorithm raw text ConveyorEStop_alg_done <= '1'; --end algorithm raw text end if; end if; end process; --Done signal AlgorithmsDone <= (not AlgorithmsStart) and ConveyorStart_alg_done and ConveyorStop_alg_done and ConveyorRunning_alg_done and ConveyorEStop_alg_done; Done <= AlgorithmsDone; end rtl;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.all; entity register_generic is generic(N: integer); port( CK: in std_logic; RESET: in std_logic; ENABLE: in std_logic; D: in std_logic_vector(N-1 downto 0); Q: out std_logic_vector(N-1 downto 0)); end register_generic; architecture BEHAVIORAL of register_generic is begin REGISTER_PROCESS: process(CK, RESET) begin if CK'event and CK='1' then -- positive edge triggered: if RESET='1' then -- active high reset Q <= (others => '0'); else if ENABLE = '1' then Q <= D; end if; end if; end if; end process; end BEHAVIORAL;
-------------------------------------------------------------------------------- -- Title : DMA for VME Interface -- Project : 16z002-01 -------------------------------------------------------------------------------- -- File : dma.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 24/06/03 -------------------------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 -------------------------------------------------------------------------------- -- Description : -- -- The vme core has a DMA controller for high performance data transfers between -- the SRAM, PCI space and VMEbus. It is operated through a series of registers -- that control the source/destination for the data, length of the transfer and -- the transfer protocol (A24 or A32) to be used. These registers are not -- directly accessible, but they will be loaded with the content of the Buffer -- Descriptor(s) located in the local SRAM. -- One buffer descriptor may be linked to the next buffer descriptor, such that -- when the DMA has completed the operations described by one buffer descriptor, -- it automatically moves on to the next buffer descriptor in the local SRAM -- list. The last buffer descriptor is reached, when the DMA_NULL bit is set in -- the corresponding buffer descriptor. The maximum number of linked buffer -- descriptors is 112. -- The DMA supports interrupt assertion when all specified buffer descriptors -- are processed (signaled via dma_irq to PCIe, see DMA_IEN). -- The DMA controller is able to transfer data from the SRAM, PCI space and -- VMEbus to each other. For this reason source and/or destination address can -- be incremented or not – depending on the settings. The source and destination -- address must be 8-byte aligned to each other. -- The scatter-gather list is located in the local SRAM area, so a DMA can also -- be initiated by an external VME master by accessing the SRAM via A24/A32 -- slave and the DMA Status Register via A16 slave. -- If transfers to PCI space has to be done, the used memory space must be -- allocated for this function in order to prevent data mismatch! -- If DMA functionality is used, the entire local SRAM cannot be used by other -- functions, because the buffer descriptors are located at the end of this! -------------------------------------------------------------------------------- -- Hierarchy: -- -- wbb2vme -- vme_dma -- vme_dma_mstr -- vme_dma_slv -- vme_dma_arbiter -- vme_dma_du -- vme_dma_au -- vme_dma_fifo -- fifo_256x32bit -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- History: -------------------------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: vme_dma.vhd,v $ -- Revision 1.2 2013/09/12 08:45:30 mmiehling -- added bit 8 of tga for address modifier extension (supervisory, non-privileged data/program) -- -- Revision 1.1 2012/03/29 10:14:48 MMiehling -- Initial Revision -- -- Revision 1.4 2006/05/18 14:02:16 MMiehling -- changed comment -- -- Revision 1.1 2005/10/28 17:52:20 mmiehling -- Initial Revision -- -- Revision 1.3 2004/08/13 15:41:08 mmiehling -- removed dma-slave and improved timing -- -- Revision 1.2 2004/07/27 17:23:15 mmiehling -- removed slave port -- -- Revision 1.1 2004/07/15 09:28:46 MMiehling -- Initial Revision -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY vme_dma IS PORT ( rst : IN std_logic; clk : IN std_logic; irq_o : OUT std_logic; -- vme_du dma_sta : IN std_logic_vector(9 DOWNTO 0); clr_dma_en : OUT std_logic; set_dma_err : OUT std_logic; dma_act_bd : OUT std_logic_vector(7 DOWNTO 4); -- wb-slave stb_i : IN std_logic; ack_o : OUT std_logic; we_i : IN std_logic; cyc_i : IN std_logic; sel_i : IN std_logic_vector(3 DOWNTO 0); adr_i : IN std_logic_vector(31 DOWNTO 0); slv_dat_i : IN std_logic_vector(31 DOWNTO 0); slv_dat_o : OUT std_logic_vector(31 DOWNTO 0); -- wb-master stb_o : OUT std_logic; ack_i : IN std_logic; we_o : OUT std_logic; cti : OUT std_logic_vector(2 DOWNTO 0); tga_o : OUT std_logic_vector(8 DOWNTO 0); -- type of dma err_i : IN std_logic; cyc_o_sram : OUT std_logic; cyc_o_vme : OUT std_logic; cyc_o_pci : OUT std_logic; sel_o : OUT std_logic_vector(3 DOWNTO 0); adr_o : OUT std_logic_vector(31 DOWNTO 0); mstr_dat_o : OUT std_logic_vector(31 DOWNTO 0); mstr_dat_i : IN std_logic_vector(31 DOWNTO 0) ); END vme_dma; ARCHITECTURE vme_dma_arch OF vme_dma IS COMPONENT vme_dma_arbiter PORT ( rst : IN std_logic; clk : IN std_logic; -- vme_dma_slv slv_req : IN std_logic; slv_ack : OUT std_logic; -- vme_dma_mstr mstr_req : IN std_logic; mstr_ack : OUT std_logic; -- result arbit_slv : OUT std_logic -- if set, vme_dma_slv has access and vica verse ); END COMPONENT; COMPONENT vme_dma_slv PORT ( rst : IN std_logic; clk : IN std_logic; stb_i : IN std_logic; ack_o : OUT std_logic; we_i : IN std_logic; cyc_i : IN std_logic; slv_req : OUT std_logic; slv_ack : IN std_logic ); END COMPONENT; COMPONENT vme_dma_au PORT ( rst : IN std_logic; clk : IN std_logic; -- wb_signals adr_o : OUT std_logic_vector(31 DOWNTO 0); -- adress for wb-bus sel_o : OUT std_logic_vector(3 DOWNTO 0); -- byte enables for wb_bus we_o : OUT std_logic; -- write/read tga_o : OUT std_logic_vector(8 DOWNTO 0); -- type of dma cyc_o_sram : OUT std_logic; -- chip select for sram cyc_o_pci : OUT std_logic; -- chip select for pci cyc_o_vme : OUT std_logic; -- chip select for vme stb_o : IN std_logic; -- request signal for cyc switching -- fifo fifo_empty : in std_logic; fifo_full : in std_logic; -- vme_dma_mstr sour_dest : IN std_logic; -- if set, source adress will be used, otherwise destination ad. for adr_o inc_adr : IN std_logic; -- flag indicates when adr should be incremented (depend on sour_dest and get_bd) get_bd : IN std_logic; -- if set, adress for next bd reading is switched to adr_o reached_size : OUT std_logic; -- if all data from one bd was read and stored in the fifo load_cnt : IN std_logic; -- after new bd was stored in register, counters must be loaded with new values boundary : OUT std_logic; -- indicates 256 byte boundary if D16 or D32 burst almost_boundary : out std_logic; -- indicates 256 byte boundary if D16 or D32 burst almost_reached_size : out std_logic; -- if all data from one bd was read and stored in the fifo clr_dma_act_bd : IN std_logic; -- clears dma_act_bd if dma_mstr has done without error or -- when dma_err will be cleared -- vme_dma_du start_dma : IN std_logic; -- flag starts dma-fsm and clears counters dma_act_bd : OUT std_logic_vector(7 DOWNTO 2); -- [7:3] = active bd number dma_dest_adr : IN std_logic_vector(31 DOWNTO 2); -- active bd destination adress dma_sour_adr : IN std_logic_vector(31 DOWNTO 2); -- active bd source adress dma_sour_device : IN std_logic_vector(2 DOWNTO 0); -- selects the source device dma_dest_device : IN std_logic_vector(2 DOWNTO 0); -- selects the destination device dma_vme_am : IN std_logic_vector(4 DOWNTO 0); -- type of dma transmission blk_sgl : IN std_logic; -- indicates if DMA transfer should be done as block or single accesses inc_sour : IN std_logic; -- indicates if source adress should be incremented inc_dest : IN std_logic; -- indicates if destination adress should be incremented dma_size : IN std_logic_vector(15 DOWNTO 0) -- size of data package ); END COMPONENT; COMPONENT vme_dma_du PORT ( rst : IN std_logic; clk : IN std_logic; dma_sta : IN std_logic_vector(9 DOWNTO 0); irq_o : OUT std_logic; -- irq for cpu; asserted when done or error (if enabled) arbit_slv : IN std_logic; -- if set, dma_slv has access and vica verse slv_ack : IN std_logic; -- if set, write from slave side will be done mstr_ack : IN std_logic; -- if set, write from master side will be done -- slave signals adr_i : IN std_logic_vector(6 DOWNTO 2); sel_i : IN std_logic_vector(3 DOWNTO 0); slv_dat_i : IN std_logic_vector(31 DOWNTO 0); slv_dat_o : OUT std_logic_vector(31 DOWNTO 0); we_i : IN std_logic; ack_o : IN std_logic; -- wb_master singals adr_o : IN std_logic_vector(6 DOWNTO 2); mstr_dat_i : IN std_logic_vector(31 DOWNTO 0); -- vme_dma_au dma_act_bd : IN std_logic_vector(7 DOWNTO 4); -- active bd number dma_dest_adr : OUT std_logic_vector(31 DOWNTO 2); -- active bd destination adress dma_sour_adr : OUT std_logic_vector(31 DOWNTO 2); -- active bd source adress dma_sour_device : OUT std_logic_vector(2 DOWNTO 0); -- selects the source device dma_dest_device : OUT std_logic_vector(2 DOWNTO 0); -- selects the destination device dma_vme_am : OUT std_logic_vector(4 DOWNTO 0); -- type of dma transmission blk_sgl : OUT std_logic; -- indicates if DMA transfer should be done as block or single accesses inc_sour : OUT std_logic; -- indicates if source adress should be incremented inc_dest : OUT std_logic; -- indicates if destination adress should be incremented dma_size : OUT std_logic_vector(15 DOWNTO 0); -- size of data package clr_dma_act_bd : OUT std_logic; -- clears dma_act_bd if dma_mstr has done without error or -- when dma_err will be cleared -- dma_mstr set_dma_err : IN std_logic; -- sets dma error bit if vme error clr_dma_en : IN std_logic; -- clears dma en bit if dma_mstr has done dma_en : OUT std_logic; -- starts dma_mstr, if 0 => clears dma_act_bd counter dma_null : OUT std_logic; -- indicates the last bd en_mstr_dat_i_reg : IN std_logic -- enable for data in ); END COMPONENT; COMPONENT vme_dma_mstr PORT ( rst : IN std_logic; clk : IN std_logic; -- wb_master_bus stb_o : OUT std_logic; -- request for wb_mstr_bus ack_i : IN std_logic; -- acknoledge from wb_mstr_bus err_i : IN std_logic; -- error answer from slave cti : OUT std_logic_vector(2 DOWNTO 0); -- fifo fifo_empty : IN std_logic; -- indicates that no more data is available fifo_full : in std_logic; -- indicates that no more data can be stored in fifo fifo_almost_full : IN std_logic; -- indicates that only one data can be stored in the fifo fifo_almost_empty : IN std_logic; -- indicates that only one data is stored in the fifo fifo_wr : OUT std_logic; -- if asserted, fifo will be filled with another data fifo_rd : OUT std_logic; -- if asserted, data will be read out from fifo -- vme_dma_au sour_dest : OUT std_logic; -- if set, source adress will be used, otherwise destination ad. for adr_o inc_adr : OUT std_logic; -- flag indicates when adr should be incremented (depend on sour_dest and get_bd) get_bd : OUT std_logic; -- if set, adress for next bd reading is switched to adr_o reached_size : IN std_logic; -- if all data from one bd was read and stored in the fifo dma_act_bd : IN std_logic_vector(7 DOWNTO 2); -- [7:3] = active bd number load_cnt : OUT std_logic; -- after new bd was stored in register, counters must be loaded with new values boundary : IN std_logic; -- indicates 256 byte boundary if D16 or D32 burst almost_boundary : IN std_logic; -- indicates 256 byte boundary if D16 or D32 burst almost_reached_size : IN std_logic; -- if all data from one bd was read and stored in the fifo we_o_int : IN std_logic; -- vme_dma_du start_dma : IN std_logic; -- flag starts dma-fsm and clears counters set_dma_err : OUT std_logic; -- sets dma error bit if vme error clr_dma_en : OUT std_logic; -- clears dma en bit if dma_mstr has done dma_en : IN std_logic; -- starts dma_mstr, if 0 => clears dma_act_bd counter dma_null : IN std_logic; -- indicates the last bd en_mstr_dat_i_reg : OUT std_logic; -- enable for data in inc_sour : IN std_logic; -- indicates if source adress should be incremented inc_dest : IN std_logic; -- indicates if destination adress should be incremented dma_size : IN std_logic_vector(15 DOWNTO 0); -- size of data package -- arbiter mstr_req : OUT std_logic -- request for internal register access ); END COMPONENT; COMPONENT vme_dma_fifo PORT ( rst : IN std_logic; clk : IN std_logic; fifo_clr : IN std_logic; fifo_wr : IN std_logic; fifo_rd : IN std_logic; fifo_dat_i : IN std_logic_vector(31 DOWNTO 0); fifo_dat_o : OUT std_logic_vector(31 DOWNTO 0); fifo_almost_full : OUT std_logic; fifo_almost_empty : OUT std_logic; fifo_full : OUT std_logic; fifo_empty : OUT std_logic ); END COMPONENT; -- fifo SIGNAL fifo_almost_full : std_logic; SIGNAL fifo_almost_empty : std_logic; SIGNAL fifo_empty : std_logic; SIGNAL fifo_full : std_logic; -- slv SIGNAL slv_req : std_logic; SIGNAL ack_o_int : std_logic; -- arbiter SIGNAL slv_ack : std_logic; SIGNAL mstr_ack : std_logic; SIGNAL arbit_slv : std_logic; -- mstr SIGNAL fifo_wr : std_logic; SIGNAL fifo_rd : std_logic; SIGNAL sour_dest : std_logic; SIGNAL inc_adr : std_logic; SIGNAL get_bd : std_logic; SIGNAL load_cnt : std_logic; SIGNAL set_dma_err_int : std_logic; SIGNAL clr_dma_en_int : std_logic; SIGNAL en_mstr_dat_i_reg : std_logic; SIGNAL mstr_req : std_logic; SIGNAL stb_o_int : std_logic; -- du SIGNAL dma_dest_adr : std_logic_vector(31 DOWNTO 2); SIGNAL dma_sour_adr : std_logic_vector(31 DOWNTO 2); SIGNAL dma_sour_device : std_logic_vector(2 DOWNTO 0); SIGNAL dma_dest_device : std_logic_vector(2 DOWNTO 0); SIGNAL dma_vme_am : std_logic_vector(4 DOWNTO 0); SIGNAL blk_sgl : std_logic; SIGNAL inc_sour : std_logic; SIGNAL inc_dest : std_logic; SIGNAL dma_size : std_logic_vector(15 DOWNTO 0); SIGNAL start_dma : std_logic; SIGNAL clr_fifo : std_logic; SIGNAL dma_en : std_logic; SIGNAL dma_null : std_logic; SIGNAL clr_dma_act_bd : std_logic; -- au SIGNAL adr_o_int : std_logic_vector(31 DOWNTO 0); SIGNAL reached_size : std_logic; SIGNAL almost_reached_size : std_logic; SIGNAL dma_act_bd_int : std_logic_vector(7 DOWNTO 2); SIGNAL boundary : std_logic; SIGNAL almost_boundary : std_logic; SIGNAL we_o_int : std_logic; BEGIN adr_o <= adr_o_int; ack_o <= ack_o_int; stb_o <= stb_o_int; we_o <= we_o_int; clr_dma_en <= clr_dma_en_int; set_dma_err <= set_dma_err_int; dma_act_bd <= dma_act_bd_int(7 DOWNTO 4); clr_fifo <= start_dma; start_dma <= dma_sta(8); dma_arb: vme_dma_arbiter PORT MAP ( rst => rst , clk => clk , slv_req => slv_req , slv_ack => slv_ack , mstr_req => mstr_req , mstr_ack => mstr_ack , arbit_slv => arbit_slv ); dma_slv: vme_dma_slv PORT MAP ( rst => rst , clk => clk , stb_i => stb_i , ack_o => ack_o_int , we_i => we_i , cyc_i => cyc_i , slv_req => slv_req , slv_ack => slv_ack ); dma_au: vme_dma_au PORT MAP ( rst => rst , clk => clk , adr_o => adr_o_int , sel_o => sel_o , tga_o => tga_o, we_o => we_o_int , boundary => boundary, almost_boundary => almost_boundary, cyc_o_sram => cyc_o_sram , cyc_o_pci => cyc_o_pci , cyc_o_vme => cyc_o_vme , stb_o => stb_o_int, fifo_empty => fifo_empty, fifo_full => fifo_full, clr_dma_act_bd => clr_dma_act_bd, sour_dest => sour_dest , inc_adr => inc_adr , get_bd => get_bd , reached_size => reached_size , almost_reached_size => almost_reached_size , load_cnt => load_cnt , start_dma => start_dma , dma_act_bd => dma_act_bd_int , dma_dest_adr => dma_dest_adr , dma_sour_adr => dma_sour_adr , dma_sour_device => dma_sour_device, dma_dest_device => dma_dest_device, dma_vme_am => dma_vme_am , blk_sgl => blk_sgl, inc_sour => inc_sour , inc_dest => inc_dest , dma_size => dma_size ); dma_du: vme_dma_du PORT MAP ( rst => rst , clk => clk , dma_sta => dma_sta, irq_o => irq_o , arbit_slv => arbit_slv , slv_ack => slv_ack , mstr_ack => mstr_ack , ack_o => ack_o_int , we_i => we_i, adr_i => adr_i(6 DOWNTO 2) , sel_i => sel_i , slv_dat_i => slv_dat_i , slv_dat_o => slv_dat_o , clr_dma_act_bd => clr_dma_act_bd, adr_o => adr_o_int(6 DOWNTO 2) , mstr_dat_i => mstr_dat_i , dma_act_bd => dma_act_bd_int(7 DOWNTO 4) , dma_dest_adr => dma_dest_adr , dma_sour_adr => dma_sour_adr , dma_sour_device => dma_sour_device , dma_dest_device => dma_dest_device , dma_vme_am => dma_vme_am , blk_sgl => blk_sgl, inc_sour => inc_sour , inc_dest => inc_dest , dma_size => dma_size , -- start_dma => start_dma , set_dma_err => set_dma_err_int , clr_dma_en => clr_dma_en_int , dma_en => dma_en , dma_null => dma_null , en_mstr_dat_i_reg => en_mstr_dat_i_reg ); dma_mstr: vme_dma_mstr PORT MAP ( rst => rst , clk => clk , stb_o => stb_o_int , ack_i => ack_i , err_i => err_i , cti => cti, fifo_empty => fifo_empty , fifo_full => fifo_full, fifo_almost_full => fifo_almost_full , fifo_almost_empty => fifo_almost_empty , fifo_wr => fifo_wr , fifo_rd => fifo_rd , boundary => boundary, almost_boundary => almost_boundary, we_o_int => we_o_int, sour_dest => sour_dest , inc_adr => inc_adr , get_bd => get_bd , reached_size => reached_size , almost_reached_size => almost_reached_size , dma_act_bd => dma_act_bd_int , load_cnt => load_cnt , start_dma => start_dma , set_dma_err => set_dma_err_int , clr_dma_en => clr_dma_en_int , dma_en => dma_en , inc_sour => inc_sour, inc_dest => inc_dest, dma_size => dma_size, dma_null => dma_null , en_mstr_dat_i_reg => en_mstr_dat_i_reg , mstr_req => mstr_req ); dma_fifo: vme_dma_fifo PORT MAP ( rst => rst , clk => clk , fifo_clr => clr_fifo, fifo_wr => fifo_wr , fifo_rd => fifo_rd , fifo_dat_i => mstr_dat_i , fifo_dat_o => mstr_dat_o , fifo_almost_full => fifo_almost_full , fifo_almost_empty => fifo_almost_empty, fifo_full => fifo_full , fifo_empty => fifo_empty ); END vme_dma_arch;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity lshiftLEDs is Port ( trigger : in STD_LOGIC; leds : out STD_LOGIC_VECTOR (7 downto 0)); end lshiftLEDs; architecture Behavioral of lshiftLEDs is signal ileds : std_logic_vector(7 downto 0) := "00000001"; begin leds <= ileds; process(trigger) begin if rising_edge(trigger) then ileds <= ileds(6 downto 0) & ileds(7); end if; end process; end Behavioral;
------------------------------------------------------------------------------- -- Title : Pipeline -- Project : ------------------------------------------------------------------------------- -- File : pipeline.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-06-10 -- Last update: 2015-10-15 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Pipeline with configurable width and depth ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-06-10 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- entity pipeline is generic ( g_width : natural := 32; g_depth : natural := 2 ); port ( data_i : in std_logic_vector(g_width-1 downto 0); clk_i : in std_logic; ce_i : in std_logic; data_o : out std_logic_vector(g_width-1 downto 0) ); attribute equivalent_register_removal : string; attribute equivalent_register_removal of pipeline : entity is "no"; end entity pipeline; ------------------------------------------------------------------------------- architecture str of pipeline is type slv_array is array(g_depth-1 downto 0) of std_logic_vector(g_width-1 downto 0); signal pipe : slv_array; begin -- architecture str process(clk_i) begin if rising_edge(clk_i) then if ce_i = '1' then pipe(0) <= data_i; for n in 1 to g_depth-1 loop pipe(n) <= pipe(n-1); end loop; end if; --ce_i end if; --clk_i end process; data_o <= pipe(g_depth-1); end architecture str; -------------------------------------------------------------------------------
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- package: testlib -- file: testlib.vhd -- author: Marko Isomaki - Aeroflex Gaisler -- description: package for common vhdl functions for testbenches ------------------------------------------------------------------------------ -- pragma translate_off library std; use std.standard.all; use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library grlib; use grlib.stdio.all; use grlib.stdlib.tost; -- pragma translate_on package testlib is -- pragma translate_off type octet_vector is array (natural range <>) of std_logic_vector(7 downto 0); subtype data_vector8 is octet_vector; type data_vector16 is array (natural range <>) of std_logic_vector(15 downto 0); type data_vector32 is array (natural range <>) of std_logic_vector(31 downto 0); type data_vector64 is array (natural range <>) of std_logic_vector(63 downto 0); type data_vector128 is array (natural range <>) of std_logic_vector(127 downto 0); type data_vector256 is array (natural range <>) of std_logic_vector(255 downto 0); type nibble_vector is array (natural range <>) of std_logic_vector(3 downto 0); subtype data_vector is data_vector32; ----------------------------------------------------------------------------- -- compare function handling '-'. c is the expected data parameter. If it is --'-' or 'U' then this bit is not compared. Returns true if the vectors match ----------------------------------------------------------------------------- function compare(o, c: in std_logic_vector) return boolean; ----------------------------------------------------------------------------- -- compare function handling '-' ----------------------------------------------------------------------------- function compare(o, c: in std_ulogic_vector) return boolean; ----------------------------------------------------------------------------- -- this procedure prints a message to standard output. Also includes the time -- at which it occurs. ----------------------------------------------------------------------------- procedure print( constant comment: in string := "-"; constant severe: in severity_level := note; constant screen: in boolean := true); ----------------------------------------------------------------------------- -- synchronisation with respect to clock and with output offset ----------------------------------------------------------------------------- procedure synchronise( signal clock: in std_ulogic; constant offset: in time := 5 ns; constant enable: in boolean := true); ----------------------------------------------------------------------------- -- this procedure initialises the test error counters. Used in testbenches -- with a test variable to check if a subtest has failed and at the end how -- many subtests have failed. This procedure is called before the first -- subtest ----------------------------------------------------------------------------- procedure tinitialise( variable test: inout boolean; variable testcount: inout integer); ----------------------------------------------------------------------------- -- this procedure completes the sub-test. Called at the end of each subtest ----------------------------------------------------------------------------- procedure tintermediate( variable test: inout boolean; variable testcount: inout integer); ----------------------------------------------------------------------------- -- this procedure completes the test. Called at the end of the complete test ----------------------------------------------------------------------------- procedure tterminate( variable test: inout boolean; variable testcount: inout integer); ----------------------------------------------------------------------------- -- check std_logic_vector array ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in std_logic_vector; constant expected: in std_logic_vector; constant message: in string := ""); ----------------------------------------------------------------------------- -- check std_logic ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in std_logic; constant expected: in std_logic; constant message: in string := ""); ----------------------------------------------------------------------------- -- check std_ulogic_vector array ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in std_ulogic_vector; constant expected: in std_ulogic_vector; constant message: in string := ""); ----------------------------------------------------------------------------- -- check natural ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in natural; constant expected: in natural; constant message: in string := ""); ----------------------------------------------------------------------------- -- check time ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in time; constant expected: in time; constant spread: in time; constant message: in string := ""); ----------------------------------------------------------------------------- -- check boolean ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in boolean; constant expected: in boolean; constant message: in string := ""); ----------------------------------------------------------------------------- -- Convert Data_Vector to Octet_Vector ----------------------------------------------------------------------------- function conv_octet_vector( constant d: in data_vector) return octet_vector; ----------------------------------------------------------------------------- -- Convert Octet_Vector to Data_Vector, with padding ----------------------------------------------------------------------------- function conv_data_vector( constant o: in octet_vector) return data_vector; procedure compare( constant data: in octet_vector; constant cxdata: in octet_vector; variable tP: inout boolean); ---------------------------------------------------------------------------- -- Read file contents to octet vector ---------------------------------------------------------------------------- --Expects data only in hex with four bytes on each line. procedure readfile( constant filename: in string := ""; constant filetype: in integer := 0; constant size: in integer := 0; variable dataout: out octet_vector); --Reads bytes from a file with the format packets are output from ethereal procedure readfile( constant filename: in string := ""; constant size: in integer := 0; variable dataout: out octet_vector); ---------------------------------------------------------------------------- -- Read file contents to data_vector ---------------------------------------------------------------------------- --Expects data only in hex with four bytes on each line. procedure readfile( constant filename: in string := ""; constant size: in integer := 0; variable dataout: out data_vector); --generates an random integer from 0 to the maximum value specified with max procedure gen_rand_int( constant max : in real; variable seed1 : inout positive; variable seed2 : inout positive; variable rand : out integer); --reverses std_logic_vector function reverse(din : std_logic_vector) return std_logic_vector; -- Returns offset to start of valid data for an access of size 'size' in -- AMBA data vector function ahb_doff ( constant dw : integer; constant size : integer; -- access size constant addr : std_logic_vector(4 downto 0)) return integer; -- pragma translate_on end package testlib; -- pragma translate_off --============================================================================-- package body testlib is ----------------------------------------------------------------------------- -- compare function handling '-' ----------------------------------------------------------------------------- function compare(o, c: in std_logic_vector) return boolean is variable t: std_logic_vector(o'range) := c; variable result: boolean; begin result := true; for i in o'range loop if not (o(i)=t(i) or t(i)='-' or t(i)='U') then result := false; end if; end loop; return result; end function compare; ----------------------------------------------------------------------------- -- compare function handling '-' ----------------------------------------------------------------------------- function compare(o, c: in std_ulogic_vector) return boolean is variable t: std_ulogic_vector(o'range) := c; variable result: boolean; begin result := true; for i in o'range loop if not (o(i)=t(i) or t(i)='-' or t(i)='U') then result := false; end if; end loop; return result; end function compare; ----------------------------------------------------------------------------- -- this procedure prints a message to standard output ----------------------------------------------------------------------------- procedure print( constant comment: in string := "-"; constant severe: in severity_level := note; constant screen: in boolean := true) is variable l: line; begin if screen then write(l, now, right, 15); write(l, " : " & comment); if severe = warning then write(l, string'(" # warning, ")); elsif severe = error then write(l, string'(" # error, ")); elsif severe = failure then write(l, string'(" # failure, ")); end if; writeline(output, l); end if; end procedure print; ----------------------------------------------------------------------------- -- synchronisation with respect to clock and with output offset ----------------------------------------------------------------------------- procedure synchronise( signal clock: in std_ulogic; constant offset: in time := 5 ns; constant enable: in boolean := true) is begin if enable then wait until clock = '1'; -- synchronise wait for offset; -- output offset delay end if; end procedure synchronise; ----------------------------------------------------------------------------- -- this procedure initialises the test error counters ----------------------------------------------------------------------------- procedure tinitialise( variable test: inout boolean; variable testcount: inout integer) is begin -------------------------------------------------------------------------- -- initialise test status -------------------------------------------------------------------------- test := true; -- reset any errors testcount := 0; print("--=========================================================--"); print("*** test initialised ***"); print("--=========================================================--"); end procedure tinitialise; ----------------------------------------------------------------------------- -- this procedure completes the sub-test ----------------------------------------------------------------------------- procedure tintermediate( variable test: inout boolean; variable testcount: inout integer) is variable l: line; begin -------------------------------------------------------------------------- -- report test status -------------------------------------------------------------------------- wait for 10 us; print("--=========================================================--"); if test then print("*** sub-test completed successfully ***"); if testcount > 0 then write(l, now, right, 15); write(l, string'(" : ")); write(l, testcount); write(l, string'(" sub-test(s) ended with one or more errors.")); writeline(output, l); end if; else print("*** sub-test completed with errors -- # error # -- ***"); testcount := testcount + 1; test := true; if testcount > 0 then write(l, now, right, 15); write(l, string'(" : ")); write(l, testcount); write(l, string'(" sub-test(s) ended with one or more errors.")); writeline(output, l); end if; end if; print("--=========================================================--"); end procedure tintermediate; ----------------------------------------------------------------------------- -- this procedure completes the test ----------------------------------------------------------------------------- procedure tterminate( variable test: inout boolean; variable testcount: inout integer) is variable l: line; begin -------------------------------------------------------------------------- -- end of test -------------------------------------------------------------------------- wait for 1 ms; print("--=========================================================--"); if testcount = 0 then print("*** test completed successfully ***"); else print("*** test completed with errors -- # error # -- ***"); write(l, now, right, 15); write(l, string'(" : ")); write(l, testcount); write(l, string'(" sub-test(s) ended with one or more errors.")); writeline(output, l); end if; print("--=========================================================--"); report "---- end of test ----" severity failure; wait; end procedure tterminate; ----------------------------------------------------------------------------- -- check std_logic_vector array ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in std_logic_vector; constant expected: in std_logic_vector; constant message: in string := "") is variable l: line; constant padding: std_logic_vector(1 to (4-(received'length mod 4))) := (others => '0'); begin if not compare(received, expected) then write(l, now, right, 15); write(l, string'(" : ") & message & string'(" :")); write(l, string'(" received: ")); if padding'length > 0 and padding'length < 4 then hwrite(l, padding & std_logic_vector(received)); else hwrite(l, std_logic_vector(received)); end if; write(l, string'(" expected: ")); if padding'length > 0 and padding'length < 4 then hwrite(l, padding & std_logic_vector(expected)); else hwrite(l, std_logic_vector(expected)); end if; write(l, string'(" # error")); writeline(output, l); tp := false; end if; end procedure check; ----------------------------------------------------------------------------- -- check std_logic ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in std_logic; constant expected: in std_logic; constant message: in string := "") is variable l: line; begin if not (to_x01z(received)=to_x01z(expected)) then write(l, now, right, 15); write(l, string'(" : ") & message & string'(" :")); write(l, string'(" received: ")); write(l, received); write(l, string'(" expected: ")); write(l, expected); write(l, string'(" # error")); writeline(output, l); tp := false; end if; end procedure check; ----------------------------------------------------------------------------- -- check std_ulogic_vector array ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in std_ulogic_vector; constant expected: in std_ulogic_vector; constant message: in string := "") is variable l: line; constant padding: std_ulogic_vector(1 to (4-(received'length mod 4))) := (others => '0'); begin if not compare(received, expected) then write(l, now, right, 15); write(l, string'(" : ") & message & string'(" :")); write(l, string'(" received: ")); if padding'length > 0 and padding'length < 4 then hwrite(l, std_logic_vector(padding) & std_logic_vector(received)); else hwrite(l, std_logic_vector(received)); end if; write(l, string'(" expected: ")); if padding'length > 0 and padding'length < 4 then hwrite(l, std_logic_vector(padding) & std_logic_vector(expected)); else hwrite(l, std_logic_vector(expected)); end if; write(l, string'(" # error")); writeline(output, l); tp := false; end if; end procedure check; ----------------------------------------------------------------------------- -- check natural ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in natural; constant expected: in natural; constant message: in string := "") is variable l: line; begin if received /= expected then write(l, now, right, 15); write(l, string'(" : ") & message & string'(" :")); write(l, string'(" received: ")); write(l, received); write(l, string'(" expected: ")); write(l, expected); write(l, string'(" # error")); writeline(output, l); tp := false; end if; end procedure check; ----------------------------------------------------------------------------- -- check time ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in time; constant expected: in time; constant spread: in time; constant message: in string := "") is variable l: line; begin if (received > expected+spread) or (received < expected-spread) then write(l, now, right, 15); write(l, string'(" : ") & message & string'(" :")); write(l, string'(" received: ")); write(l, received); write(l, string'(" expected: ")); write(l, expected); write(l, string'(" # error")); writeline(output, l); tp := false; end if; end procedure check; ----------------------------------------------------------------------------- -- check boolean ----------------------------------------------------------------------------- procedure check( variable tp: inout boolean; constant received: in boolean; constant expected: in boolean; constant message: in string := "") is variable l: line; begin if received /= expected then write(l, now, right, 15); write(l, string'(" : ") & message & string'(" :")); write(l, string'(" received: ")); write(l, received); write(l, string'(" expected: ")); write(l, expected); write(l, string'(" # error")); writeline(output, l); tp := false; end if; end procedure check; ----------------------------------------------------------------------------- -- Convert Data_Vector to Octet_Vector ----------------------------------------------------------------------------- function conv_octet_vector( constant d: in data_vector) return octet_vector is variable o: octet_vector(0 to d'Length*4-1); begin for i in o'range loop o(i) := d(i/4)((3-(i mod 4))*8+7 downto (3-(i mod 4))*8); end loop; return o; end function conv_octet_vector; ----------------------------------------------------------------------------- -- Convert Octet_Vector to Data_Vector, with padding ----------------------------------------------------------------------------- function conv_data_vector( constant o: in octet_vector) return data_vector is variable d: data_vector(0 to (1+(o'Length-1)/4)-1); begin for i in o'Range loop d(i/4)((3-(i mod 4))*8+7 downto (3-(i mod 4))*8) := o(i); end loop; return d; end function conv_data_vector; procedure compare( constant data: in octet_vector; constant cxdata: in octet_vector; variable tp: inout boolean) is begin if (data'length /= cxdata'length) then tp := false; print("compare error: lengths do not match"); else for i in data'low to data'low+data'length-1 loop if not compare(data(i), cxdata(i)) then tp := false; print("compare error. index: " & tost(i) & " data: " & tost(data(i)) & " expected: " & tost(cxdata(i))); end if; end loop; end if; end compare; function FromChar(C: Character) return Std_Logic_Vector is variable R: Std_Logic_Vector(0 to 3); begin case C is when '0' => R := "0000"; when '1' => R := "0001"; when '2' => R := "0010"; when '3' => R := "0011"; when '4' => R := "0100"; when '5' => R := "0101"; when '6' => R := "0110"; when '7' => R := "0111"; when '8' => R := "1000"; when '9' => R := "1001"; when 'A' => R := "1010"; when 'B' => R := "1011"; when 'C' => R := "1100"; when 'D' => R := "1101"; when 'E' => R := "1110"; when 'F' => R := "1111"; when 'a' => R := "1010"; when 'b' => R := "1011"; when 'c' => R := "1100"; when 'd' => R := "1101"; when 'e' => R := "1110"; when 'f' => R := "1111"; when others => R := "XXXX"; end case; return R; end FromChar; procedure readfile( constant filename: in string := ""; constant filetype: in integer := 0; constant size: in integer := 0; variable dataout: out octet_vector) is file readfile: text; variable l: line; variable test: boolean := true; variable count: integer := 0; variable dtmp: std_logic_vector(31 downto 0); variable data: octet_vector(0 to size-1); variable i: integer := 0; variable good: boolean := true; variable c: character; begin if size /= 0 then if filename = "" then print("no file given"); else if filetype = 0 then file_open(readfile, filename, read_mode); while not endfile(readfile) loop readline(readfile, l); hread(l, dtmp, test); if (not test) then print("illegal data in file"); exit; end if; for i in 0 to 3 loop data(count) := dtmp(31-i*8 downto 24-i*8); count := count + 1; if count >= size then exit; end if; end loop; if count >= size then exit; end if; end loop; if count < size then print("not enough data in file"); else for i in 0 to size-1 loop dataout(dataout'low+i) := data(i); end loop; end if; else file_open(readfile, filename, read_mode); while not endfile(readfile) loop readline(readfile, L); while (i < 4) loop Read(L, C, good); if not good then Print("Error in read data"); exit; end if; if (C = character'val(32)) or (C = character'val(160)) or (C = HT) then next; else i := i + 1; end if; end loop; i := 0; while (i < 32) loop Read(L, C, good); if not good then Print("Error in read data"); exit; end if; if (C = character'val(32)) or (C = character'val(160)) or (C = HT) then next; else if (i mod 2) = 0 then data(count)(7 downto 4) := fromchar(C); else data(count)(3 downto 0) := fromchar(C); -- Print(tost(data(count))); count := count + 1; if count >= size then exit; end if; end if; i := i + 1; end if; end loop; i := 0; end loop; if count < size then Print("Not enough data in file"); else dataout := data; end if; end if; end if; else print("size is zero. no data read"); end if; end procedure; procedure readfile( constant filename: in string := ""; constant size: in integer := 0; variable dataout: out octet_vector) is begin readfile(filename, 0, size, dataout); end procedure; procedure readfile( constant filename: in string := ""; constant size: in integer := 0; variable dataout: out data_vector) is file readfile: text; variable l: line; variable test: boolean := true; variable count: integer := 0; variable data: data_vector(0 to size/4); begin if size /= 0 then if filename = "" then print("no file given"); else file_open(readfile, filename, read_mode); while not endfile(readfile) loop readline(readfile, l); hread(l, data(count/4), test); if (not test) then print("illegal data in file"); exit; end if; count := count + 4; if count >= size then exit; end if; end loop; if count < size then print("not enough data in file"); else if (size mod 4) = 0 then dataout(dataout'low to dataout'low+data'high-1) := data(0 to data'high-1); else dataout(dataout'low to dataout'low+data'high) := data(0 to data'high); end if; end if; end if; else print("size is zero. no data read"); end if; end procedure; procedure gen_rand_int( constant max : in real; variable seed1 : inout positive; variable seed2 : inout positive; variable rand : out integer) is variable rand_tmp : real; begin uniform(seed1, seed2, rand_tmp); rand := integer(floor(rand_tmp*max)); end procedure; function reverse(din : std_logic_vector) return std_logic_vector is variable dout: std_logic_vector(din'REVERSE_RANGE); begin for i in din'RANGE loop dout(i) := din(i); end loop; return dout; end function reverse; function ahb_doff ( constant dw : integer; constant size : integer; constant addr : std_logic_vector(4 downto 0)) return integer is variable off : integer; begin -- ahb_doff if size < 256 and dw = 256 and addr(4) = '0' then off := 128; else off := 0; end if; if size < 128 and dw >= 128 and addr(3) = '0' then off := off + 64; end if; if size < 64 and dw >= 64 and addr(2) = '0' then off := off + 32; end if; if size < 32 and addr(1) = '0' then off := off + 16; end if; if size < 16 and addr(0) = '0' then off := off + 8; end if; return off; end ahb_doff; end package body ; --=======================================-- -- pragma translate_on
entity test is end test; architecture only of test is type integer_array is array ( natural range <> ) of integer; function return_biggest ( inputs : integer_array ) return integer is variable retval : integer := integer'left; begin for i in inputs'range loop if inputs(i) > retval then retval := inputs(i); end if; end loop; -- i return retval; end return_biggest; subtype biggest_wins is return_biggest integer; signal common : biggest_wins; begin -- only p1 : process begin common <= 1 after 1 ns; wait; end process; p2 : process begin common <= 1 after 1 ns; wait; end process; test: process begin wait for 2 ns; assert common = 1 report "TEST FAILED" severity failure; wait; end process; end only;
entity test is end test; architecture only of test is type integer_array is array ( natural range <> ) of integer; function return_biggest ( inputs : integer_array ) return integer is variable retval : integer := integer'left; begin for i in inputs'range loop if inputs(i) > retval then retval := inputs(i); end if; end loop; -- i return retval; end return_biggest; subtype biggest_wins is return_biggest integer; signal common : biggest_wins; begin -- only p1 : process begin common <= 1 after 1 ns; wait; end process; p2 : process begin common <= 1 after 1 ns; wait; end process; test: process begin wait for 2 ns; assert common = 1 report "TEST FAILED" severity failure; wait; end process; end only;
entity test is end test; architecture only of test is type integer_array is array ( natural range <> ) of integer; function return_biggest ( inputs : integer_array ) return integer is variable retval : integer := integer'left; begin for i in inputs'range loop if inputs(i) > retval then retval := inputs(i); end if; end loop; -- i return retval; end return_biggest; subtype biggest_wins is return_biggest integer; signal common : biggest_wins; begin -- only p1 : process begin common <= 1 after 1 ns; wait; end process; p2 : process begin common <= 1 after 1 ns; wait; end process; test: process begin wait for 2 ns; assert common = 1 report "TEST FAILED" severity failure; wait; end process; end only;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc417.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00417ent IS END c03s02b01x01p19n01i00417ent; ARCHITECTURE c03s02b01x01p19n01i00417arch OF c03s02b01x01p19n01i00417ent IS type real_cons_vector is array (15 downto 0) of real; constant C1 : real_cons_vector := (others => 3.0); function complex_scalar(s : real_cons_vector) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return real_cons_vector is begin return C1; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : real_cons_vector; signal S2 : real_cons_vector; signal S3 : real_cons_vector := C1; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C1) and (S2 = C1)) report "***PASSED TEST: c03s02b01x01p19n01i00417" severity NOTE; assert ((S1 = C1) and (S2 = C1)) report "***FAILED TEST: c03s02b01x01p19n01i00417 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00417arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc417.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00417ent IS END c03s02b01x01p19n01i00417ent; ARCHITECTURE c03s02b01x01p19n01i00417arch OF c03s02b01x01p19n01i00417ent IS type real_cons_vector is array (15 downto 0) of real; constant C1 : real_cons_vector := (others => 3.0); function complex_scalar(s : real_cons_vector) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return real_cons_vector is begin return C1; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : real_cons_vector; signal S2 : real_cons_vector; signal S3 : real_cons_vector := C1; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C1) and (S2 = C1)) report "***PASSED TEST: c03s02b01x01p19n01i00417" severity NOTE; assert ((S1 = C1) and (S2 = C1)) report "***FAILED TEST: c03s02b01x01p19n01i00417 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00417arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc417.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00417ent IS END c03s02b01x01p19n01i00417ent; ARCHITECTURE c03s02b01x01p19n01i00417arch OF c03s02b01x01p19n01i00417ent IS type real_cons_vector is array (15 downto 0) of real; constant C1 : real_cons_vector := (others => 3.0); function complex_scalar(s : real_cons_vector) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return real_cons_vector is begin return C1; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : real_cons_vector; signal S2 : real_cons_vector; signal S3 : real_cons_vector := C1; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C1) and (S2 = C1)) report "***PASSED TEST: c03s02b01x01p19n01i00417" severity NOTE; assert ((S1 = C1) and (S2 = C1)) report "***FAILED TEST: c03s02b01x01p19n01i00417 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00417arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Q8_4_ADD is port ( INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0); INPUT_2 : in STD_LOGIC_VECTOR(31 downto 0); OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0) ); end; architecture rtl of Q8_4_ADD is begin ------------------------------------------------------------------------- PROCESS (INPUT_1, INPUT_2) VARIABLE OP1 : SIGNED(8 downto 0); VARIABLE OP2 : SIGNED(8 downto 0); VARIABLE OP3 : SIGNED(8 downto 0); begin OP1 := SIGNED( INPUT_1(7) & INPUT_1(7 downto 0) ); OP2 := SIGNED( INPUT_2(7) & INPUT_2(7 downto 0) ); OP3 := OP1 + OP2; if( OP3 > TO_SIGNED(127, 8) ) THEN OUTPUT_1 <= STD_LOGIC_VECTOR(TO_SIGNED( 127, 32)); elsif( OP3 < TO_SIGNED(-128, 8) ) THEN OUTPUT_1 <= STD_LOGIC_VECTOR(TO_SIGNED(-128, 32)); else OUTPUT_1 <= STD_LOGIC_VECTOR( RESIZE( OP3(7 downto 0), 32) ); end if; END PROCESS; ------------------------------------------------------------------------- end;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxtstr.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- configuration dlx_test_rtl of dlx_test is for bench for cg : clock_gen use entity work.clock_gen(behavior) generic map ( Tpw => 8 ns, Tps => 2 ns ); end for; for mem : memory use entity work.memory(preloaded) generic map ( mem_size => 65536, Tac_first => 95 ns, Tac_burst => 35 ns, Tpd_clk_out => 2 ns ); end for; for proc : dlx use configuration work.dlx_rtl generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; end for; -- bench of dlx_test end configuration dlx_test_rtl;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxtstr.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- configuration dlx_test_rtl of dlx_test is for bench for cg : clock_gen use entity work.clock_gen(behavior) generic map ( Tpw => 8 ns, Tps => 2 ns ); end for; for mem : memory use entity work.memory(preloaded) generic map ( mem_size => 65536, Tac_first => 95 ns, Tac_burst => 35 ns, Tpd_clk_out => 2 ns ); end for; for proc : dlx use configuration work.dlx_rtl generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; end for; -- bench of dlx_test end configuration dlx_test_rtl;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxtstr.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- configuration dlx_test_rtl of dlx_test is for bench for cg : clock_gen use entity work.clock_gen(behavior) generic map ( Tpw => 8 ns, Tps => 2 ns ); end for; for mem : memory use entity work.memory(preloaded) generic map ( mem_size => 65536, Tac_first => 95 ns, Tac_burst => 35 ns, Tpd_clk_out => 2 ns ); end for; for proc : dlx use configuration work.dlx_rtl generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; end for; -- bench of dlx_test end configuration dlx_test_rtl;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- -- Dummy Cache Replacement Algorithm for Direct-Mapped Caches library ieee; use ieee.std_logic_1164.all; use work.cpu_l1mem_data_cache_replace_none_pkg.all; entity cpu_l1mem_data_cache_replace_none is port ( clk : in std_ulogic; rstn : in std_ulogic; cpu_l1mem_data_cache_replace_none_ctrl_in : in cpu_l1mem_data_cache_replace_none_ctrl_in_type; cpu_l1mem_data_cache_replace_none_ctrl_out : out cpu_l1mem_data_cache_replace_none_ctrl_out_type; cpu_l1mem_data_cache_replace_none_dp_in : in cpu_l1mem_data_cache_replace_none_dp_in_type; cpu_l1mem_data_cache_replace_none_dp_out : out cpu_l1mem_data_cache_replace_none_dp_out_type ); end;
library IEEE; use IEEE.std_logic_1164.all; -- defines std_logic types -- 4 axis version with 48 I/O bits entity HostMot5_4 is port ( LRD: in STD_LOGIC; LWR: in STD_LOGIC; LW_R: in STD_LOGIC; ALE: in STD_LOGIC; ADS: in STD_LOGIC; BLAST: in STD_LOGIC; WAITO: in STD_LOGIC; LOCKO: in STD_LOGIC; CS0: in STD_LOGIC; CS1: in STD_LOGIC; READY: out STD_LOGIC; INT: out STD_LOGIC; LAD: inout STD_LOGIC_VECTOR (31 downto 0); -- data/address bus LA: in STD_LOGIC_VECTOR (8 downto 2); -- non-muxed address bus lBE: in STD_LOGIC_VECTOR (3 downto 0); -- byte enables SYNCLK: in STD_LOGIC; LCLK: in STD_LOGIC; -- I/O signals A: in STD_LOGIC_VECTOR (3 downto 0); B: in STD_LOGIC_VECTOR (3 downto 0); IDX: in STD_LOGIC_VECTOR (3 downto 0); PWM: inout STD_LOGIC_VECTOR (3 downto 0); ENA: out STD_LOGIC_VECTOR (3 downto 0); DIR: inout STD_LOGIC_VECTOR (3 downto 0); IOBITSA: inout STD_LOGIC_VECTOR (23 downto 0); IOBITSB: inout STD_LOGIC_VECTOR (23 downto 0); -- led bits LEDS: out STD_LOGIC_VECTOR(7 downto 0) ); end HostMot5_4; -- for 5I20 or 4I65 architecture dataflow of Hostmot5_4 is alias BLE: STD_LOGIC is LBE(0); -- 16 bit mode alias BHE: STD_LOGIC is LBE(3); -- 16 bit mode alias LA1: STD_LOGIC is LBE(1); -- 8/16 bit mode alias LA0: STD_LOGIC is LBE(0); -- 8 bit mode -- misc global signals -- signal D: STD_LOGIC_VECTOR (31 downto 0); -- internal data bus signal LatchedA: STD_LOGIC_VECTOR (15 downto 0); signal LatchedLBE: STD_LOGIC_VECTOR (3 downto 0); signal PreFastRead: STD_LOGIC; signal FastRead: STD_LOGIC; -- Version specific constants -- constant counters :integer := 4; constant HMID : STD_LOGIC_VECTOR (31 downto 0) := x"AA010004"; -- MSW = rev 1, LSW = 4 axis constant MasterClock : STD_LOGIC_VECTOR (31 downto 0) := x"01FCA055"; -- = 33.3333 MHz -- misc global signals -- signal CardSelect: STD_LOGIC; -- card select decode signal LEDView: STD_LOGIC_VECTOR (7 downto 0); -- index register -- irq related signals signal IRQSource: STD_LOGIC; signal IRQLatch: STD_LOGIC; signal IRQMask: STD_LOGIC; signal MissedIRQ: STD_LOGIC; signal StopOnMissedIRQ: STD_LOGIC; signal ClearMissedIRQ: STD_LOGIC; signal LatchOnInterrupt: STD_LOGIC; -- timeout related signals signal ReloadWDCmd: STD_LOGIC; signal StopOnTimeout: STD_LOGIC; signal WDTimeOut: STD_LOGIC; -- LEDView and id reg signals signal LoadLEDViewCmd: STD_LOGIC; signal ReadLEDViewCmd: STD_LOGIC; signal Enasigs :STD_LOGIC_VECTOR (counters-1 downto 0); signal IDSel: STD_LOGIC; signal ReadIDCmd: STD_LOGIC; signal MCSel: STD_LOGIC; signal ReadMCCmd: STD_LOGIC; -- irqdiv reg signals signal ReadIRQDivCmd: STD_LOGIC; signal LoadIRQDivCmd: STD_LOGIC; signal ClearIRQCmd: STD_LOGIC; -- irq sel reg signals signal loadGCRCmd: STD_LOGIC; signal LoadGMRCmd: STD_LOGIC; signal ReadGMRCmd: STD_LOGIC; -- timeout reg signals signal loadTimeoutCmd: STD_LOGIC; signal ReadTimeoutCmd: STD_LOGIC; signal ReadTimerCmd: STD_LOGIC; -- phase accumulator signals signal ReadPhaseCmd: STD_LOGIC; signal LoadPhaseCmd: STD_LOGIC; -- counter signals -- signal CounterRead: STD_LOGIC_VECTOR (counters-1 downto 0); -- read counter signal GlobalCounterEnable: STD_LOGIC; -- enable counting signal GlobalCountLatchcmd: STD_LOGIC; -- command to latch counter value signal GlobalCountLatch: STD_LOGIC; -- command + irq generated latch count signal CountLatchEdge1: STD_LOGIC; signal CountLatchEdge2: STD_LOGIC; signal CCRLoadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg loads signal CCRReadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg reads signal GlobalCounterClear: STD_LOGIC; -- clear counter -- secondary counter signals -- signal SCounterRead: STD_LOGIC_VECTOR (counters-1 downto 0); -- read counter signal SCCRLoadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg loads signal SCCRReadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); -- counter control reg reads -- pwm generator signals -- signal RefCountBus: STD_LOGIC_VECTOR (9 downto 0); signal LoadPWM: STD_LOGIC_VECTOR (counters-1 downto 0); signal ReadPWM: STD_LOGIC_VECTOR (counters-1 downto 0); signal PCRLoadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); signal PCRReadCmds: STD_LOGIC_VECTOR (counters-1 downto 0); signal GlobalPWMEnable: STD_LOGIC; signal GlobalClearPWM: STD_LOGIC; signal GlobalClearPWMCmd: STD_LOGIC; signal StopPWM: STD_LOGIC; -- misc i/o signals signal PortASel: STD_LOGIC; signal DDRASel: STD_LOGIC; signal LoadPortA: STD_LOGIC; signal LoadDDRA: STD_LOGIC; signal ReadDDRA: STD_LOGIC; signal ReadPortA: STD_LOGIC; signal PortBSel: STD_LOGIC; signal DDRBSel: STD_LOGIC; signal LoadPortB: STD_LOGIC; signal LoadDDRB: STD_LOGIC; signal ReadDDRB: STD_LOGIC; signal ReadPortB: STD_LOGIC; -- decodes -- signal LEDViewSel: STD_LOGIC; signal IndexSel: STD_LOGIC; signal GCRSel: STD_LOGIC; signal GMRSel: STD_LOGIC; signal CCRSel: STD_LOGIC; signal SCCRSel: STD_LOGIC; signal PCRSel: STD_LOGIC; signal TimeOutSel: STD_LOGIC; signal TimerSel: STD_LOGIC; signal IRQDIVSel: STD_LOGIC; signal PWMValSel: STD_LOGIC; signal PhaseSel: STD_LOGIC; signal CounterSel: STD_LOGIC; signal SCounterSel: STD_LOGIC; function OneOfFourDecode(ena : std_logic; dec : std_logic_vector(1 downto 0)) return std_logic_vector is variable result : std_logic_vector(counters-1 downto 0); begin if ena = '1' then case dec is when "00" => result := "0001"; when "01" => result := "0010"; when "10" => result := "0100"; when "11" => result := "1000"; when others => result := "0000"; end case; else result := "0000"; end if; return result; end OneOfFourDecode; function OneOfFourMux(sel: std_logic_vector (1 downto 0); input: std_logic_vector(counters-1 downto 0)) return std_logic is variable result : std_logic; begin case sel is when "00" => result := input(0); when "01" => result := input(1); when "10" => result := input(2); when "11" => result := input(3); when others => result := '0'; end case; return result; end OneOfFourMux; component indexreg port ( clk: in STD_LOGIC; ibus: in STD_LOGIC_VECTOR (15 downto 0); obus: out STD_LOGIC_VECTOR (15 downto 0); loadindex: in STD_LOGIC; readindex: in STD_LOGIC; index: out STD_LOGIC_VECTOR (7 downto 0) ); end component; component counter port ( obus: out STD_LOGIC_VECTOR (31 downto 0); ibus: in STD_LOGIC_VECTOR (31 downto 0); quada: in STD_LOGIC; quadb: in STD_LOGIC; index: in STD_LOGIC; ccrloadcmd: in STD_LOGIC; ccrreadcmd: in STD_LOGIC; countoutreadcmd: in STD_LOGIC; countlatchcmd: in STD_LOGIC; countclearcmd: in STD_LOGIC; countenable: in STD_LOGIC; indexmask: in STD_LOGIC; nads: in STD_LOGIC; clk: in STD_LOGIC ); end component; component pwmgen port ( clk: in STD_LOGIC; refcount: in STD_LOGIC_VECTOR (9 downto 0); ibus: in STD_LOGIC_VECTOR (15 downto 0); obus: out STD_LOGIC_VECTOR (15 downto 0); loadpwmval: in STD_LOGIC; readpwmval: in STD_LOGIC; clearpwmval: in STD_LOGIC; pcrloadcmd: STD_LOGIC; pcrreadcmd: STD_LOGIC; pwmout: out STD_LOGIC; dirio: inout STD_LOGIC; enablein: in STD_LOGIC; enableout: out STD_LOGIC ); end component pwmgen; component pwmref is port ( clk: in STD_LOGIC; refcount: out STD_LOGIC_VECTOR (9 downto 0); irqgen: out STD_LOGIC; ibus: in STD_LOGIC_VECTOR (15 downto 0); obus: out STD_LOGIC_VECTOR (15 downto 0); irqdivload: in STD_LOGIC; irqdivread: in STD_LOGIC; phaseload: in STD_LOGIC; phaseread: in STD_LOGIC ); end component pwmref; component globalcontrolreg is port ( clk: in STD_LOGIC; ibus: in STD_LOGIC_VECTOR (15 downto 0); reset: in STD_LOGIC; loadgcr: in STD_LOGIC; ctrclear: out STD_LOGIC; ctrlatch: out STD_LOGIC; pwmclear: out STD_LOGIC; irqclear: out STD_LOGIC; reloadwd: out STD_LOGIC ); end component globalcontrolreg; component globalmodereg is port ( clk: in STD_LOGIC; ibus: in STD_LOGIC_VECTOR (15 downto 0); obus: out STD_LOGIC_VECTOR (15 downto 0); reset: in STD_LOGIC; loadglobalmode: in STD_LOGIC; readglobalmode: in STD_LOGIC; ctrena: out STD_LOGIC; pwmena: out STD_LOGIC; clearpwmena: in STD_LOGIC; loi: out STD_LOGIC; som: out STD_LOGIC; sot: out STD_LOGIC; miout: out STD_LOGIC; miin: in STD_LOGIC; irqmask: out STD_LOGIC; irqstatus: in STD_LOGIC ); end component globalmodereg; component WordPR24 is port ( clear: in STD_LOGIC; clk: in STD_LOGIC; ibus: in STD_LOGIC_VECTOR (23 downto 0); obus: out STD_LOGIC_VECTOR (23 downto 0); loadport: in STD_LOGIC; loadddr: in STD_LOGIC; readddr: in STD_LOGIC; portdata: out STD_LOGIC_VECTOR (23 downto 0) ); end component WordPR24; component Word24RB is Port ( obus: out STD_LOGIC_VECTOR (23 downto 0); readport: in STD_LOGIC; portdata: in STD_LOGIC_VECTOR (23 downto 0) ); end component Word24RB; component Timeout is Port ( clk : in std_logic; ibus : in std_logic_vector(15 downto 0); obus : out std_logic_vector(15 downto 0); timeoutload : in std_logic; timeoutread : in std_logic; timerread : in std_logic; reload : in std_logic; timerz : out std_logic); end component Timeout; component idreadback is Generic ( id : std_logic_vector(31 downto 0); mc : std_logic_vector(31 downto 0)); Port ( readid : in std_logic; readmc : in std_logic; obus : out std_logic_vector(31 downto 0)); end component idreadback; begin makecounters: for i in 0 to (counters -1) generate counterx: counter port map ( obus => D, ibus => LAD, quada => A(i), quadb => B(i), index => Idx(i), ccrloadcmd => CCRLoadCmds(i), ccrreadcmd => CCRReadCmds(i), countoutreadcmd => CounterRead(i), countlatchcmd => GlobalCountLatch, countclearcmd => GlobalCounterClear, countenable => GlobalCounterEnable, indexmask => IOBITSA(16 + i), nads => ADS, clk => LClk ); end generate; makescounters: for i in 0 to (counters -1) generate counterx: counter port map ( obus => D, ibus => LAD, quada => IOBITSA((i*4)), quadb => IOBITSA((i*4)+1), index => IOBITSA((i*4)+2), ccrloadcmd => SCCRLoadCmds(i), ccrreadcmd => SCCRReadCmds(i), countoutreadcmd => SCounterRead(i), countlatchcmd => GlobalCountLatch, countclearcmd => GlobalCounterClear, countenable => GlobalCounterEnable, indexmask => IOBITSA((i*4) +3), nads => ADS, clk => LClk ); end generate; makepwmgen: for i in 0 to (counters -1) generate pwmgenx: pwmgen port map ( clk => LClk, refcount => RefCountBus, ibus => LAD(15 downto 0), obus => D(15 downto 0), loadpwmval => LoadPWM(i), readpwmval => ReadPWM(i), clearpwmval => GlobalClearPWM, pcrloadcmd => PCRLoadCmds(i), pcrreadcmd => PCRReadCmds(i), pwmout => PWM(i), dirio => Dir(i), enablein => GlobalPWMEnable, enableout =>EnaSigs(i) ); end generate; oporta: WordPR24 port map ( clear => '0', clk => LClk, ibus => LAD(23 downto 0), obus => D(23 downto 0), loadport => LoadPortA, loadddr => LoadDDRA, readddr => ReadDDRA, portdata => IOBITSA ); iporta: Word24RB port map ( obus => D(23 downto 0), readport => ReadPortA, portdata => IOBITSA ); oportb: WordPR24 port map ( clear => '0', clk => LClk, ibus => LAD(23 downto 0), obus => D(23 downto 0), loadport => LoadPortB, loadddr => LoadDDRB, readddr => ReadDDRB, portdata => IOBITSB ); iportb: Word24RB port map ( obus => D(23 downto 0), readport => ReadPortB, portdata => IOBitsB ); pwmrefcount: pwmref port map ( clk => LClk, refcount => RefCountBus, irqgen => IRQSource, ibus => LAD(15 downto 0), obus => D(15 downto 0), irqdivload => LoadIRQDivCmd, irqdivread => ReadIRQDivCmd, phaseload => LoadPhaseCmd, phaseread => ReadPhaseCmd ); gLedreg: indexreg port map ( clk => LClk, ibus => LAD(15 downto 0), obus => D(15 downto 0), loadindex => LoadLEDViewCmd, readindex => ReadLEDViewCmd, index => LEDView ); ggcontrolreg: globalcontrolreg port map ( clk => LClk, ibus => LAD(15 downto 0), reset => '0', loadgcr => LoadGCRCmd, ctrclear => GlobalCounterClear, ctrlatch => GlobalCountLatchCmd, pwmclear => GlobalClearPWMCmd, irqclear => ClearIRQCmd, reloadwd => ReloadWDCmd ); gglobalmodereg: globalmodereg port map ( clk => LClk, ibus => LAD(15 downto 0), obus => D(15 downto 0), reset => '0', loadglobalmode => loadGMRCmd, readglobalmode => ReadGMRCmd, ctrena => GlobalCounterEnable, pwmena => GlobalPWMEnable, clearpwmena => StopPWM, loi => LatchOnInterrupt, som => StopOnMissedIRQ, sot => StopOnTimeout, miout => ClearMissedIRQ, miin => MissedIRQ, irqmask => IRQMask, irqstatus => IRQLatch ); atimeout: timeout port map ( clk => LClk, ibus => LAD(15 downto 0), obus => D(15 downto 0), timeoutload => loadTimeOutCmd, timeoutread => ReadTimeOutCmd, timerread => ReadTimerCmd, reload => ReLoadWDCmd, timerz => WDTimeout ); aidreadback: idreadback generic map ( id => HMID, mc => MasterClock ) port map( readid => ReadIDCmd, readmc => ReadMCCmd, obus => D ); LADDrivers: process (D,FastRead) begin if FastRead ='1' then LAD <= D; else LAD <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; end if; end process LADDrivers; AddressLatch: process (lclk) begin if lclk'event and LClk = '1' then if ADS = '0' then LatchedA <= LAD(15 downto 0); LatchedLBE <= LBE; end if; end if; end process AddressLatch; -- we generate an early read from ADS and LR_W -- since the 10 nS LRD delay and 5 nS setup time -- only give us 15 nS to provide data to the PLX chip MakeFastRead: process (lclk,PreFastread,LRD) begin if lclk'event and LClk = '1' then if ADS = '0' and LW_R = '0'then PreFastRead <= '1'; else PreFastRead <= '0'; end if; end if; FastRead <= PreFastRead or (not LRD); end process MakeFastRead; Decode: process (LatchedA) begin if LatchedA(7 downto 5) = "000" then -- 32 bit access CounterSel <= '1'; else CounterSel <= '0'; end if; if LatchedA(7 downto 5) = "001" then -- 32 bit access SCounterSel <= '1'; else SCounterSel <= '0'; end if; if LatchedA(7 downto 4) = "0100" then -- 16 bit access CCRSel <= '1'; else CCRSel <= '0'; end if; if LatchedA(7 downto 4) = "0101" then -- 16 bit access SCCRSel <= '1'; else SCCRSel <= '0'; end if; if LatchedA(7 downto 5) = "011" then -- 16 bit access PWMValSel <= '1'; else PWMValSel <= '0'; end if; if LatchedA(7 downto 5) = "100" then -- 16 bit access PCRSel <= '1'; else PCRSel <= '0'; end if; if LatchedA(7 downto 2) = "101000" then -- 32 bit access PortASel <= '1'; else PortASel <= '0'; end if; if LatchedA(7 downto 2) = "101001" then -- 32 bit access DDRASel <= '1'; else DDRASel <= '0'; end if; if LatchedA(7 downto 2) = "101010" then -- 32 bit access PortBSel <= '1'; else PortBSel <= '0'; end if; if LatchedA(7 downto 2) = "101011" then -- 32 bit access DDRBSel <= '1'; else DDRBSel <= '0'; end if; if LatchedA(7 downto 2) = "110100" then -- 32 bit access D0 IDSel <= '1'; else IDSel <= '0'; end if; if LatchedA(7 downto 2) = "110101" then -- 32 bit access D4 MCSel <= '1'; else MCSel <= '0'; end if; if LatchedA(7 downto 1) = "1100000" then -- 16 bit access GCRSel <= '1'; else GCRSel <= '0'; end if; if LatchedA(7 downto 1) = "1100001" then -- 16 bit access GMRSel <= '1'; else GMRSel <= '0'; end if; if LatchedA(7 downto 1) = "1100010"then -- 16 bit access IRQDivSel <= '1'; else IRQDivSel <= '0'; end if; if LatchedA(7 downto 1) = "1100011"then -- 16 bit access PhaseSel <= '1'; else PhaseSel <= '0'; end if; if LatchedA(7 downto 1) = "1100100" then -- 16 bit access TimeOutSel <= '1'; else TimeOutSel <= '0'; end if; if LatchedA(7 downto 1) = "1100101" then -- 16 bit access TimerSel <= '1'; else TimerSel <= '0'; end if; if LatchedA(7 downto 1) = "1100110" then -- 16 bit access LEDViewSel <= '1'; else LEDViewSel <= '0'; end if; end process; SigsOut: process (EnaSigs) begin Ena <= EnaSigs; end process; CounterDecode: process (CounterSel, Fastread, LatchedA) begin if FastRead = '1' then CounterRead <= OneOfFourDecode(CounterSel,LatchedA(3 downto 2)); else CounterRead <= (others => '0'); end if; end process; SCounterDecode: process (SCounterSel, Fastread, LatchedA) begin if FastRead = '1' then SCounterRead <= OneOfFourDecode(SCounterSel,LatchedA(3 downto 2)); else SCounterRead <= (others => '0'); end if; end process; CCRegs: process (CCRSel, FastRead, LWR, LatchedA) begin if FastRead = '1' then CCRReadCmds <= OneOfFourDecode(CCRSel,LatchedA(2 downto 1)); else CCRReadCmds <= (others => '0'); end if; if LWR = '0' then CCRLoadCmds <= OneOfFourDecode(CCRSel,LatchedA(2 downto 1)); else CCRLoadCmds <= (others => '0'); end if; end process; SCCRegs: process (SCCRSel, FastRead, LWR, LatchedA) begin if FastRead = '1' then SCCRReadCmds <= OneOfFourDecode(SCCRSel,LatchedA(2 downto 1)); else SCCRReadCmds <= (others => '0'); end if; if LWR = '0' then SCCRLoadCmds <= OneOfFourDecode(SCCRSel,LatchedA(2 downto 1)); else SCCRLoadCmds <= (others => '0'); end if; end process; PWMdecode: process (PWMValSel,Fastread, LWR, LatchedA) begin if FastRead = '1' then ReadPWM <= OneOfFourDecode(PWMValSel,LatchedA(2 downto 1)); else ReadPWM <= (others => '0'); end if; if LWR = '0' then LoadPWM <= OneOfFourDecode(PWMValSel,LatchedA(2 downto 1)); else LoadPWM <= (others => '0'); end if; end process; PCRegs: process (PCRSel,Fastread, LWR, LatchedA) begin if FastRead = '1' then PCRReadCmds <= OneOfFourDecode(PCRSel,LatchedA(2 downto 1)); else PCRReadCmds <= (others => '0'); end if; if LWR = '0' then PCRLoadCmds <= OneOfFourDecode(PCRSel,LatchedA(2 downto 1)); else PCRLoadCmds <= (others => '0'); end if; end process; PortADecode: process (PortASel,FastRead,LWR) begin if PortASel = '1' and LWR = '0' then LoadPortA <= '1'; else LoadPortA <= '0'; end if; if PortASel = '1' and FastRead = '1' then ReadPortA <= '1'; else ReadPortA <= '0'; end if; end process PortADecode; DDRADecode: process (DDRASel,FastRead,LWR) begin if DDRASel = '1' and LWR = '0' then LoadDDRA <= '1'; else LoadDDRA <= '0'; end if; if DDRASel = '1' and FastRead = '1' then ReadDDRA <= '1'; else ReadDDRA <= '0'; end if; end process DDRADecode; PortBDecode: process (PortBSel,FastRead,LWR) begin if PortBSel = '1' and LWR = '0' then LoadPortB <= '1'; else LoadPortB <= '0'; end if; if PortBSel = '1' and FastRead = '1' then ReadPortB <= '1'; else ReadPortB <= '0'; end if; end process PortBDecode; DDRBDecode: process (DDRBSel,FastRead,LWR) begin if DDRBSel = '1' and LWR = '0' then LoadDDRB <= '1'; else LoadDDRB <= '0'; end if; if DDRBSel = '1' and FastRead = '1' then ReadDDRB <= '1'; else ReadDDRB <= '0'; end if; end process DDRBDecode; GCRDecode: process (GCRSel,LWR) begin if GCRSel = '1' and LWR = '0' then LoadGCRCmd <= '1'; else LoadGCRCmd <= '0'; end if; end process GCRDecode; GMRDecode: process (GMRSel,FastRead,LWR) begin if GMRSel = '1' and LWR = '0' then LoadGMRCmd <= '1'; else LoadGMRCmd <= '0'; end if; if GMRSel = '1' and FastRead = '1' then ReadGMRCmd <= '1'; else ReadGMRCmd <= '0'; end if; end process GMRDecode; TimeOutDecode: process (TimeOutSel,FastRead,LWR) begin if TimeoutSel = '1' and LWR = '0' then LoadTimeOutCmd <= '1'; else LoadTimeOutCmd <= '0'; end if; if TimeOutSel = '1' and FastRead = '1' then ReadTimeOutCmd <= '1'; else ReadTimeOutCmd <= '0'; end if; end process TimeOutDecode; TimerDecode: process (TimerSel,FastRead,LWR) begin if TimerSel = '1' and FastRead = '1' then ReadTimerCmd <= '1'; else ReadTimerCmd <= '0'; end if; end process TimerDecode; LEDViewDecode: process (LedViewSel,FastRead,LWR) begin if LEDViewSel = '1' and LWR = '0' then LoadLEDViewCmd <= '1'; else LoadLEDViewCmd <= '0'; end if; if LEDViewSel = '1' and FastRead= '1' then ReadLEDViewCmd <= '1'; else ReadLEDViewCmd <= '0'; end if; end process LEDViewDecode; IRQDivDecode: process (IRQDivSel,FastRead,LWR) begin if IRQDivSel = '1' and LWR = '0' then LoadIRQDivCmd <= '1'; else LoadIRQDivCmd <= '0'; end if; if IRQDivSel = '1' and FastRead = '1' then ReadIRQDivCmd <= '1'; else ReadIRQDivCmd <= '0'; end if; end process IrqDivDecode; PhaseDecode: process (PhaseSel,FastRead,LWR) begin if PhaseSel = '1' and LWR = '0' then LoadPhaseCmd <= '1'; else LoadPhaseCmd <= '0'; end if; if PhaseSel = '1' and FastRead = '1' then ReadPhaseCmd <= '1'; else ReadPhaseCmd <= '0'; end if; end process PhaseDecode; IDDecode: process (IDSel,FastRead) begin if IDSel = '1' and FastRead = '1' then ReadIDCmd <= '1'; else ReadIDCmd <= '0'; end if; end process IDDecode; MCDecode: process (MCSel,FastRead) begin if MCSel = '1' and FastRead = '1' then ReadMCCmd <= '1'; else ReadMCCmd <= '0'; end if; end process MCDecode; irqlogic: process (CardSelect, IRQSource, IrqLatch, ClearMissedIRQ, MissedIRQ, StopOnMissedIRQ, LatchOnInterrupt, GlobalCountLatchCmd, GlobalClearPWMCmd, ClearIRQCmd) begin if IrqSource'event and IRQsource = '1' then IRQLatch <= '1'; if IRQLatch = '1' then -- if IRQLatch is set and we get the next interrupt MissedIRQ <= '1'; -- set Missed IRQ latch end if; end if; if LClk'event and LClk = '1' then if ((IRQLatch = '1') and (LatchOnInterrupt = '1')) or (GlobalCountLatchCmd = '1') then CountLatchEdge1 <= '1'; else CountLatchEdge1 <= '0'; end if; CountLatchEdge2 <= CountLatchEdge1; if CountLatchEdge2 = '0' and CountLatchEdge1 = '1' then GlobalCountLatch <= '1'; else GlobalCountLatch <= '0'; end if; end if; if ClearMissedIRQ = '1' then MissedIRQ <= '0'; end if; if ((MissedIRQ = '1') and (StopOnMissedIRQ = '1')) or ((WDTimeOut = '1') and (StopOnTimeout = '1')) then StopPWM <= '1'; else StopPWM <= '0'; end if; if (StopPWM = '1') or (GlobalClearPWMCmd = '1') then -- either stop on pwm or global clear reset pwm gens GlobalClearPWM <= '1'; else GlobalClearPWM <= '0'; end if; if ClearIRQCmd = '1' then -- clear IRQ IRQLatch <= '0'; end if; Int <= not (IRQLatch and IRQMask); -- drive our (active low) interrupt pin Ready <= '0'; -- We're always ready end process; LEDDrive: process (A,B,Idx,Dir,PWM,IRQLatch,LedView) begin LEDS(7) <= not IRQLatch; LEDS(6) <= not OneOfFourMux(LEDView(1 downto 0),A); LEDS(5) <= not OneOfFourMux(LEDView(1 downto 0),B); LEDS(4) <= not OneOfFourMux(LEDView(1 downto 0),Idx); LEDS(3) <= not OneOfFourMux(LEDView(1 downto 0),Dir); LEDS(2) <= not OneOfFourMux(LEDView(1 downto 0),PWM); LEDS(1) <= OneOfFourMux(LEDView(1 downto 0),EnaSigs); LEDS(0) <= not WDTimeout; end process leddrive; end dataflow;
------------------------------------------------------------------------------- -- upcnt_n.vhd entity/architecture pair ------------------------------------------------------------------------------- -- *************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX is PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS is" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT to NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2011 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- *************************************************************************** ------------------------------------------------------------------------------- -- Filename: upcnt_n.vhd -- Version: v1.01.b -- -- Description: -- This file contains a parameterizable N-bit up counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_iic.vhd -- -- iic.vhd -- -- axi_ipif_ssp1.vhd -- -- axi_lite_ipif.vhd -- -- interrupt_control.vhd -- -- soft_reset.vhd -- -- reg_interface.vhd -- -- filter.vhd -- -- debounce.vhd -- -- iic_control.vhd -- -- upcnt_n.vhd -- -- shift8.vhd -- -- dynamic_master.vhd -- -- iic_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: USM -- -- USM 10/15/09 -- ^^^^^^ -- - Initial release of v1.00.a -- ~~~~~~ -- -- USM 09/06/10 -- ^^^^^^ -- - Release of v1.01.a -- ~~~~~~ -- -- NLR 01/07/11 -- ^^^^^^ -- - Release of v1.01.b -- ~~~~~~ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_SIZE -- Data width of counter -- -- Definition of Ports: -- Clk -- System clock -- Clr -- Active low clear -- Data -- Serial data in -- Cnt_en -- Count enable -- Load -- Load line enable -- Qout -- Shift register shift enable ------------------------------------------------------------------------------- -- Entity section ------------------------------------------------------------------------------- entity upcnt_n is generic( C_SIZE : integer :=9 ); port( Clr : in std_logic; Clk : in std_logic; Data : in std_logic_vector (0 to C_SIZE-1); Cnt_en : in std_logic; Load : in std_logic; Qout : inout std_logic_vector (0 to C_SIZE-1) ); end upcnt_n; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture RTL of upcnt_n is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes"; constant enable_n : std_logic := '0'; signal q_int : unsigned (0 to C_SIZE-1); begin ---------------------------------------------------------------------------- -- PROCESS: UP_COUNT_GEN -- purpose: Up counter ---------------------------------------------------------------------------- UP_COUNT_GEN : process(Clk) begin if (Clk'event) and Clk = '1' then if (Clr = enable_n) then -- Clear output register q_int <= (others => '0'); elsif (Load = '1') then -- Load in start value q_int <= unsigned(Data); elsif Cnt_en = '1' then -- If count enable is high q_int <= q_int + 1; else q_int <= q_int; end if; end if; end process UP_COUNT_GEN; Qout <= std_logic_vector(q_int); end architecture RTL;
------------------------------------------------------------------------------- -- upcnt_n.vhd entity/architecture pair ------------------------------------------------------------------------------- -- *************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX is PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS is" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT to NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2011 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- *************************************************************************** ------------------------------------------------------------------------------- -- Filename: upcnt_n.vhd -- Version: v1.01.b -- -- Description: -- This file contains a parameterizable N-bit up counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_iic.vhd -- -- iic.vhd -- -- axi_ipif_ssp1.vhd -- -- axi_lite_ipif.vhd -- -- interrupt_control.vhd -- -- soft_reset.vhd -- -- reg_interface.vhd -- -- filter.vhd -- -- debounce.vhd -- -- iic_control.vhd -- -- upcnt_n.vhd -- -- shift8.vhd -- -- dynamic_master.vhd -- -- iic_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: USM -- -- USM 10/15/09 -- ^^^^^^ -- - Initial release of v1.00.a -- ~~~~~~ -- -- USM 09/06/10 -- ^^^^^^ -- - Release of v1.01.a -- ~~~~~~ -- -- NLR 01/07/11 -- ^^^^^^ -- - Release of v1.01.b -- ~~~~~~ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_SIZE -- Data width of counter -- -- Definition of Ports: -- Clk -- System clock -- Clr -- Active low clear -- Data -- Serial data in -- Cnt_en -- Count enable -- Load -- Load line enable -- Qout -- Shift register shift enable ------------------------------------------------------------------------------- -- Entity section ------------------------------------------------------------------------------- entity upcnt_n is generic( C_SIZE : integer :=9 ); port( Clr : in std_logic; Clk : in std_logic; Data : in std_logic_vector (0 to C_SIZE-1); Cnt_en : in std_logic; Load : in std_logic; Qout : inout std_logic_vector (0 to C_SIZE-1) ); end upcnt_n; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture RTL of upcnt_n is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes"; constant enable_n : std_logic := '0'; signal q_int : unsigned (0 to C_SIZE-1); begin ---------------------------------------------------------------------------- -- PROCESS: UP_COUNT_GEN -- purpose: Up counter ---------------------------------------------------------------------------- UP_COUNT_GEN : process(Clk) begin if (Clk'event) and Clk = '1' then if (Clr = enable_n) then -- Clear output register q_int <= (others => '0'); elsif (Load = '1') then -- Load in start value q_int <= unsigned(Data); elsif Cnt_en = '1' then -- If count enable is high q_int <= q_int + 1; else q_int <= q_int; end if; end if; end process UP_COUNT_GEN; Qout <= std_logic_vector(q_int); end architecture RTL;
------------------------------------------------------------------------------- -- Title : Multiplier testbench -- Project : ------------------------------------------------------------------------------- -- File : multiplier_bench.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-02-01 -- Last update: 2015-03-11 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Multiplier testbench ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-02-01 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library std; use std.textio.all; library UNISIM; use UNISIM.vcomponents.all; entity multiplier_bench is end entity multiplier_bench; architecture test of multiplier_bench is constant input_freq : real := 120.0e6; constant clock_period : time := 1.0 sec /(2.0*input_freq); signal clock : std_logic := '0'; signal endoffile : bit := '0'; signal a1 : std_logic_vector(15 downto 0); signal b1 : std_logic_vector(15 downto 0); signal p1 : std_logic_vector(15 downto 0); component generic_multiplier is generic ( g_a_width : natural; g_b_width : natural; g_signed : boolean; g_p_width : natural); port ( a_i : in std_logic_vector(g_a_width-1 downto 0); b_i : in std_logic_vector(g_b_width-1 downto 0); p_o : out std_logic_vector(g_p_width-1 downto 0); ce_i : in std_logic; clk_i : in std_logic; rst_i : in std_logic); end component generic_multiplier; begin -- architecture test clk_gen : process begin clock <= '0'; wait for clock_period; clock <= '1'; wait for clock_period; end process; uut : generic_multiplier generic map( g_a_width => 16, g_b_width => 16, g_signed => true, g_p_width => 16) port map( a_i => a1, b_i => b1, p_o => p1, ce_i => '1', clk_i => clock, rst_i => '0'); b1 <= X"FFFF"; -- FIXME: too simple of a test, requiring manual inspection. Improve it. single_test : process(clock) variable a_test : integer := -1000; begin if rising_edge(clock) then a1 <= std_logic_vector(to_signed(a_test, 16)); a_test := a_test + 20; if a_test = 1000 then assert(false) report "Input file finished." severity failure; end if; end if; end process; end architecture test;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1756.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s05b01x00p01n01i01756ent IS END c09s05b01x00p01n01i01756ent; ARCHITECTURE c09s05b01x00p01n01i01756arch OF c09s05b01x00p01n01i01756ent IS type t_wlogic is (U, D, Z0, Z1, ZDX, DZX, ZX); signal count : integer ; signal ECLK : t_wlogic; signal ECLK2 : t_wlogic; signal ECL : integer := 1; BEGIN count <= 0 after 0 ns, 1 after 10 ns, 2 after 20 ns, 3 after 30 ns, 4 after 40 ns, 5 after 50 ns, 6 after 60 ns; ---------------------------------------------------------------------- ECLK <= U after 1 ns WHEN count=0 ELSE D after 1 ns WHEN count=1 ELSE Z0 after 1 ns WHEN count=2 ELSE Z1 after 1 ns WHEN count=3 ELSE ZDX after 1 ns WHEN count=4 ELSE DZX after 1 ns WHEN count=5 ELSE ZX after 1 ns ; TESTING: PROCESS(count) BEGIN if count = 0 then ECLK2 <= U after 1 ns; elsif count = 1 then ECLK2 <= D after 1 ns; elsif count = 2 then ECLK2 <= Z0 after 1 ns; elsif count = 3 then ECLK2 <= Z1 after 1 ns; elsif count = 4 then ECLK2 <= ZDX after 1 ns; elsif count = 5 then ECLK2 <= DZX after 1 ns; else ECLK2 <= ZX after 1 ns; end if; END PROCESS TESTING; PROCESS(ECLK,ECLK2) BEGIN if now = 0 ns then NULL; elsif (now = 1 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 11 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 21 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 31 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 41 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 51 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 61 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; end if; END PROCESS; PROCESS(ECLK,ECLK2) BEGIN if (now > 60 ns) and (ECL = 1) then assert FALSE report "***PASSED TEST: c09s05b01x00p01n01i01756" severity NOTE; elsif (now > 60 ns) and (ECL = 0) then assert FALSE report "***FAILED TEST: c09s05b01x00p01n01i01756 - The conditional signal assignment represents a process statement in which the signal transform is an if statement." severity ERROR; end if; END PROCESS; END c09s05b01x00p01n01i01756arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1756.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s05b01x00p01n01i01756ent IS END c09s05b01x00p01n01i01756ent; ARCHITECTURE c09s05b01x00p01n01i01756arch OF c09s05b01x00p01n01i01756ent IS type t_wlogic is (U, D, Z0, Z1, ZDX, DZX, ZX); signal count : integer ; signal ECLK : t_wlogic; signal ECLK2 : t_wlogic; signal ECL : integer := 1; BEGIN count <= 0 after 0 ns, 1 after 10 ns, 2 after 20 ns, 3 after 30 ns, 4 after 40 ns, 5 after 50 ns, 6 after 60 ns; ---------------------------------------------------------------------- ECLK <= U after 1 ns WHEN count=0 ELSE D after 1 ns WHEN count=1 ELSE Z0 after 1 ns WHEN count=2 ELSE Z1 after 1 ns WHEN count=3 ELSE ZDX after 1 ns WHEN count=4 ELSE DZX after 1 ns WHEN count=5 ELSE ZX after 1 ns ; TESTING: PROCESS(count) BEGIN if count = 0 then ECLK2 <= U after 1 ns; elsif count = 1 then ECLK2 <= D after 1 ns; elsif count = 2 then ECLK2 <= Z0 after 1 ns; elsif count = 3 then ECLK2 <= Z1 after 1 ns; elsif count = 4 then ECLK2 <= ZDX after 1 ns; elsif count = 5 then ECLK2 <= DZX after 1 ns; else ECLK2 <= ZX after 1 ns; end if; END PROCESS TESTING; PROCESS(ECLK,ECLK2) BEGIN if now = 0 ns then NULL; elsif (now = 1 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 11 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 21 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 31 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 41 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 51 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 61 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; end if; END PROCESS; PROCESS(ECLK,ECLK2) BEGIN if (now > 60 ns) and (ECL = 1) then assert FALSE report "***PASSED TEST: c09s05b01x00p01n01i01756" severity NOTE; elsif (now > 60 ns) and (ECL = 0) then assert FALSE report "***FAILED TEST: c09s05b01x00p01n01i01756 - The conditional signal assignment represents a process statement in which the signal transform is an if statement." severity ERROR; end if; END PROCESS; END c09s05b01x00p01n01i01756arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1756.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s05b01x00p01n01i01756ent IS END c09s05b01x00p01n01i01756ent; ARCHITECTURE c09s05b01x00p01n01i01756arch OF c09s05b01x00p01n01i01756ent IS type t_wlogic is (U, D, Z0, Z1, ZDX, DZX, ZX); signal count : integer ; signal ECLK : t_wlogic; signal ECLK2 : t_wlogic; signal ECL : integer := 1; BEGIN count <= 0 after 0 ns, 1 after 10 ns, 2 after 20 ns, 3 after 30 ns, 4 after 40 ns, 5 after 50 ns, 6 after 60 ns; ---------------------------------------------------------------------- ECLK <= U after 1 ns WHEN count=0 ELSE D after 1 ns WHEN count=1 ELSE Z0 after 1 ns WHEN count=2 ELSE Z1 after 1 ns WHEN count=3 ELSE ZDX after 1 ns WHEN count=4 ELSE DZX after 1 ns WHEN count=5 ELSE ZX after 1 ns ; TESTING: PROCESS(count) BEGIN if count = 0 then ECLK2 <= U after 1 ns; elsif count = 1 then ECLK2 <= D after 1 ns; elsif count = 2 then ECLK2 <= Z0 after 1 ns; elsif count = 3 then ECLK2 <= Z1 after 1 ns; elsif count = 4 then ECLK2 <= ZDX after 1 ns; elsif count = 5 then ECLK2 <= DZX after 1 ns; else ECLK2 <= ZX after 1 ns; end if; END PROCESS TESTING; PROCESS(ECLK,ECLK2) BEGIN if now = 0 ns then NULL; elsif (now = 1 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 11 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 21 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 31 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 41 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 51 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; elsif (now = 61 ns) and (ECLK /= ECLK2) then assert FALSE report "FAILED TEST" severity ERROR; ECL <= 0; end if; END PROCESS; PROCESS(ECLK,ECLK2) BEGIN if (now > 60 ns) and (ECL = 1) then assert FALSE report "***PASSED TEST: c09s05b01x00p01n01i01756" severity NOTE; elsif (now > 60 ns) and (ECL = 0) then assert FALSE report "***FAILED TEST: c09s05b01x00p01n01i01756 - The conditional signal assignment represents a process statement in which the signal transform is an if statement." severity ERROR; end if; END PROCESS; END c09s05b01x00p01n01i01756arch;
--------------------------------------------------------------------- ---- ---- ---- OpenCores IDE Controller ---- ---- ATA/ATAPI-5 PIO controller with write PingPong ---- ---- ---- ---- Author: Richard Herveille ---- ---- [email protected] ---- ---- www.asics.ws ---- ---- ---- --------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2001, 2002 Richard Herveille ---- ---- [email protected] ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer.---- ---- ---- ---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- ---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- ---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- ---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- ---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- ---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- ---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- ---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- ---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- ---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ---- POSSIBILITY OF SUCH DAMAGE. ---- ---- ---- --------------------------------------------------------------------- -- rev.: 1.0 march 8th, 2001. Initial release -- -- CVS Log -- -- $Id: atahost_pio_controller.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $ -- -- $Date: 2002/02/18 14:32:12 $ -- $Revision: 1.1 $ -- $Author: rherveille $ -- $Locker: $ -- $State: Exp $ -- -- Change History: -- $Log: atahost_pio_controller.vhd,v $ -- Revision 1.1 2002/02/18 14:32:12 rherveille -- renamed all files to 'atahost_***.vhd' -- broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd' -- changed resD input to generic RESD in ud_cnt.vhd -- changed ID input to generic ID in ro_cnt.vhd -- changed core to reflect changes in ro_cnt.vhd -- removed references to 'count' library -- changed IO names -- added disclaimer -- added CVS log -- moved registers and wishbone signals into 'atahost_wb_slave.vhd' -- -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity atahost_pio_controller is generic( TWIDTH : natural := 8; -- counter width -- PIO mode 0 settings (@100MHz clock) PIO_mode0_T1 : natural := 6; -- 70ns PIO_mode0_T2 : natural := 28; -- 290ns PIO_mode0_T4 : natural := 2; -- 30ns PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240 ); port( clk : in std_logic; -- master clock in nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset -- control / registers IDEctrl_IDEen, IDEctrl_ppen, IDEctrl_FATR0, IDEctrl_FATR1 : in std_logic; -- PIO registers cmdport_T1, cmdport_T2, cmdport_T4, cmdport_Teoc : in std_logic_vector(7 downto 0); cmdport_IORDYen : in std_logic; -- PIO command port / non-fast timing dport0_T1, dport0_T2, dport0_T4, dport0_Teoc : in std_logic_vector(7 downto 0); dport0_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 0 dport1_T1, dport1_T2, dport1_T4, dport1_Teoc : in std_logic_vector(7 downto 0); dport1_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 1 sel : in std_logic; -- PIO controller selected ack : out std_logic; -- PIO controller acknowledge a : in std_logic_vector(3 downto 0); -- lower address bits we : in std_logic; -- write enable input d : in std_logic_vector(15 downto 0); q : out std_logic_vector(15 downto 0); PIOreq : out std_logic; -- PIO transfer request PPFull : out std_logic; -- PIO Write PingPong Full go : in std_logic; -- start PIO transfer done : out std_logic; -- done with PIO transfer PIOa : out std_logic_vector(3 downto 0); -- PIO address, address lines towards ATA devices PIOd : out std_logic_vector(15 downto 0); -- PIO data, data towards ATA devices SelDev : out std_logic; -- Selected Device, Dev-bit in ATA Device/Head register DDi : in std_logic_vector(15 downto 0); DDoe : out std_logic; DIOR : out std_logic; DIOW : out std_logic; IORDY : in std_logic ); end entity atahost_pio_controller; architecture structural of atahost_pio_controller is -- -- component declarations -- component atahost_pio_actrl is generic( TWIDTH : natural := 8; -- counter width -- PIO mode 0 settings (@100MHz clock) PIO_mode0_T1 : natural := 6; -- 70ns PIO_mode0_T2 : natural := 28; -- 290ns PIO_mode0_T4 : natural := 2; -- 30ns PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240 ); port( clk : in std_logic; -- master clock nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset IDEctrl_FATR0, IDEctrl_FATR1 : in std_logic; cmdport_T1, cmdport_T2, cmdport_T4, cmdport_Teoc : in std_logic_vector(7 downto 0); cmdport_IORDYen : in std_logic; -- PIO command port / non-fast timing dport0_T1, dport0_T2, dport0_T4, dport0_Teoc : in std_logic_vector(7 downto 0); dport0_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 0 dport1_T1, dport1_T2, dport1_T4, dport1_Teoc : in std_logic_vector(7 downto 0); dport1_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 1 SelDev : in std_logic; -- Selected device go : in std_logic; -- Start transfer sequence done : out std_logic; -- Transfer sequence done dir : in std_logic; -- Transfer direction '1'=write, '0'=read a : in std_logic_vector(3 downto 0); -- PIO transfer address q : out std_logic_vector(15 downto 0); -- Data read from ATA devices DDi : in std_logic_vector(15 downto 0); -- Data from ATA DD bus oe : out std_logic; -- DDbus output-enable signal DIOR, DIOW : out std_logic; IORDY : in std_logic ); end component atahost_pio_actrl; -- -- signals -- -- PIO pingpong signals signal pp_d : std_logic_vector(15 downto 0); signal pp_a : std_logic_vector(3 downto 0); signal pp_we : std_logic; signal idone : std_logic; signal iSelDev : std_logic; begin -- -- generate selected device -- gen_seldev: process(clk, pp_a) variable Asel : std_logic; -- address selected begin Asel := not pp_a(3) and pp_a(2) and pp_a(1) and not pp_a(0); -- header/device register if (clk'event and clk = '1') then if ( (idone = '1') and (Asel = '1') and (pp_we = '1') ) then iSelDev <= pp_d(4); end if; end if; end process gen_seldev; -- -- generate PIO write pingpong system -- gen_pingpong: block signal ping_d, pong_d : std_logic_vector(15 downto 0); signal ping_a, pong_a : std_logic_vector(3 downto 0); signal ping_we, pong_we : std_logic; signal ping_valid, pong_valid : std_logic; signal dping_valid, dpong_valid : std_logic; signal wpp, rpp : std_logic; signal dsel, sel_strb : std_logic; signal iack : std_logic; begin -- generate PIO acknowledge gen_ack: process(clk, ping_valid, dping_valid, pong_valid, dpong_valid, we) variable ping_re, ping_fe, pong_re, pong_fe : std_logic; begin -- detect rising edge of ping_valid and pong_valid ping_re := ping_valid and not dping_valid and we; pong_re := pong_valid and not dpong_valid and we; -- detect falling edge of ping_valid and pong_valid ping_fe := not ping_valid and dping_valid; pong_fe := not pong_valid and dpong_valid; if (clk'event and clk = '1') then if ((pp_we = '1') and (IDEctrl_ppen = '1')) then -- write sequence if (wpp = '1') then iack <= ping_re; else iack <= pong_re; end if; else -- read sequence if (rpp = '1') then iack <= ping_fe; else iack <= pong_fe; end if; end if; end if; end process gen_ack; ack <= (iack or not IDEctrl_IDEen) and sel; -- acknowledge access when not enabled (discard access) -- generate select-strobe, hold sel_strb until pingpong system ready for new data gen_sel_strb: process(clk, nReset) begin if (nReset = '0') then dsel <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then dsel <= '0'; else dsel <= sel_strb or (dsel and sel); end if; end if; end process gen_sel_strb; sel_strb <= sel and not dsel and IDEctrl_IDEen and ((wpp and not ping_valid) or (not wpp and not pong_valid)); -- generate pingpong control gen_pp : process(clk, nReset) begin if (nReset = '0') then wpp <= '0'; rpp <= '0'; ping_valid <= '0'; pong_valid <= '0'; dping_valid <= '0'; dpong_valid <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then wpp <= '0'; rpp <= '0'; ping_valid <= '0'; pong_valid <= '0'; dping_valid <= '0'; dpong_valid <= '0'; else wpp <= (wpp xor (iack and we)) and IDEctrl_ppen; rpp <= (rpp xor (idone and pp_we)) and IDEctrl_ppen; ping_valid <= (( wpp and sel_strb) or ping_valid) and not ( rpp and idone); pong_valid <= ((not wpp and sel_strb) or pong_valid) and not (not rpp and idone); dping_valid <= ping_valid; dpong_valid <= pong_valid; end if; end if; end process gen_pp; -- generate pingpong full signal PPFull <= (ping_valid and pong_valid) when (IDEctrl_ppen = '1') else pong_valid; -- fill ping/pong registers fill_pp: process(clk) begin if (clk'event and clk = '1') then if (sel = '1') then if (wpp = '1') then if (ping_valid = '0') then ping_d <= d; ping_a <= a; ping_we <= we; end if; else if (pong_valid = '0') then pong_d <= d; pong_a <= a; pong_we <= we; end if; end if; end if; end if; end process fill_pp; -- multiplex pingpong data to pp_d, pp_a, pp_we pp_d <= d; pp_a <= a; pp_we <= we; --edit by erik (no pp) -- pp_d <= ping_d when (rpp = '1') else pong_d; -- pp_a <= ping_a when (rpp = '1') else pong_a; -- pp_we <= ping_we when (rpp = '1') else pong_we; -- generate PIOreq PIOreq <= (ping_valid and not idone) when (rpp = '1') else (pong_valid and not idone); end block gen_pingpong; -- -- Hookup PIO access controller -- PIO_access_control: atahost_pio_actrl generic map( TWIDTH => TWIDTH, PIO_mode0_T1 => PIO_mode0_T1, PIO_mode0_T2 => PIO_mode0_T2, PIO_mode0_T4 => PIO_mode0_T4, PIO_mode0_Teoc => PIO_mode0_Teoc ) port map( clk => clk, nReset => nReset, rst => rst, IDEctrl_FATR0 => IDEctrl_FATR0, IDEctrl_FATR1 => IDEctrl_FATR1, cmdport_T1 => cmdport_T1, cmdport_T2 => cmdport_T2, cmdport_T4 => cmdport_T4, cmdport_Teoc => cmdport_Teoc, cmdport_IORDYen => cmdport_IORDYen, dport0_T1 => dport0_T1, dport0_T2 => dport0_T2, dport0_T4 => dport0_T4, dport0_Teoc => dport0_Teoc, dport0_IORDYen => dport0_IORDYen, dport1_T1 => dport1_T1, dport1_T2 => dport1_T2, dport1_T4 => dport1_T4, dport1_Teoc => dport1_Teoc, dport1_IORDYen => dport1_IORDYen, SelDev => iSelDev, go => go, done => idone, dir => pp_we, a => pp_a, q => Q, DDi => DDi, oe => DDoe, DIOR => dior, DIOW => diow, IORDY => IORDY ); -- -- assign outputs -- PIOa <= pp_a; PIOd <= pp_d; Done <= idone; SelDev <= iSelDev; end architecture structural;
--------------------------------------------------------------------- ---- ---- ---- OpenCores IDE Controller ---- ---- ATA/ATAPI-5 PIO controller with write PingPong ---- ---- ---- ---- Author: Richard Herveille ---- ---- [email protected] ---- ---- www.asics.ws ---- ---- ---- --------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2001, 2002 Richard Herveille ---- ---- [email protected] ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer.---- ---- ---- ---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- ---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- ---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- ---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- ---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- ---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- ---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- ---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- ---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- ---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ---- POSSIBILITY OF SUCH DAMAGE. ---- ---- ---- --------------------------------------------------------------------- -- rev.: 1.0 march 8th, 2001. Initial release -- -- CVS Log -- -- $Id: atahost_pio_controller.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $ -- -- $Date: 2002/02/18 14:32:12 $ -- $Revision: 1.1 $ -- $Author: rherveille $ -- $Locker: $ -- $State: Exp $ -- -- Change History: -- $Log: atahost_pio_controller.vhd,v $ -- Revision 1.1 2002/02/18 14:32:12 rherveille -- renamed all files to 'atahost_***.vhd' -- broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd' -- changed resD input to generic RESD in ud_cnt.vhd -- changed ID input to generic ID in ro_cnt.vhd -- changed core to reflect changes in ro_cnt.vhd -- removed references to 'count' library -- changed IO names -- added disclaimer -- added CVS log -- moved registers and wishbone signals into 'atahost_wb_slave.vhd' -- -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity atahost_pio_controller is generic( TWIDTH : natural := 8; -- counter width -- PIO mode 0 settings (@100MHz clock) PIO_mode0_T1 : natural := 6; -- 70ns PIO_mode0_T2 : natural := 28; -- 290ns PIO_mode0_T4 : natural := 2; -- 30ns PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240 ); port( clk : in std_logic; -- master clock in nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset -- control / registers IDEctrl_IDEen, IDEctrl_ppen, IDEctrl_FATR0, IDEctrl_FATR1 : in std_logic; -- PIO registers cmdport_T1, cmdport_T2, cmdport_T4, cmdport_Teoc : in std_logic_vector(7 downto 0); cmdport_IORDYen : in std_logic; -- PIO command port / non-fast timing dport0_T1, dport0_T2, dport0_T4, dport0_Teoc : in std_logic_vector(7 downto 0); dport0_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 0 dport1_T1, dport1_T2, dport1_T4, dport1_Teoc : in std_logic_vector(7 downto 0); dport1_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 1 sel : in std_logic; -- PIO controller selected ack : out std_logic; -- PIO controller acknowledge a : in std_logic_vector(3 downto 0); -- lower address bits we : in std_logic; -- write enable input d : in std_logic_vector(15 downto 0); q : out std_logic_vector(15 downto 0); PIOreq : out std_logic; -- PIO transfer request PPFull : out std_logic; -- PIO Write PingPong Full go : in std_logic; -- start PIO transfer done : out std_logic; -- done with PIO transfer PIOa : out std_logic_vector(3 downto 0); -- PIO address, address lines towards ATA devices PIOd : out std_logic_vector(15 downto 0); -- PIO data, data towards ATA devices SelDev : out std_logic; -- Selected Device, Dev-bit in ATA Device/Head register DDi : in std_logic_vector(15 downto 0); DDoe : out std_logic; DIOR : out std_logic; DIOW : out std_logic; IORDY : in std_logic ); end entity atahost_pio_controller; architecture structural of atahost_pio_controller is -- -- component declarations -- component atahost_pio_actrl is generic( TWIDTH : natural := 8; -- counter width -- PIO mode 0 settings (@100MHz clock) PIO_mode0_T1 : natural := 6; -- 70ns PIO_mode0_T2 : natural := 28; -- 290ns PIO_mode0_T4 : natural := 2; -- 30ns PIO_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240 ); port( clk : in std_logic; -- master clock nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset IDEctrl_FATR0, IDEctrl_FATR1 : in std_logic; cmdport_T1, cmdport_T2, cmdport_T4, cmdport_Teoc : in std_logic_vector(7 downto 0); cmdport_IORDYen : in std_logic; -- PIO command port / non-fast timing dport0_T1, dport0_T2, dport0_T4, dport0_Teoc : in std_logic_vector(7 downto 0); dport0_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 0 dport1_T1, dport1_T2, dport1_T4, dport1_Teoc : in std_logic_vector(7 downto 0); dport1_IORDYen : in std_logic; -- PIO mode data-port / fast timing device 1 SelDev : in std_logic; -- Selected device go : in std_logic; -- Start transfer sequence done : out std_logic; -- Transfer sequence done dir : in std_logic; -- Transfer direction '1'=write, '0'=read a : in std_logic_vector(3 downto 0); -- PIO transfer address q : out std_logic_vector(15 downto 0); -- Data read from ATA devices DDi : in std_logic_vector(15 downto 0); -- Data from ATA DD bus oe : out std_logic; -- DDbus output-enable signal DIOR, DIOW : out std_logic; IORDY : in std_logic ); end component atahost_pio_actrl; -- -- signals -- -- PIO pingpong signals signal pp_d : std_logic_vector(15 downto 0); signal pp_a : std_logic_vector(3 downto 0); signal pp_we : std_logic; signal idone : std_logic; signal iSelDev : std_logic; begin -- -- generate selected device -- gen_seldev: process(clk, pp_a) variable Asel : std_logic; -- address selected begin Asel := not pp_a(3) and pp_a(2) and pp_a(1) and not pp_a(0); -- header/device register if (clk'event and clk = '1') then if ( (idone = '1') and (Asel = '1') and (pp_we = '1') ) then iSelDev <= pp_d(4); end if; end if; end process gen_seldev; -- -- generate PIO write pingpong system -- gen_pingpong: block signal ping_d, pong_d : std_logic_vector(15 downto 0); signal ping_a, pong_a : std_logic_vector(3 downto 0); signal ping_we, pong_we : std_logic; signal ping_valid, pong_valid : std_logic; signal dping_valid, dpong_valid : std_logic; signal wpp, rpp : std_logic; signal dsel, sel_strb : std_logic; signal iack : std_logic; begin -- generate PIO acknowledge gen_ack: process(clk, ping_valid, dping_valid, pong_valid, dpong_valid, we) variable ping_re, ping_fe, pong_re, pong_fe : std_logic; begin -- detect rising edge of ping_valid and pong_valid ping_re := ping_valid and not dping_valid and we; pong_re := pong_valid and not dpong_valid and we; -- detect falling edge of ping_valid and pong_valid ping_fe := not ping_valid and dping_valid; pong_fe := not pong_valid and dpong_valid; if (clk'event and clk = '1') then if ((pp_we = '1') and (IDEctrl_ppen = '1')) then -- write sequence if (wpp = '1') then iack <= ping_re; else iack <= pong_re; end if; else -- read sequence if (rpp = '1') then iack <= ping_fe; else iack <= pong_fe; end if; end if; end if; end process gen_ack; ack <= (iack or not IDEctrl_IDEen) and sel; -- acknowledge access when not enabled (discard access) -- generate select-strobe, hold sel_strb until pingpong system ready for new data gen_sel_strb: process(clk, nReset) begin if (nReset = '0') then dsel <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then dsel <= '0'; else dsel <= sel_strb or (dsel and sel); end if; end if; end process gen_sel_strb; sel_strb <= sel and not dsel and IDEctrl_IDEen and ((wpp and not ping_valid) or (not wpp and not pong_valid)); -- generate pingpong control gen_pp : process(clk, nReset) begin if (nReset = '0') then wpp <= '0'; rpp <= '0'; ping_valid <= '0'; pong_valid <= '0'; dping_valid <= '0'; dpong_valid <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then wpp <= '0'; rpp <= '0'; ping_valid <= '0'; pong_valid <= '0'; dping_valid <= '0'; dpong_valid <= '0'; else wpp <= (wpp xor (iack and we)) and IDEctrl_ppen; rpp <= (rpp xor (idone and pp_we)) and IDEctrl_ppen; ping_valid <= (( wpp and sel_strb) or ping_valid) and not ( rpp and idone); pong_valid <= ((not wpp and sel_strb) or pong_valid) and not (not rpp and idone); dping_valid <= ping_valid; dpong_valid <= pong_valid; end if; end if; end process gen_pp; -- generate pingpong full signal PPFull <= (ping_valid and pong_valid) when (IDEctrl_ppen = '1') else pong_valid; -- fill ping/pong registers fill_pp: process(clk) begin if (clk'event and clk = '1') then if (sel = '1') then if (wpp = '1') then if (ping_valid = '0') then ping_d <= d; ping_a <= a; ping_we <= we; end if; else if (pong_valid = '0') then pong_d <= d; pong_a <= a; pong_we <= we; end if; end if; end if; end if; end process fill_pp; -- multiplex pingpong data to pp_d, pp_a, pp_we pp_d <= d; pp_a <= a; pp_we <= we; --edit by erik (no pp) -- pp_d <= ping_d when (rpp = '1') else pong_d; -- pp_a <= ping_a when (rpp = '1') else pong_a; -- pp_we <= ping_we when (rpp = '1') else pong_we; -- generate PIOreq PIOreq <= (ping_valid and not idone) when (rpp = '1') else (pong_valid and not idone); end block gen_pingpong; -- -- Hookup PIO access controller -- PIO_access_control: atahost_pio_actrl generic map( TWIDTH => TWIDTH, PIO_mode0_T1 => PIO_mode0_T1, PIO_mode0_T2 => PIO_mode0_T2, PIO_mode0_T4 => PIO_mode0_T4, PIO_mode0_Teoc => PIO_mode0_Teoc ) port map( clk => clk, nReset => nReset, rst => rst, IDEctrl_FATR0 => IDEctrl_FATR0, IDEctrl_FATR1 => IDEctrl_FATR1, cmdport_T1 => cmdport_T1, cmdport_T2 => cmdport_T2, cmdport_T4 => cmdport_T4, cmdport_Teoc => cmdport_Teoc, cmdport_IORDYen => cmdport_IORDYen, dport0_T1 => dport0_T1, dport0_T2 => dport0_T2, dport0_T4 => dport0_T4, dport0_Teoc => dport0_Teoc, dport0_IORDYen => dport0_IORDYen, dport1_T1 => dport1_T1, dport1_T2 => dport1_T2, dport1_T4 => dport1_T4, dport1_Teoc => dport1_Teoc, dport1_IORDYen => dport1_IORDYen, SelDev => iSelDev, go => go, done => idone, dir => pp_we, a => pp_a, q => Q, DDi => DDi, oe => DDoe, DIOR => dior, DIOW => diow, IORDY => IORDY ); -- -- assign outputs -- PIOa <= pp_a; PIOd <= pp_d; Done <= idone; SelDev <= iSelDev; end architecture structural;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; USE work.cpu86pack.ALL; ENTITY dataregfile IS PORT( dibus : IN std_logic_vector (15 DOWNTO 0); selalua : IN std_logic_vector (3 DOWNTO 0); selalub : IN std_logic_vector (3 DOWNTO 0); seldreg : IN std_logic_vector (2 DOWNTO 0); w : IN std_logic; wrd : IN std_logic; alu_inbusa : OUT std_logic_vector (15 DOWNTO 0); alu_inbusb : OUT std_logic_vector (15 DOWNTO 0); bp_s : OUT std_logic_vector (15 DOWNTO 0); bx_s : OUT std_logic_vector (15 DOWNTO 0); di_s : OUT std_logic_vector (15 DOWNTO 0); si_s : OUT std_logic_vector (15 DOWNTO 0); reset : IN std_logic; clk : IN std_logic; data_in : IN std_logic_vector (15 DOWNTO 0); mdbus_in : IN std_logic_vector (15 DOWNTO 0); sp_s : OUT std_logic_vector (15 DOWNTO 0); ax_s : OUT std_logic_vector (15 DOWNTO 0); cx_s : OUT std_logic_vector (15 DOWNTO 0); dx_s : OUT std_logic_vector (15 DOWNTO 0) ); END dataregfile ; architecture rtl of dataregfile is signal axreg_s : std_logic_vector(15 downto 0); signal cxreg_s : std_logic_vector(15 downto 0); signal dxreg_s : std_logic_vector(15 downto 0); signal bxreg_s : std_logic_vector(15 downto 0); signal spreg_s : std_logic_vector(15 downto 0); signal bpreg_s : std_logic_vector(15 downto 0); signal sireg_s : std_logic_vector(15 downto 0); signal direg_s : std_logic_vector(15 downto 0); signal seldreg_s : std_logic_vector(3 downto 0); -- w & seldreg signal selalua_s : std_logic_vector(4 downto 0); -- w & dibus & selalua signal selalub_s : std_logic_vector(4 downto 0); -- w & dibus & selalub signal alu_inbusb_s: std_logic_vector (15 downto 0); begin ---------------------------------------------------------------------------- -- 8 registers of 16 bits each ---------------------------------------------------------------------------- seldreg_s <= w & seldreg; process (clk,reset) begin if reset='1' then axreg_s <= (others => '0'); cxreg_s <= (others => '0'); dxreg_s <= (others => '0'); bxreg_s <= (others => '0'); spreg_s <= (others => '0'); bpreg_s <= (others => '0'); sireg_s <= (others => '0'); direg_s <= (others => '0'); elsif rising_edge(clk) then if (wrd='1') then case seldreg_s is when "0000" => axreg_s(7 downto 0) <= dibus(7 downto 0); -- w=0 8 bits write when "0001" => cxreg_s(7 downto 0) <= dibus(7 downto 0); when "0010" => dxreg_s(7 downto 0) <= dibus(7 downto 0); when "0011" => bxreg_s(7 downto 0) <= dibus(7 downto 0); when "0100" => axreg_s(15 downto 8) <= dibus(7 downto 0); when "0101" => cxreg_s(15 downto 8) <= dibus(7 downto 0); when "0110" => dxreg_s(15 downto 8) <= dibus(7 downto 0); when "0111" => bxreg_s(15 downto 8) <= dibus(7 downto 0); when "1000" => axreg_s <= dibus; -- w=1 16 bits write when "1001" => cxreg_s <= dibus; when "1010" => dxreg_s <= dibus; when "1011" => bxreg_s <= dibus; when "1100" => spreg_s <= dibus; when "1101" => bpreg_s <= dibus; when "1110" => sireg_s <= dibus; when others => direg_s <= dibus; end case; end if; end if; end process; ---------------------------------------------------------------------------- -- Output Port A ---------------------------------------------------------------------------- selalua_s <= w & selalua; process (selalua_s,axreg_s,cxreg_s,dxreg_s,bxreg_s,spreg_s,bpreg_s,sireg_s,direg_s,mdbus_in) begin case selalua_s is when "00000" => alu_inbusa <= X"00" & axreg_s(7 downto 0); -- Select 8 bits MSB=0 when "00001" => alu_inbusa <= X"00" & cxreg_s(7 downto 0); when "00010" => alu_inbusa <= X"00" & dxreg_s(7 downto 0); when "00011" => alu_inbusa <= X"00" & bxreg_s(7 downto 0); when "00100" => alu_inbusa <= X"00" & axreg_s(15 downto 8); -- AH when "00101" => alu_inbusa <= X"00" & cxreg_s(15 downto 8); -- CH when "00110" => alu_inbusa <= X"00" & dxreg_s(15 downto 8); -- DH when "00111" => alu_inbusa <= X"00" & bxreg_s(15 downto 8); -- BH when "10000" => alu_inbusa <= axreg_s; when "10001" => alu_inbusa <= cxreg_s; when "10010" => alu_inbusa <= dxreg_s; when "10011" => alu_inbusa <= bxreg_s; when "10100" => alu_inbusa <= spreg_s; when "10101" => alu_inbusa <= bpreg_s; when "10110" => alu_inbusa <= sireg_s; when "10111" => alu_inbusa <= direg_s; when others => alu_inbusa <= mdbus_in(15 downto 0); -- Pass through end case; end process; ---------------------------------------------------------------------------- -- Output Port B ---------------------------------------------------------------------------- selalub_s <= w & selalub; process (selalub_s,axreg_s,cxreg_s,dxreg_s,bxreg_s,spreg_s,bpreg_s,sireg_s,direg_s,mdbus_in,data_in) begin case selalub_s is when "00000" => alu_inbusb_s <= X"00" & axreg_s(7 downto 0); when "00001" => alu_inbusb_s <= X"00" & cxreg_s(7 downto 0); when "00010" => alu_inbusb_s <= X"00" & dxreg_s(7 downto 0); when "00011" => alu_inbusb_s <= X"00" & bxreg_s(7 downto 0); when "00100" => alu_inbusb_s <= X"00" & axreg_s(15 downto 8); when "00101" => alu_inbusb_s <= X"00" & cxreg_s(15 downto 8); when "00110" => alu_inbusb_s <= X"00" & dxreg_s(15 downto 8); when "00111" => alu_inbusb_s <= X"00" & bxreg_s(15 downto 8); when "10000" => alu_inbusb_s <= axreg_s; when "10001" => alu_inbusb_s <= cxreg_s; when "10010" => alu_inbusb_s <= dxreg_s; when "10011" => alu_inbusb_s <= bxreg_s; when "10100" => alu_inbusb_s <= spreg_s; when "10101" => alu_inbusb_s <= bpreg_s; when "10110" => alu_inbusb_s <= sireg_s; when "10111" => alu_inbusb_s <= direg_s; when "01000" => alu_inbusb_s <= X"00"& data_in(7 downto 0); -- Pass data_in to ALU (port B only) when "11000" => alu_inbusb_s <= data_in; -- Pass data_in to ALU (port B only) when "01001" => alu_inbusb_s <= X"0001"; -- Used for INC/DEC byte function when "11001" => alu_inbusb_s <= X"0001"; -- Used for INC/DEC word function when "11010" => alu_inbusb_s <= X"0002"; -- Used for POP/PUSH function when others => alu_inbusb_s <= mdbus_in(15 downto 0); -- Pass through end case; end process; alu_inbusb <= alu_inbusb_s; -- connect to entity bx_s <= bxreg_s; -- Used for EA calculation bp_s <= bpreg_s; si_s <= sireg_s; di_s <= direg_s; sp_s <= spreg_s; -- Used for eamux, PUSH and POP instructions ax_s <= axreg_s; -- Used for datapath FSM cx_s <= cxreg_s; dx_s <= dxreg_s; -- Used for IN/OUT instructions & Divider end rtl;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; USE work.cpu86pack.ALL; ENTITY dataregfile IS PORT( dibus : IN std_logic_vector (15 DOWNTO 0); selalua : IN std_logic_vector (3 DOWNTO 0); selalub : IN std_logic_vector (3 DOWNTO 0); seldreg : IN std_logic_vector (2 DOWNTO 0); w : IN std_logic; wrd : IN std_logic; alu_inbusa : OUT std_logic_vector (15 DOWNTO 0); alu_inbusb : OUT std_logic_vector (15 DOWNTO 0); bp_s : OUT std_logic_vector (15 DOWNTO 0); bx_s : OUT std_logic_vector (15 DOWNTO 0); di_s : OUT std_logic_vector (15 DOWNTO 0); si_s : OUT std_logic_vector (15 DOWNTO 0); reset : IN std_logic; clk : IN std_logic; data_in : IN std_logic_vector (15 DOWNTO 0); mdbus_in : IN std_logic_vector (15 DOWNTO 0); sp_s : OUT std_logic_vector (15 DOWNTO 0); ax_s : OUT std_logic_vector (15 DOWNTO 0); cx_s : OUT std_logic_vector (15 DOWNTO 0); dx_s : OUT std_logic_vector (15 DOWNTO 0) ); END dataregfile ; architecture rtl of dataregfile is signal axreg_s : std_logic_vector(15 downto 0); signal cxreg_s : std_logic_vector(15 downto 0); signal dxreg_s : std_logic_vector(15 downto 0); signal bxreg_s : std_logic_vector(15 downto 0); signal spreg_s : std_logic_vector(15 downto 0); signal bpreg_s : std_logic_vector(15 downto 0); signal sireg_s : std_logic_vector(15 downto 0); signal direg_s : std_logic_vector(15 downto 0); signal seldreg_s : std_logic_vector(3 downto 0); -- w & seldreg signal selalua_s : std_logic_vector(4 downto 0); -- w & dibus & selalua signal selalub_s : std_logic_vector(4 downto 0); -- w & dibus & selalub signal alu_inbusb_s: std_logic_vector (15 downto 0); begin ---------------------------------------------------------------------------- -- 8 registers of 16 bits each ---------------------------------------------------------------------------- seldreg_s <= w & seldreg; process (clk,reset) begin if reset='1' then axreg_s <= (others => '0'); cxreg_s <= (others => '0'); dxreg_s <= (others => '0'); bxreg_s <= (others => '0'); spreg_s <= (others => '0'); bpreg_s <= (others => '0'); sireg_s <= (others => '0'); direg_s <= (others => '0'); elsif rising_edge(clk) then if (wrd='1') then case seldreg_s is when "0000" => axreg_s(7 downto 0) <= dibus(7 downto 0); -- w=0 8 bits write when "0001" => cxreg_s(7 downto 0) <= dibus(7 downto 0); when "0010" => dxreg_s(7 downto 0) <= dibus(7 downto 0); when "0011" => bxreg_s(7 downto 0) <= dibus(7 downto 0); when "0100" => axreg_s(15 downto 8) <= dibus(7 downto 0); when "0101" => cxreg_s(15 downto 8) <= dibus(7 downto 0); when "0110" => dxreg_s(15 downto 8) <= dibus(7 downto 0); when "0111" => bxreg_s(15 downto 8) <= dibus(7 downto 0); when "1000" => axreg_s <= dibus; -- w=1 16 bits write when "1001" => cxreg_s <= dibus; when "1010" => dxreg_s <= dibus; when "1011" => bxreg_s <= dibus; when "1100" => spreg_s <= dibus; when "1101" => bpreg_s <= dibus; when "1110" => sireg_s <= dibus; when others => direg_s <= dibus; end case; end if; end if; end process; ---------------------------------------------------------------------------- -- Output Port A ---------------------------------------------------------------------------- selalua_s <= w & selalua; process (selalua_s,axreg_s,cxreg_s,dxreg_s,bxreg_s,spreg_s,bpreg_s,sireg_s,direg_s,mdbus_in) begin case selalua_s is when "00000" => alu_inbusa <= X"00" & axreg_s(7 downto 0); -- Select 8 bits MSB=0 when "00001" => alu_inbusa <= X"00" & cxreg_s(7 downto 0); when "00010" => alu_inbusa <= X"00" & dxreg_s(7 downto 0); when "00011" => alu_inbusa <= X"00" & bxreg_s(7 downto 0); when "00100" => alu_inbusa <= X"00" & axreg_s(15 downto 8); -- AH when "00101" => alu_inbusa <= X"00" & cxreg_s(15 downto 8); -- CH when "00110" => alu_inbusa <= X"00" & dxreg_s(15 downto 8); -- DH when "00111" => alu_inbusa <= X"00" & bxreg_s(15 downto 8); -- BH when "10000" => alu_inbusa <= axreg_s; when "10001" => alu_inbusa <= cxreg_s; when "10010" => alu_inbusa <= dxreg_s; when "10011" => alu_inbusa <= bxreg_s; when "10100" => alu_inbusa <= spreg_s; when "10101" => alu_inbusa <= bpreg_s; when "10110" => alu_inbusa <= sireg_s; when "10111" => alu_inbusa <= direg_s; when others => alu_inbusa <= mdbus_in(15 downto 0); -- Pass through end case; end process; ---------------------------------------------------------------------------- -- Output Port B ---------------------------------------------------------------------------- selalub_s <= w & selalub; process (selalub_s,axreg_s,cxreg_s,dxreg_s,bxreg_s,spreg_s,bpreg_s,sireg_s,direg_s,mdbus_in,data_in) begin case selalub_s is when "00000" => alu_inbusb_s <= X"00" & axreg_s(7 downto 0); when "00001" => alu_inbusb_s <= X"00" & cxreg_s(7 downto 0); when "00010" => alu_inbusb_s <= X"00" & dxreg_s(7 downto 0); when "00011" => alu_inbusb_s <= X"00" & bxreg_s(7 downto 0); when "00100" => alu_inbusb_s <= X"00" & axreg_s(15 downto 8); when "00101" => alu_inbusb_s <= X"00" & cxreg_s(15 downto 8); when "00110" => alu_inbusb_s <= X"00" & dxreg_s(15 downto 8); when "00111" => alu_inbusb_s <= X"00" & bxreg_s(15 downto 8); when "10000" => alu_inbusb_s <= axreg_s; when "10001" => alu_inbusb_s <= cxreg_s; when "10010" => alu_inbusb_s <= dxreg_s; when "10011" => alu_inbusb_s <= bxreg_s; when "10100" => alu_inbusb_s <= spreg_s; when "10101" => alu_inbusb_s <= bpreg_s; when "10110" => alu_inbusb_s <= sireg_s; when "10111" => alu_inbusb_s <= direg_s; when "01000" => alu_inbusb_s <= X"00"& data_in(7 downto 0); -- Pass data_in to ALU (port B only) when "11000" => alu_inbusb_s <= data_in; -- Pass data_in to ALU (port B only) when "01001" => alu_inbusb_s <= X"0001"; -- Used for INC/DEC byte function when "11001" => alu_inbusb_s <= X"0001"; -- Used for INC/DEC word function when "11010" => alu_inbusb_s <= X"0002"; -- Used for POP/PUSH function when others => alu_inbusb_s <= mdbus_in(15 downto 0); -- Pass through end case; end process; alu_inbusb <= alu_inbusb_s; -- connect to entity bx_s <= bxreg_s; -- Used for EA calculation bp_s <= bpreg_s; si_s <= sireg_s; di_s <= direg_s; sp_s <= spreg_s; -- Used for eamux, PUSH and POP instructions ax_s <= axreg_s; -- Used for datapath FSM cx_s <= cxreg_s; dx_s <= dxreg_s; -- Used for IN/OUT instructions & Divider end rtl;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; USE work.cpu86pack.ALL; ENTITY dataregfile IS PORT( dibus : IN std_logic_vector (15 DOWNTO 0); selalua : IN std_logic_vector (3 DOWNTO 0); selalub : IN std_logic_vector (3 DOWNTO 0); seldreg : IN std_logic_vector (2 DOWNTO 0); w : IN std_logic; wrd : IN std_logic; alu_inbusa : OUT std_logic_vector (15 DOWNTO 0); alu_inbusb : OUT std_logic_vector (15 DOWNTO 0); bp_s : OUT std_logic_vector (15 DOWNTO 0); bx_s : OUT std_logic_vector (15 DOWNTO 0); di_s : OUT std_logic_vector (15 DOWNTO 0); si_s : OUT std_logic_vector (15 DOWNTO 0); reset : IN std_logic; clk : IN std_logic; data_in : IN std_logic_vector (15 DOWNTO 0); mdbus_in : IN std_logic_vector (15 DOWNTO 0); sp_s : OUT std_logic_vector (15 DOWNTO 0); ax_s : OUT std_logic_vector (15 DOWNTO 0); cx_s : OUT std_logic_vector (15 DOWNTO 0); dx_s : OUT std_logic_vector (15 DOWNTO 0) ); END dataregfile ; architecture rtl of dataregfile is signal axreg_s : std_logic_vector(15 downto 0); signal cxreg_s : std_logic_vector(15 downto 0); signal dxreg_s : std_logic_vector(15 downto 0); signal bxreg_s : std_logic_vector(15 downto 0); signal spreg_s : std_logic_vector(15 downto 0); signal bpreg_s : std_logic_vector(15 downto 0); signal sireg_s : std_logic_vector(15 downto 0); signal direg_s : std_logic_vector(15 downto 0); signal seldreg_s : std_logic_vector(3 downto 0); -- w & seldreg signal selalua_s : std_logic_vector(4 downto 0); -- w & dibus & selalua signal selalub_s : std_logic_vector(4 downto 0); -- w & dibus & selalub signal alu_inbusb_s: std_logic_vector (15 downto 0); begin ---------------------------------------------------------------------------- -- 8 registers of 16 bits each ---------------------------------------------------------------------------- seldreg_s <= w & seldreg; process (clk,reset) begin if reset='1' then axreg_s <= (others => '0'); cxreg_s <= (others => '0'); dxreg_s <= (others => '0'); bxreg_s <= (others => '0'); spreg_s <= (others => '0'); bpreg_s <= (others => '0'); sireg_s <= (others => '0'); direg_s <= (others => '0'); elsif rising_edge(clk) then if (wrd='1') then case seldreg_s is when "0000" => axreg_s(7 downto 0) <= dibus(7 downto 0); -- w=0 8 bits write when "0001" => cxreg_s(7 downto 0) <= dibus(7 downto 0); when "0010" => dxreg_s(7 downto 0) <= dibus(7 downto 0); when "0011" => bxreg_s(7 downto 0) <= dibus(7 downto 0); when "0100" => axreg_s(15 downto 8) <= dibus(7 downto 0); when "0101" => cxreg_s(15 downto 8) <= dibus(7 downto 0); when "0110" => dxreg_s(15 downto 8) <= dibus(7 downto 0); when "0111" => bxreg_s(15 downto 8) <= dibus(7 downto 0); when "1000" => axreg_s <= dibus; -- w=1 16 bits write when "1001" => cxreg_s <= dibus; when "1010" => dxreg_s <= dibus; when "1011" => bxreg_s <= dibus; when "1100" => spreg_s <= dibus; when "1101" => bpreg_s <= dibus; when "1110" => sireg_s <= dibus; when others => direg_s <= dibus; end case; end if; end if; end process; ---------------------------------------------------------------------------- -- Output Port A ---------------------------------------------------------------------------- selalua_s <= w & selalua; process (selalua_s,axreg_s,cxreg_s,dxreg_s,bxreg_s,spreg_s,bpreg_s,sireg_s,direg_s,mdbus_in) begin case selalua_s is when "00000" => alu_inbusa <= X"00" & axreg_s(7 downto 0); -- Select 8 bits MSB=0 when "00001" => alu_inbusa <= X"00" & cxreg_s(7 downto 0); when "00010" => alu_inbusa <= X"00" & dxreg_s(7 downto 0); when "00011" => alu_inbusa <= X"00" & bxreg_s(7 downto 0); when "00100" => alu_inbusa <= X"00" & axreg_s(15 downto 8); -- AH when "00101" => alu_inbusa <= X"00" & cxreg_s(15 downto 8); -- CH when "00110" => alu_inbusa <= X"00" & dxreg_s(15 downto 8); -- DH when "00111" => alu_inbusa <= X"00" & bxreg_s(15 downto 8); -- BH when "10000" => alu_inbusa <= axreg_s; when "10001" => alu_inbusa <= cxreg_s; when "10010" => alu_inbusa <= dxreg_s; when "10011" => alu_inbusa <= bxreg_s; when "10100" => alu_inbusa <= spreg_s; when "10101" => alu_inbusa <= bpreg_s; when "10110" => alu_inbusa <= sireg_s; when "10111" => alu_inbusa <= direg_s; when others => alu_inbusa <= mdbus_in(15 downto 0); -- Pass through end case; end process; ---------------------------------------------------------------------------- -- Output Port B ---------------------------------------------------------------------------- selalub_s <= w & selalub; process (selalub_s,axreg_s,cxreg_s,dxreg_s,bxreg_s,spreg_s,bpreg_s,sireg_s,direg_s,mdbus_in,data_in) begin case selalub_s is when "00000" => alu_inbusb_s <= X"00" & axreg_s(7 downto 0); when "00001" => alu_inbusb_s <= X"00" & cxreg_s(7 downto 0); when "00010" => alu_inbusb_s <= X"00" & dxreg_s(7 downto 0); when "00011" => alu_inbusb_s <= X"00" & bxreg_s(7 downto 0); when "00100" => alu_inbusb_s <= X"00" & axreg_s(15 downto 8); when "00101" => alu_inbusb_s <= X"00" & cxreg_s(15 downto 8); when "00110" => alu_inbusb_s <= X"00" & dxreg_s(15 downto 8); when "00111" => alu_inbusb_s <= X"00" & bxreg_s(15 downto 8); when "10000" => alu_inbusb_s <= axreg_s; when "10001" => alu_inbusb_s <= cxreg_s; when "10010" => alu_inbusb_s <= dxreg_s; when "10011" => alu_inbusb_s <= bxreg_s; when "10100" => alu_inbusb_s <= spreg_s; when "10101" => alu_inbusb_s <= bpreg_s; when "10110" => alu_inbusb_s <= sireg_s; when "10111" => alu_inbusb_s <= direg_s; when "01000" => alu_inbusb_s <= X"00"& data_in(7 downto 0); -- Pass data_in to ALU (port B only) when "11000" => alu_inbusb_s <= data_in; -- Pass data_in to ALU (port B only) when "01001" => alu_inbusb_s <= X"0001"; -- Used for INC/DEC byte function when "11001" => alu_inbusb_s <= X"0001"; -- Used for INC/DEC word function when "11010" => alu_inbusb_s <= X"0002"; -- Used for POP/PUSH function when others => alu_inbusb_s <= mdbus_in(15 downto 0); -- Pass through end case; end process; alu_inbusb <= alu_inbusb_s; -- connect to entity bx_s <= bxreg_s; -- Used for EA calculation bp_s <= bpreg_s; si_s <= sireg_s; di_s <= direg_s; sp_s <= spreg_s; -- Used for eamux, PUSH and POP instructions ax_s <= axreg_s; -- Used for datapath FSM cx_s <= cxreg_s; dx_s <= dxreg_s; -- Used for IN/OUT instructions & Divider end rtl;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPzY5ac7rof36eiw7l7r+1HWVeIK/1fzWUcnTHfyYKdIFR/7huevmE7BHkaBLWn0CPsDJvANqpaA XYUzNauhxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Pl14fIKDmlbQFDvmAOmDwtv/KnPM4ihgExETaozxmJVBnKvnBfZ5kkiCmiTUpMo+e8NUO2tWzzRV rszGcacUmAQX5LZCTIHebG28KD4369LpXFeR2EGKOkacdUqlLAiuVPVROiWQF93imoi8nA9vJVHZ F133EfDPApQ3PHquz4g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QBM1cDfnoAz0yxKVSO0jYLV7Q/jjDHZLi8N9f1kjEEuos4wAjo7CG4u/xkfi0JyPN+pMasE49oP+ XwEE4bqFtXpTn8g98qj2Z8oOaHQNm5PPbGaTsqkJQCRl9P2uPoTc0IN4qWQU1PfQH+hRzKkO6KXw LcDbtA0+ThKqEMr5Lm/8THMMZbKFbrvpBJFQGy72YVgbxojHwblM4eL3LY/1dEvLyb5reWB0COI9 EI9hkeZIbdESuDBrDLt1Pg4CtlbXXIPodh1Ev0Nroo3aANcr3WCLQvIehTTTU6iVBvpQm4TFeg8v DEBTS212sYZTl7glvSZgj3WoJpP2jDROkQbyGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K4v8WGTkIn5id9/fEEE3JiW6VjLfxF3CvdYmiBs+95yyBvZwz3WcP/uUGBwX9YzSrPS7VEo7zqis ZLrxCVp/gjEHeKg88O49UL9B5/1zsrPBnzDgOBdd1zLV5HqfNWCniZtLJqzlp359ROsEnhtNo2V4 1GAhGNbb2qWnpNiMWpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nQo7UQyeOXWCKffMAM5rRDWnCuhOrUYrqVoKMBh6D/7EYgLAhK/dGXkQ3/T6gljWwQ4c26m6mOPd ENqr6dQ/Xq9QIi+vBR4rJvW1Pf5I2oPF0QUqBetnWGvswB1pzJxMXj718blSUZUiI2UzOhbnO9Fi 4aUWzpo8mQqZk2RzxzQBchm9xJdh0QlY1XcxARvLpdUbNVypHd70mW/FqVuHSzTxpyeyxB8E9qN7 s3xsiTZ/kVAym/92FxhQ9LAi8kHEEQg13uwCWKUml/Xgm/tP8pjxW3m/LKhqCXFPSnXfofqfTpMc bssK/0Af27JsqNDgvjH7G9TbQlEhv3xPD2iRhA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37088) `protect data_block dGX5+p4KiIcQxZG2dEloUOeOs03K1ZPKvVAVZi/FEIAh/y1WxbWObWXo1y4BtYZAdJ/PRZ8b+Zl+ uz7anEmGRTayTcNBd/3s+F+SNok8anQFSfIIUyk61Dylf7xWIZlSCmYKgx+sZ3lrOU/IJRkUJ4DV tf5MmGAe7vY6xdxLHoYJBSyEzC06HHYh1LRlReHYKkbwqEuaVt2Jpqov0srlvo2IYclgpZYR01+v YKI7VJtD4fwBQw9Ou1NIEvGXNoZcrtj6HRdtc930qXSURp/HG0yj9k12VEkRTB1xJsx+lzGtm4nh HegeFTMv0nq1lUOKWOcQod1VZJTX9AOBdO7VQ1N7yXdrZM0kk8QEQ88drIkDfNJIg1ko1HUqeZc2 hDPnyJgA13qpmRswcl5lJPPbP27q3Dgzx4bK2Lu8ljVctTErXveAY8ZAR+reXc6ULVvfH93cnd1/ fuzNGnPrznXFgKMc3hR9dsL1QKkKKY+TL4DdAyVWLkkzQNmmR0qD2PCvy7+7xdiwmmcy6O60jZ0X JZI6w2ZLXSZBdGEcPbelpFguSR86eDhJ85GX3eFKz8HzCgxDriramStM+voEMGo5cZKxqTzvVOHL Tp8F19UfIZtjsAHFAWYCJoHoLEAnCL/EsmvEPRX8i4teI3SgUpbrhmzZVRKhpumq4dzx4wss/jXa oA7SpYrGdmotfeVDM27fYRLSaSLoZpBKZ5nEUQHU4OxYE6KZTv6w+1xVtBd7JwnRfB8u5adOaB1g fioBcvpW0VDC+cDnYITgBhP5QnccIC2AIAUDPtNQXrDggcv9bsjMnyAW13bC8WgRYdl6XbuWjR53 3RpwAppvr/nLEEN3muNp3Ff5b/TldlKCXl8oi+HkkSQxoDqaHMb6MkyID3NeXuyBmdeGBygoGp+z 0yhmoM97yepS7Z8NkoLfwn5M8Vq4zhsw9jvtGLWd0RoikkZVVmAunGDwISToVuPM5i+TUUDQEJoT lgtFRouOL1eZjAzlhjxmiyFPGw3AVVPT4/XeEJosYvK+2uc1gYEDpqdFlFG3kWjma1OUgqM4Dyqk lNmwOUKXm9CJo9Njqlb9s3607HjN9+5ocXCxdz/hMEZO6SuNu9qkbU0S6YzFE7dfEet+WQ27Jdoe peJxRSF669zZyCwHgJlB+06Syu+HLiaC/gZHxlBCzldfG3aCoypzl2lGO+EJUN/mT4Z57pMWsJ7Y ThFw01Ln9/qZTJJ/FRRqBBBVfkPjRKvGr6AVStYVHFoWm1ccrCD9jH2lNhBTXQlOp2u2rjAqyjSr lsb35xZeTobvs/PaJwfqlaOCDNmvM0L32pt64kyTVgtJAQrxAOQzc0imI0WtaDWQePoTjxa+54hF gZwwPoSmTol9PCMF2ypsNnY5rZBnYrFy6W0X4hJOz8vrkD7gHN7ycaKyDwLJCPWYTq7nxNO8RYwg vgP9d6NeLoGHzPip/BtXw1jK3oPvr3NNXhciv4e3zLnJnt7PjxDAqbg1zcvhkN1uVHQPOBt35TLm X0PxVrDSMZMDgSdDOjYeIwEZLCdGpZ9kH/snMpKiTZhbphkX3ytsb6bR0rI707FdOZlhDxRvZcod 6fQ+ccdQJ0QnngHeVpG3MP++UKO66p/wTk8QLxDLg+pi6icxtsXlCLUxnz/OXrfWdJTDOzyvnEED Vk8XZJOg8xc6ZOz4TeZ8YyRL3NXk80NJP7j/V+MoBBcWVQBpgCZWCdHZS/bvti/HLKJSqVqLDG5t pA5XYGMQt2iZ+HBcf1dh0oc992DlN6f15QRojbKje2CmQ5mhHRw6J0DP0GLVLq5ZQQqsnFxcnZHU G93rKPwYDG6bzk1KzvQh0VyrKrf6/6IZzoUon3Ap/ohE09yMe45UudMzKVM3DN62MScpOWlMSh8o Q+RG6Zi/mOMmEVUJTJ4tyJEEM+CkWR5uSpRq4+pVcbnrFQk6bbnHmPxEhKY0y57Dtk5Nkztcaltp K8r9ZJkUIKnUa7rHFk4PiMUg3o4qE5yGo3HKkY7LZeBozK658SvrwtTvNaTdT3v7sFr41CBYhbiH MWloqm3dxCUA6bDssG7zZyBYZVRsw2hSVyf1+u9tvkAvWmBeoLPlKg82c6lNlERfAfnlImyj1gRM R8kobgN/3UpOiXV297Hjy6isPgSQSJxx/qfttAa1OfPx46qC7ezP9g63U+edIXofPZwhBCcbvbER j6cv4EusTstg2UDvv5dzL1NSdYbg6ZjIAv4Zi6I1kOI3xdcOM8HFWyR8ZA5bZ1hJgLYpm28PgY8k qXVSf2Grv2E1Nt2n3gwaSRzWm3EY6RrqNGAX7S6tfJXM6TZtyvALLVWwWUCynuiEim4rlHc8sgub x/rr0dM6Z4kdtozOeqbI7v51qBnidxhWYy2lMT5k2bzTAK/Rt6chAzaKHxL6rPWy/CegkBIk9MSo L/87xk5yr2K+JVI0t4NBIVUsLzeHaClMMH1fmeKGthAwbD0Ucy/xXKUzq2AWq8LNT/PhpFp+6p/Y NE5rUrwDMRmBS6YVdUmjF5D+nziBHEjerNDfeL4Nko9/XpFsCgFtARelUIzTlSPGBz7Aj1BE6R6Q Saayh0g5HH8owWc04qUq4zqUgLRCIL2sDQQKlhlABWSH34OI4bk5zAp6ip8IPAkf4Jlxilskzzcy J6tulZeX/5bdemGwel9tyr5crpQjc+FZ86iZNneQvBPnf94iXuBGhyH6YzyD2w3zgyTJaMbx8wH3 uLq+7IECDI9Sf7jZQFDT20PrVLpoFhZiBBx34bG82RiAJiUfQyftIvOvJR4dkqAN1yyd4OagoSLY lEIkbYCF2nQg8PwYMy1x9p71ZaJdvYLmlnDNfT2qWno2ibIB2+kr3j4oKmRAjbejILMgzCPUec/B x4bkovmr64b3+ZwwDEqMLmpli10RHN8s2eiAGqKpVoqJ9aT3qYYHn0aowhHXU2TgEnLC1s7xgT2B pQjHn39nlYgjjuiI73OCrXpNrw63WaIjseNrif1y9QE2VSAxib7MiPtCUFjVv0OmkDB+J2H5sSFy BN3gpmzjl9zC0ChVTL8QL/QyQ6cUiELKvagqpxItF3zjUJTnH9f56SCy7GUzfHQIA7fYz5DRPHvi 037ftlYGgtl9qPwM6FK76emeyB5Yv6pzwHhWmWSjtWOPfgR6LgG9FKsOwol+Xz7ERrw8sO2gPdib Cx+a2ZsDr2DoyrodwkEWUkqfKRzVB3y1h9AvKDiEw3SunS7FfOjRDwVzPERpUMkowEf18z9HD0Wg s3wqbq175k/TT3iy0QoakOpYioXPBgmbh7YeDxI9pXdIUx0mSxv4c9FaV+JqrviWyfxp2vtPeXFP MRNYta/RWVpEOtUUAeKUwUE+MDu2fEFILO4tYexKdo6U0MXDYjeR3GynyvWdMn485BbZMBOd4A1K 2Ubo42C+kKLmD0/4f0QEdo0iTU5ZnkIWgB4gsZcf0UtWtEt3oDn85cgcYtVRmjKZXU/jMtZsRuzM A9pguXYd5MYeYQlnDkZtw3mKPggXthPjcNsoK3DJ4S3BDtPHoTrTH7jkHAIhuc7qyr+6hU/0TMtG qon9gg1O+/xJ+60mhLbV+EDHBf1jtxrcsrji9q5OTXIfc8n29KgEg0cq9D5cue5BOrhfKgvL1neV rcWqkvSFs4oBlduuldO5gs6fbnzWWX1HhTPLpHNaP3WbGAlneRa0dfCQvQifWxd7E68tQ2wHIqgL GyNa61E0fnVB3hFRR+VqrGC8LQ7SAROwgBGFG+n3uXDdEPMWVpBb6lynjYUnrf4TBXAVXNa3OtNK 7KCB6G7Y5hBkeGqnlRhymWrR3wHzlPcPqOq3bduxHsqFNBLcFF0u5GPXLO1PxOPGfsTDqTWr2Li3 vmo4HdmBjMvUaIrW6NZeaHOcAiPU25TWgr6tAEyil0t7O1qXoV1eNIg0QRYhF1Te5swUx5H1u85J wzVyKLP289qFX4Hw4ns/xX1WtS1wHyUnerJATsmq3cusY0m9wZu6mxpvKR06sh+or4y7kqRFv6c1 S8R6FDvi/niYdKOdJgO4hJsmmmolUm4AQyi/+0ueLo+Zx4NWgXHMRkeyyjt34kSKE7Ijmm1N8JYd L20JYE9FW9vuIYzZYA897ASS3/ynTNHrLng2TA206RZKAPca0rGkxDHjURqGrEmogLg9Bra78/Ke 75TLhbarDv1KLLYqf6Sa/qpJkUgin+53Edg25e6PKENSC8QDzYmEZlPlA8xcZKT0SsMh2cbZB2ox BzecfYAquZAvFBVY632lSToq2pZOyCEo5sKXX9MojPVYxs5yXuKPdMKsN/UjC+UoY2nyL8V20G0f kbvqJHYnXLWvRbNcReMrNO7ltYnTkpsKbe70xHli3zb5ASibQ39BUP7WtM0h8XPOQdXl30H0ukg6 6p7h3zxWe3lldjgtw7yxyTvs+gee3yULWKMBV3Tv3yr8Jscw5Yl6P8/AgvOs2AuxRiWkmg+xhlv3 O3rOTuuoRzNXXvadR7XT68IbgxpvYUe3eL6apSTRvfoxZz3ZzQBVSrTLdYbWThn470p1fHH+B6yc zVfQGuFf9+QJfQ2DT30N+wugr9Da9ey2hyfcMMKG9i3O6XH9job6jSZoId6DZ46peXWwzDSCJ92k RcTPpZAf88n26PX7Jn4HKFJOc9qdvdmiszkYdy/XY5944ctheOWGKPA44BvdKcUEITQT7RT9IbcL X6Cpt5liryJogSR9YLKJ6u7xh2J45RzTBFkKnmaaOxd0xDjOhkIRj7AtrrjJr89XShwFK9B60UoO CGogDDpHOnp3MDATzxT8MMElT9C91X4pUgTsXeeNPXK5gHX+uxjXYCzRyudYWMtRei9MQN/qLaaV yWTHh/TLlZBLXB/FEh20de7RSY1gZvB5FaxC/e4PeTIZ1AkpfMHbi38OdSEfC4YwFPnWphsz37PN l/pR7RKlFoqx1wzvQuleHjExPCLoHOTq3PJS/G2M/LkRZJF3CUn1bRYyoDo20Kh8KD0KiCF5Woda szsWqtu8szyPO9CVBlzsk575ClSLqFPiRIyg7U1HJrd5hxI+pQ/uvDJ1kjvrBhW0VTiRVTJDNbHn 0q4SqsHq75/+9tW93IGYw9y1pjgr3d2P8ribR2voRHcaAOpmdbwM+D+oRWYRqCu14zv3EeCvZUuy hpGDE0XigbrY7Ed71Kxta5NUaGc9KXpKgevWNnZAk2b/q1dfhkNhQM1IgNZGUdat7gu4r46mZMKi tBo7AWfhKzsShcT8sTk6IXH9YBntXGyF7chz8RlA8fykQcLnS5Ipx9EiRKbD4JXOb/TeypauBgm9 fyzGgOszW4O2JIbp7zDroHWBqU9m67DpKA11RlyBf4L4Yz+eat14p+v8yxnjphQkPTZE9ubMgFAt XX6TgTBiCRfz2vdFc6Vi2T6CQWySzwMF01kXQyXewQR6C2zIyff1Erk/5uQIiiu/ObKJS9oDNsaw bNHKl9N6BXySy0gMm/rcSx6S9Reqhbbr+6+hTuUCIznW69asExLNy9p1go/O3PQ6+lM0EtSvxlyp IIGTNlGc4tveG4MqTFhCHnHm14NVZqzenkNdGJhnXka4+mAhIBDQIFsodYIqBcIaXUes14sohTYF hGTc90JGkOqLWXX9J9/V0cZVopNWD+1yep9TJlxdCrbii4MBzORTVpf2uCYUt2UjdgjSHpgJiiKS jgNdjUH2kdf+4KdNhmcxH7zXshVDXBncu9TIPNBEECG3e0joYJTp4wNFD8RpqRW114bfPsoBi8zr wiPrUmR1/YPY2rYL48zQ9GwDxrl4HjMSWE1BdilXfAJuXGKoRg87BUZDQfJhhx9EPrb2dsMFlMl1 jPNfwP+JqEri2cFf6Ak/0TJ3g+rZ+El8GtSrVEZMJqQNlHSaX5ifXVkJVliJanMUmjeVxhJwhUDc jQoWgZkaUn4fG07WqauDqxC+mQXLw4MIw5L2BktFJ3u8qNlo3TZimqNd8GwAKs9tYw2xFi2MAsgL YemEQ0RAzrEHJWdPH16oW/+J2CeyIyO9iSHxc+e6QuGECDRfqa/nbVfxUmI3CTmODT0Vmo3Af1p6 xuEw0RYt7PfNyihF5dgb2VNHifHkvzrm+Re/eyldnA1wgEs1zcF+P8MI3SQCahsxb6hC6//8rm2f yL1/9j/yjSS9e72XFs7EO/BknAXutYCN/2f5/HIDqPhLasALvxH4xIkfPk7tPPIQfc51/ZK4cy3l 3lJN3kOvZpj3uN4LPBQlwkBjEIcln20RHZCM9HPAChmSTZ+Zv66Gfh0FJAFJFS1GcdTlX9hQIGUc g2VZ+kFummgPpmUJ5vkY4rJmze67AtKu54V15smJ8Iufd7z1sA5ikCy4lfpU2+WKDYiK0QocONUi jzStAsbJ+iUnVn3UV8NpWKkvL9iKHtBX5/GpZFQ2UQz+r+wsyHBmWooKUe1ZO1Zpl9VB62mNcu+Q TH/Rla+uuLfO3DfsHAM7P2dR+uj7+DHNa3HEOCnchEVcdc6kAsYLvI/b0tASIGpdcUuMimoUF0iG GP/fxlykURMxT6mxQkkUdxfcnSOpKINAFXWQfqQ8mVccGpsjQ6+c+XPHTC1TmoZ+ht6KDvMt5nll jB13mJeebpl8bZoBnxtXJRxZGsK28PnLJIV6odW+aRLv7F63AtSQK68gubsccOS2q5WQwGqzymJI WqVkzVDNXg1eitgWs75nteVSpgJpOMLDqcV49pGRLn7KNvKGnjIJRITa1IDyhhu178c5dR6Ncd6e NsKvxffq8ZZVNIoHQGFOVnKHzmDB4OsuENJtm84y8p2FxqkYq0SLO/RJUDubxR8th+w3AjaKWM4+ kzVPO4O96g9KmMuOwOJN2S3+ZzwIvSq/Bk8o/AbAoLi2swFc+cHSjOGHQoYwXcen1wtbxvjz9CL4 Ql93O13iggWpS6pXOuQTUNEZ4Ne3wzqE+Qg3scA5EKCnrdXBL+hL0Ash7sqZdMwV9pv/7HMG1f2o rlwgynMbLt+5JONgidZuHQ40AFenq5fna7VQYSbzkEbGNKXhlPvtSDbMJun1SvUfha64rX/UHhst 2bilQG7+YfB5w/YntqjZqkOlnd5b3PGuo/pg5S88X1A2S009w9H2KD9KKHyjjn+pcwm7OIzCFc6a 0Dlrj4jIygxyIMT/iBt7tcglfpSh/L6tYuCLfbSq+glULbJ10/I12X4ZazMLuTCFeDFO2lFc4304 1+lYhcrhqcfKrxqm+BbcYzMteARwx6lfUCcjrPsd3WYVuLVS7/ccFD2SJ2Gifcjhj/wkxiu+b0Er UxUPPhEQGH7DFOiw0DzcgwAf/f4wKRvr2tWSDuKSYIgGBhI+FugCx31uRfZZM2ZLjzHJWa//YcH+ YQQ8lfjpDMU8XyNsin9sr63RhiO/sdPH+MbC1NqRS+p5P3yV4WySufiH7gJFaaJN/IeiKTf2+q3A XTBZJlzb675D65YVrpOEukhYhPqtYmRnVTWw6Lkcvc1jK2YmFirZmSGOJorlIcVQgD3h1cG8PMW5 4FhxaK5CMDED+CJZCg7QaJj8eAHwteWmxAPuU8+Lpr+GFI66BKjbKAB+98CXRBKHBIOQTV8hHZlD b1rzIeZBpX5o8ptLdrfcQ7u4SrRJw8nPXcOSMpMy89aGOEWLgVjFcrDtDdX/uGcLWWjtCwjED/DN 7DqYIW/MrWlbksD3h7cGfsBVRvwGnDhANaescQ+58xzfEdyeRFWxrEJUgTsNRzteUFlFma2j49Th Ka4DLql+589tKuuROeTBvrNBGNVDgTD6gR3KV25kuhvtnX8wb7rRyCC6flI+stecieIELsR+eZhf SHM6JqlpzUNDX8r0nUkALMBzHKVu0byM5A95mZPxw1idVkphkajgNxDOMghdKr7ThENg+5/zN/bt Nie4TMlx+5OksMDEmETbPTdpNfU4o0sDrM3dm1Z232E9hG7TRmcymwHUWxZcoKqP1/G++H4w2Xzc 1JQ9Tbes08TThDAmdq2srhP4day+WNcL5wawH/5QefNT1/UpViGQC4GyqKG9VoTrKjffKm4IEoRT CAOXD8ImxzMYkPC/XEw06oWHAHMMo/6RazTgCckhg5kkIPAabl8kfRptPuv05VQB/DIb25cz4AG3 DtGDtg7L5idRPjdN0uWEGLOvisUKv6WAws2j3Hzfxs/WFnSsqb9zBoOo3ia+lq5sYFAuiE6jg5Oa l4iRH5LM/doCLuo/Z6OI0qXl3y0TCognijpYV3Y2psjvIpSF4GRPCD/Hp/t+TfSfpH3vfJC99bij 0THMsRDkxlDcw93ckRdNIllggIPCnIFXW/QNHj2kmM23aahgkXvfGal+HvvlR4pe4HfNpuyycvFX rtdM7cdqFil3X8RR1fneacr7PI2Cv60yJHSBuuUEQjrVRFtj6BBp/N/0Swg3HIb/qSKUPy3+VIHw Y9Qww0ZHn7h1gHHHWWwWOGGwkg6PBhKM4nPwGcfPQixiBT/fK6nYh47oGp3hqrJqee5Qhuu7RoqW 5qNHAVTX53xTLSQT1vrg63W3AHWvlLerfvQxqxIZ9Br1XNFIDD5K0o4J6GpletVbEDdP6nEfam79 iog+iww7rGVzgMMMgCfJa0wbbaDDNXf82luFqbo/hxz3xuDQEPGI02aiCUo+DQE75CIZz/iBZV2w g3cvpqW+l10sxdTQMfiTvIdJ/46UpjeiTj1GGvBgrkeyT/5miC3VvfklrbAT8pdsbk9GoVUuhAFV JzK090ox+GUpdGHgNBkacmtoBR3KVLKTHAfhtno2chdFeF8EGC4ObyDhFZaL3OAuu0XaNcljDfuL s6Vbn/wJH8b5pjeXpUq1V3HD2uSbQzZ9aB8WUk0HqsDm8LwEEjp+ChAo3lOwIc2u9D1R6edomQvg jMYlIV4cWbCnRAERffNtNkHSZYuXqnpvKcVaga9rqv4LDvzYZU1Az2eC0F8vhN4xOViD8ezpM8k5 vA76Ko4znlwrdpBkqohqcIR93HP5nh9PX1Q1hBXwZByamaK+dKsIqK2Uwm9wxxsRU28a+GW6Pd90 gJpXSp8gDYQzNAFCfaoGbRVF/+aC8u3Ap6N+0L50HnepEbmF8K0IdyHscbDesSpDvS1/DW8Lw05B 29F4mOHaDP7kTH2blUPLQeD6yVHRJY1T1SEOBTib6oy6Fs68YxYIfTtWxvBptPBoGLVdS102iwO6 NkppeW3CdrGTFfGG67g+4DxQRgwmgKTsn5pIqECsQbYc9zO7W1/1qvBAPu0gpphbet3shixpKXzw 8a/+twGdJV91Lqsi4VtEQFaU0Ds1CeuBoTXO89U/8/vt3n95RvRG19DfqnFpeUB3pTI0yStC6Tzn tgQhjVdz5maG/YOyYAjNr03B2Vr8hmJgAMdNhsLZvk8QC2FOVs/6WRUO2M69WOHjxzmchaiykw11 ZOY7MnVeJAJooCM5JWfKqxjl34UcEoVmZ9o29mI7aBDVGIxbvvAPIEaaFfeTrva/SYg8haYHU3uI 7oT01E/BzS9gBrfGCuVPjg6jXChqcqr/OVTSxgPEoXh+StaTykl69588p22KJ58BujuDwZgCvu4a GiugAsa372YcFbYcRSmLExNGxIgtrH/xv4Fo/69XwUnObkIN5gHtF2SqXIzPA7llCnnpnty7aTxa uzB4VwJfhXEc99PLR5XumZ9dGhFAUBG9La/E8RT4gm4xJ0dp4B6FMb/xcLbIcsuouxqAPO/uk4C5 3V6RGnKZ9luOZTcCdMs79ZBxuJMRynxvKpNvqa3FECFpog5vtLsjV+VeccKfEDRzsMZloKHgE0fw Z/AZeIUfO/TPwMZT5suIvw3BFOh8TSivWHKWJE9hw5MYj8airiK5tRKFw42E3NtvSW/FujoDkJS/ DRFJRYjMXLh2y/L0JXJt/dz4tQXi4pZ0qMD3GUa5+xQr6Vo206AfZl0rSJfOSvGQSxWArJkllSil nKuQlq41EdByZGVC1ZxnCnxQOKJyupfichySiQjclOmgcbI/CF4z6kdXLEvitKHQsX0ctYPjMN5b H5V0lvO/atwzcEwNntb5MOCXZiS8bAqPco8FBMYB6F5IIZqJ0g2blhWx8X+oGkv6e1l3aegnWPVp RYeMMZ1qkRyNRsvfws8Z3rGreSfpMLOju+ssSYU/hgMbJtrfbBYERXT0YpRI404JK547U5mniWxj o70ClIi+PZCZZcKV1HO8CQkbbZUit9XfIuUEuCLcwshmS37EJ2D8rJnUfe0HgmOv/y9I2YHewjeh BiKDHOj3yQzpUN8UKHU9UC5FeGCCpsvHV0/VFCKgoaiR2jMAO9SfEeYvvkx1PHBeUXIIOQJ+v4KV UsJZZFMUgfIRzUt54cGVIS6RypgIkd+I/EC5C+BQNIvGKGl28yXoRf/rwJBb7JKSwhIk2kJrca5v UkKNkUNABXJo5iuDyhCXPNFsaH46mIHDTepN+zq0u9aOh9u/4VI+Azv/B/aZpNM/pq27VWCmL7dd ODhoQ97LyIiZhP460v2k3JRWoLUg+A0b/9ZnpwpwRu3AERnA2XIXQsDLJS//hF8xWbDE22VBfsOX 0pBgAOTXv/iSI220zn9TRI4WMWOBm47knBDSME3rst/GyDKwDaR/Go0Es+jeEMHzCMixAKDgvIJP dSU/h3eAqbMdrB5ToILEME3WsBjUGknZ8XUb1jPnCKaCsi4B5Z9/94VYNTbZ8FHDJDB5IfPvTiKA zoz3LtfMUu+r3McOOYlNQIfGdo+MNuaAf5L+ARwSWK0l95u3eXUkp5vjPw4Vu32nxQd4nKuh2oyB 1h8z1K7Lg5irciijjIRzLofg4rYH1JodZwGmEA99AZyQmepeC5yW2UH38gZVY4o2V/NtJ7C+1/h9 kJTqW9pU7P9Mx1iGmPtuZUFwiJ2yQpS7NDbNi6v9YINGwMAmR6qAvddZzX0zIBNjzWYUjL2OZl8Z eabsON5kSTfCRboxvqRLu2WrHZrEQus+YfoTsJCu/h9ljCUexs9FvAMhckEKeiM8oflnCsyufasm TM+U3nSF6Xj4gjLq0r03VLD29NZGomz2ikh5Prn3jbHdjBdU+793GGcV0keYvNlTLjs2UUhEnOMK ewg3WE1ysQ2eMycS40q9hm1Oqt1J0m/0uUPRB774+nEC4ijFmeG2Dejnudv3eIrAmabnWURodZOt r/ry7yvsQ80lBmEnC9a2clYPpqbtLKJoIBnOT4AgCOCSmoGXAGBRGZm/ruYUlXa+87KAsgYyRnIL vrpAeBzj88rCh6DYEXuz2HrJCeV1KMpfpf/20ApZwrptYd6e50Y3zkD7wK1cx2GUs/K2t2mf9dfH AqRttmRkGQtpckgpc3G+AO8LqFDRNxnYDy1fkusDxNINREr+Q6a3mmT2wHmRtk1w8ilWpMWlnfkS 3I1X7k3ZH0ctHPDV4CC7f8sbAkmCdArBYl03aQuDrjWlBIBURF3U1wOes2sQtfg69zp8x502qu+J jKwD1uKaASO2sfYjL0tVKZY7mInSGdyN2ep4Zloc2aW3/htFolyPCy+hXSiuumTVT3P/h+SGLb3R 5U3fAiltZ/ayUCPjv87UguhUlAmWquiIGonC3ldxmwaDknQzHznqSADpmUKvzGA6JAP5DeO5LTPS TkV7M8+DBPosKEPwAjjkqkIDSmzBk6g2JyXKZ9DuNX07p38R4RYjhwPTQ0VEFcz9rHHZdfUQF0Wa GTQ035bKWGIr3aB6ST7AHI2dNRm9ynDpIu6RbzlXc68TdIelHBIKjviNL+Xvx1qHh7x06jfJTpOb mmGynpn+Qh0Ux0vtBkuWjOgbyGwrIAMGZie0vZ61MS4eAuCSKFzO+QM6wMhz3ePOi73QTVqViJ25 D5QAuleLJ56P/9w4kACxmsW00c3j5N9wHP4f1+c0Z6xaANj1+i/MqYL/Koz/ZWDmTeDO+acvWstz V4KYXjQPbSk62jH0bOwaA8e++sV/lr1uRDdqgEdhYtUPU7VcCpL13q6NX6x3nTTvlyOVCnjqrmVx qy0ZORw9uPbhEZY2p9f1kYYAR1NT/Lm461MM/yq8VNfv4gmNqBl54Q2Bq7+UYSk/Dgxp2XHoKrfY M8EJ9N85DAEuhOJho3O2Nu6E5U3DDCxu1wd8QzCXp+tJx9pZm4xT0gRQwgaRD9p++2EtAuIq8Pcz uO0zWGw1Q5IjLte6gUmkUTySZJ0Wfz5/7YaNmdR94uJi95fPD+TL2q8oNqxwKVvfIfl1toTJhez8 5s1wGjP22nIZMLrAUx4qlBkzE51vAd51lqHM6NlIgxer0MIHMopr7BotYMOihUdJpHmQVuqhru9v 3TjV8yRmhVv0H1LQ8lUGSnoujJMPj/LxYFF6UKeW7nCzpWH2zW8upJUwg12jsNymCShgimbXM2LN VbMI6HiMNNcuFTQ8nn07Q+xZjOwdZgBbOoQm2EkpXa36R72ZIkvtvNxmmwUcpvmpPC6KYZyu/p+V T/9V3G1LXjgRA9ALr1ynT7AVaoVRwdFWTKDas9p8m8q1t55iaTof4TY+Al6OiDVQF7hB9TbcEw2h bRQo+E64NRgp3r4w6yXM45BkDS/k+O1Yr4sb8ycC1ZPtk9ajrmN/uh/9oJAiI0kg/tpKD8ubp5rW tzKV+lTQ5puCc78Vp+nCUgfwo9NLovzWTWCVk01gF7hXbeHGX7ABlctrGP7zXO/e4loFhnqdBZo0 zNJXEh/bI/t3kOm/H21N9+KWp0TayNUgBk8/hznb0zsn8k/GLMgzjFSyosV2q3TDNQ4pC7Q6MlF9 9Dg8e+tLjBZhjIVpShi+ypCPtQnoaWvHg0j8HL0Sip3gl/+G8rSmDhZ/7Qw6BzgXepAqOvO+PuRz /+nqYdldThiitriZ+eA6N02UkAeyTv3TTaGdrn4IY0vgVHebC+dkzo8p/BrtJBygAnpIMIkkSD/x cuMh4bzj5aS93QcmxlpIu2nI4z0ktt5fEFQLtG9rwPeu9bRjBA2LgLiZ5QpE8wdJecPkOvyp+Thm Op+rKdwC6PpTEleonGSa4a18ZOK1hg+fyjfsKf0NVRhWrRmsP220TESsWnKrTIUJsFIvLTcJ10RI qlvwRcyD+Wd1yVgAvONDctJfkXA4dcyDRn2GjxJahzZaQwMCIyUgsrf+AsZL2H9KKr+GpjL/4eeh +A8YzbXKWhQiH0GkcHdTDXh+uCfhsendO40LyY+eov/F7uvKwCGENspBV95/S0Uf/4GYCp8DeEX2 NDtspr//jlrMFQU6uVS/S2IxtEXOrlW9ZhUsQ332mUqBrQuFv5P8m35ZKRtCVHGGmxXivggcLwRl 7H1N+ur/yVIXY2KlIApiylhJ/WdjasvGV45YWrSyXqtDrZ+PZQTN05wulykBIIS+hZcpkcHXNbv4 jxnRqeIuqgwHcZzE9ArHTuJgC0PYRxW7IIzL43IhI6Ztr8+nGA7pIYvBf6h0oJ1SHFbdZgRAXbfO iGY9RYaou2Auj2aBQ6b88bq/ZAyFs1drxi1jWNyUaGIqM2H2rjnzXg/yGdIidh/yudBPYc/v5YLN kfxMIVSAAMPb6bcMn8yMuNniFPnsypewvuj3pPU8U/e67g6ZHKbvBlyUXkAsUdlDSoISxXo8vwYl PKwxM4s4RTbH0b8ShUAlVn+Idp8KFKgyLWohUiSm3BdVnvx/pqAped1NR4h8IMQnyfcSwLCvQXmm g9uwheDv3HPHteUNq36CWOrRq2jeI6PyZhAe7QyWwKmDncWBw8bw7DAHYD3XxCFncxyET8ZPImlr FNMNTkjMoAeDPDbOpCtbIkRfvED3gQd0y4NmBi0YEQc3YW13saHIJMWynko0FI/EA/JfJz+h42T+ jXfhRQgZzWA9nEy0/302dOoM0dL3TCm5PRu5DAvd52sa8OMmJ2j70MUpuO8bc+TaGSIWdOmRAQML RpMhqkxrQCAXmlE2osVDM+/YmIrJA55J2l+pIkwdVOHseHEngHR9St9QjQA/y5cg4A9OYu31KE20 kx2CU9jsb6TeAEADTvot9F3nNibyX92rQvqxdMyp/lWdmDjnvnsTRoAcbLZRMY5vmqKGkKCxTNCc dpJ2SgqDHzK1j7bG9+4nEwduV3YQSm9sl+2xx03Lr597rD6YORl4ikwbM3x64hGLxXegHPaZfLjh Sq9WC6iJ+2fkLEzbd6WsIRAahSvaxNOsWkLry303zQPaOKCS63UtkpVDAIS1Cvm83PvZnOnjJvNi H4gLxfF+m0my+JCwWThE33z05ZgAblfBkIZi9ZnYX2Mnj8beqwX9XMw3+sBDp6/9EDNnipjv47Gi ML2yxjo5ZCg16iMkuzFmbXAuaG2HWtbZnhy83XfEUlwPfThHVFaIgfUjMPVKWh3No7eeDHyOl+ls lskGabZSRlQhpZYDMGfiYl78CbW8ynlOHv6zIgIm865KcqMryXTIVrs6CoXccJoQHmhrqZsuE155 mqfOaOX1UyY5EAE0f/PDqKiGb+zFuMEZpzqQnw11R8MCktz2jNgqLGoKRRysO6KK+69x+AdrbN9y mUnPas8AbPgkluZ8plrdWVyAfhWwFYfjff1zg1P7VA9qLKab1viz3on4t8Ivs+wcEVNxocN/36xY 2/EsrGyM9i6LabmU7uy5pIT6z2CwnNkbudWp37xjE67lqMZPOHPpLOI5t4Wxjnzy/8zPkkXjZvqm QkQFkSSgYrtO+ER9Sg7QoRA2lSi1XIhZXusMXMW8POreN5wMX0qKR3hILfWvnXndBKwgzi+g6Wyc a8Z4B9tvMQ4pMzK4qC5aiD9i+NkUBE+aUXPseqo5IQ01ktHXoBmq/hHDa/7/edjNgidMj2QyTcn2 jtxzMqG9TCtKiEbzMQ5mEwDVzoFnjfTW8XDuvtRU2I0vpX2ogxypGvhg4XKxaCl3cwM2VBaXIcfK CHCga2IigzZdXGM0YsX7wHkR9cfPcYfsvZnJ9veCTW5taCPLXqPEQZdn+4qCqzU7A0cNR3EwUak/ y+YTNvHMa9cLBMRe/grSuAEBuTgBUZHTI9QU/odPKjHbhf4peeFMmFQfldXGAHWHd3rJVMgWaYkZ JDMoTxjVX2cxVLzMTaDjriyAiVty4/EBWjrQzb9sI1QAw51JP5yHIRo6I4S6fb5i8bH9WFuOektK NGRQNn2fGRpaDKR1FpDOq5NBLOS4x6uhWEdQOjsFyJ6NCuRBrrfgQ9hlBSAkVZPbUCojOdUOL6/N eanx32gZIu82fMIwp2A53a+gZx3QnWl0MihMDUVFFMAFVOHDBl92orBxUrlNlvZVaBzXq7u5hTgh Gp3kg+w8iMrz2t/Iw67u7tAmSMh0fiOW34XLkUtVzNW9MrXKqTZHQdrphaWEaaXu7py3e+ToU/KH G64dMyIaYISt9/5KqDsJYXvZETHXL2DDbAJwy1RzPO65T7BFraorcKXWMcZwoTKYC4s+VWVYXZEh RAjh9BUeiZ9ZMQ54N9kaMDvhjD76ZcFPtYm0POGdbIOgqQa4OKHZJ3OXYo7jJoB61GJSFtQ8m+ec IvW+QRa7LfE85cR+WoCccu913RmYaUkKu9WcdzN8GikiqIe1jYYGmWyMFlzayMcvet6k7Rxwjh2A hgM0X8l3nCF84Qxh1dKdsvOMJpOQG+g3kUxyBa1u1VnwaVrGosDXQ2/1lt/cFGKya/bJ/nHxWg9Y 7VbGthBBXY18j5jMUPtmZfmtBiguQ8yAbSBNPAe3aUAdVZuuJ6/JojcYMmkLtTfpwVtbzqnpCPCF 3Y+14uTMRGXVKh3rPgLemPKGl6Hlv9C6trVEMuIqlbYLuCBFZsNu63D11huVwSz9uPtK2D83ljnj rzUAnl9Okt2ZETs+2SiJ3y6wlHDSDWWK92HHeuT3XpXdQJ/jFbVIPGzaa6t4i6TFgquCIXAOHyEg Dm0RUV/mUtvBFKxmsuqoVEUFCfIwvjAjupr32d4f145wHJPFSIIB4QDBz8kAqCbScjVJh98mN+cW KUjousCE7r5R7/vOOMlBNzJbOIfOgQWUmnJ3b0bqo+aAFNjSX/wztChqzL3QNUVL4/07CopmGX7+ QsJb9pgJ7CSKevIcRi8CeRmvfDuuVlKir03qnWGrZZUtspN7++iRDU8xAEgqMEAcy0eW6Qh6bZAp XWygI4+nS7oTv+ztb3b0BQHyclddcSlB8AQtyWoRbSSZxsdNCTPR1PFGy4B5Ju50gRjEVDhBz0mG J7tdoid+dI4drgYS301jusUvK5FYCqhzmia+pDOmVdes0Pr5vMfjxdYVmMxvHxDl0CirDSA+BUvW G7BZkCmuWAEkYPhriz7u21zk3JLpmaXECr/tOvFVNHzESM3nyZneTHdbTVLWtin5muEyMv8OXECl Z01MlWA15erYmsgAEDMZLbw5XIBOCHlsWEEZUfmmFnncxuYbRzegCb1T8xIZ+/8zPwcNIjATC3zY M+3SoRYmCYsoVmjYf+kRTEnxDU3MlGwCnabh3IQFnxmjuYp0wgussZL+5si7lMpzWLbcrlcPRCGL AKGNVtG0dTt6U+E6MyPTAYHWSrRH4+NqRD05pWdWtWWxXJ/8UYSFasopQxVHX4IuFPGgNhStOh6r 0xbNmMzZsvDeO8edA0jzdchCJPnYiBKzwzTlvFazGzt4OYj8SGDLKA1RM2MhVatxSmvb5Kes5Dm0 p37iAZTy7UEik5qqosiUU+oNwMBfYq4R228lXXWtjc3Rgx3qN3PPVxJdDCCvy5U6FyPKJfEWqHMA Vo5JhRPh0L/FhjokcDFEpuoEUZZyLGWSimdbE+vASKyKoSulBGnT0KX1CNJPy3iKtBCDn+de+Y40 TP+iPN6gyqO0d1POkNx6W1UTW/PlJ6IInSSAh7B/ad0UEC+1Cd6UUNUK/UHBhMQRHzfuH0d3JXh4 lzTHSfg/ODbtJRVPaIzUcAL2EvMjKAxzqrHUH00o78QTkjYezDd1Bu9vNoEDPO90q4Xf95oVysFZ x5UDnxGZ5A1SOU/B8VJ6no/o9XwUBgI91ZAxm3AJfXBTNuQaaETVL2Xgy9Ew5hTZV85GvYopZMwX KGDjVi0AJXPlPUNQ8cCRsJtWGJTOSDhi6kfUCGSq+g1/gwQgq8XGozNaJxMefWvrr89/HfDK9HdR AwUeR0qjLKTF5lZjG4cCU1CuNHCloNHD4Wo1snSzX0zLr+ntdvbvzUvhFBr14TpQdcknAqOV2ECp qFCNNVp22WRVfVrjMHyfpJ4tUY6gWLmWSBZKAj6y8z5w5PDUjIBSyQaZofHokdF8wlDkkYHF7EcB Gj6MG2lYNQt1Nw17DHPqUTV85CsLbebNPRNCAeErBWRd8keij5jevQmuwAxOm/LQRPg8Q2UXDOmX 3OHu+RB5r3osTFyGi59iVzhVrWhJPOUIGmYv3eygFK/NxQ3VUkSWVbcOn2DtAAhUH0ndXNHtsoqF o9hxCIN7OYKUsPmRh0sAF3orNtkt7pylExS7sKFB79uTQfv4EVYux4Mmc7FiduqI+BIc3IEmZjIP 2Z5uDuBga8sH+Rsmh+QDlA87lsBwzbrBD/cYAzVwlj4cRbHT9pjjb132w7xzVTo5HI7iiIoPEU8K f3oTM2H17Um/OI590jw5Z6ivewQq9Xew8DStC1TrcNXy46msIiKs9D2S/fQCJD5IciAGvoBIoxEr XNTfHrZ1xCvy0V6302jpzte4WpPkD8SumfAL8YZwArPUwnIg/aWtwv0tYIRdK3JudS3vVsBXm7cA PAnvzvtP2wIi70MnbOTm1DxnSaBfAfq3+NuTvlIcWd8RoKFrYT+7WjLeR7vwJ6w6QQUJMRX9B6e2 iiGZ0rCuOUHVvhVm4Vlai2uRNpCnMcKpRlNA8JjGGAZDqwXewlNvbgSTiegqpfLVKL+3g2c1Y1na zIojnTc9fkAz9uviDe5/iBIxf3Ngm43T8bPgrA/R8FoU7wE1zvC2dmc8zChmyMDcuJ/IavLYJakO NeVeiaYY8BE0D8Uup5LG6lNyRxNd4MW97HLBpxqU9WVz7tYguFAdENatvpM5WYk1VOLj90eiyuMM rr3z5o05Qf3217hKx76mVnzHxtKWXp08I64fBNedJDmN5orjyNbEym+UiluInpGz3N7UKEl2f+Kh rnEagLrISJRyGbrIOzYjwC/z8aoRW4p3n4af/Zl17jC4aVDBjX4Eln2Fm7XkVNv++HBnsuoiQCCX kijwVf637MyuheOn4PxH6oTn/VM51KYvgbCiiwYVk+C6WHXWAJHrKyYPc3lprbeB39OPO6MPZ7Be DmD83YYu7XMxDQYtJadogP+qRMJxg0ri0LjQE++0q10nb7pNECYZ3/7cIcCDGJtxvitjKOvk12zo ecCSLkXVWP9jnlnCMfeBdxthwTj4thThMEMqYn0jAxXmpWY9MjCJPa/kPk1Z2ziYL4/+pufNvzzY To2N9yewgBz1D78f3weQwIi8xuuzhvLawtTDXyJNUO07CtwJ7EUGUofrSx7qLQ3+R0KEYK46FAY4 WyQW058iXyWEOS6P++Yaeku41F/vGiNI3Zy6RtkutCqta0mXlo6GcHOFHRwn5jMAHfMFpA06Oumz m5ftpGWsBiLwXe/eq6vGwI95f4mrNrb+FjNZnnDtmph51ogMg5GKG+HiKtqzDKJ7tXyGCV+maOcm m5wD+o12219+jwgOUhybcQt9JpBRwxqM6jsjuRTgtqdM9Nf2PMx9v1iZfD0i5TcSfd4b6pQJthoO kEPRDLgEbCp0qDhcU9m76G/VChsXUXfSH32IXdItRKjGf9GHNhOZfKBbUwNENwnw7b4q368od+gB uD8zGibVF8bxLHPxUR2MbX7gEmadOQbPdl0zsNa9rWGUptPCkkj56B2XrsPwZNOympqhDeuUVD21 sbWRJn+pNXwMCXDz9612+Vyhj5NSbnPDoYiRXlBcIBRKMWy7WbRdxwifNqUTGV7hsN10/pZFh5ac MQt6KTCFyORHO7dhWxk78Hibhe6r9dYazIjX6iz/3HXdBy0lrPeE8aSJJTZ5C2XLO6tiyd3dm7Bn QIXjii0AufIkPA6uGYIjLoIBLGNMCSO6e+2aqCe8w+UATkH7shyMt3QfM3ksx1oVfQK48Xb4kAwQ pCQEfO/FNYOqFSDWzRW+gglrt5FVST8M1ZErB51wUWg6k6dRu9UKHHAnZGZzx5U5pgqTIBfKD8Gx O5FfBbSxZkzQVf8AQ8Jb8Q0xexAO+mMxzn9KnJ+/9JMnqggTbp/ANMMfJh5JudavkvGocrtLTx2r qcVRiA5hHsyqU1EuvGCq6F9BdgTCxMu3E8nCAMVdHBGxZjQtEI/18Z6cpngyORRE60D63Rbgufbn E3nZi73652mAt7ul3vCsjmLL/lpdclQY15IVpUkDu1G96ZdgFKS/jGlKKwkGUmtk6yhOvZu2rAIv 2yXQNIU36DRNDhIXtemEtZkU8qPUt0B/t38l0VbOtfCV90QI7aAHgcBKllMaJMlXn4IKMX8WEm+p Yj8c059l3z9MuzFHkCLJLDBHx5CC8hLOzbnmg+zEhfUwvlBjB/eABNdEdGAnQXhyDhvXIMep0T89 ujvXFmpjOufeILm7ZkEmAKcEf8gdgvE33f+Kd3vYNqittxA2ELEi3DMZrp5HSWtxo1pmk7Qerwsb bVdfJTIXgTpj1RAHlq5UcK9Xo/N1VRzjOzqw2yu0i8CaAZJJJg9fF1v7lV6zramI/liI311gHGns bwGNft/YJExRKi1F3nFRkhA9AtK0mBBgpLIBNNM626lbjMSPY62iQoUUx2ZLI9Sp9Ri/1fE/hJn4 2OudSwRdh1qEGKwYPYm3phbBxz0Pw20HWezDGMIYXX0Wa57y/zLwf3Fxmfpy37QQInMeryBO8Sda zZ4H7IE4zviSVKS+dtVJRLVwVkQa59JxW6PdVXQGMtWGw7O5G+nsZBJdNBHvrvujMG99Q/40azUB E/6FyhF3M/CvFmpjl1YgjIE9UHCkOuZySfDhzp1Zn/UncS0cg5Uoy+8n9wbszn71ZwS6/H0Mb+cT ZYdKt7LlFLSBbTN7vkfINf5OBbzPmEOjfmRoz+xUZqhjd+w+YFMFYkloE81GBIaKNhJl/JwDBJ2y 0e0rtvYnHNixgamqzPYIIi8Bpd5/rLEoAU8eJNwgG4PQDi2udJKLtwAYEO/SAC1cWqYEE4fmmp+o SX5pm7mqgXqDnP60Ifp9W8ZD3AyxBwalVxR+n4rw/XrPkB7Oyj7SKB601jnXZjTGL0u641rFauQJ qDz6AK7LujhyV2I1xmL5XnynXUz/ZAkuyQBWTGr7i4209eWKVLy1rYuX+QvfpBAxX8roaTIJ68kb I1FaTtBuRNsA188gd/OG3pSi6DGcR1gip4nKc+wd6Ira/RtjZXwZ7oZ4LQU0GFsVDmChKCdWnPoy vUmbaSYxlWhF1ppdKjY2aoe5P1oSmVfkzzdbBFW4D7FTEY12kXNkrglrWX72tg6vkq4zRWetGJPc XGiaX+HVPPVIe5teajd67YkfPshSE5BXgtEiFd34hZTeVLDtpBCY9fneyaTvU/Q5B9YQHZ3k2Bj6 nXpNN/pX4SvDuVnyr15eGAYQmNUK4A4TC0wJ+OIYrHkijgmiRzolcvIg2MxN3oj546BgvYlMHJFL XqZ2LzQ0gIKfwtXWgB73TnPMP7OkuQP97yakLFHEDW6WoWtFJy2zOikEsdN4jJbo5xZnHf8nIbuu Q1erbywTCoGOuIT+LGo0eDl9es6+uqRLL7nnuzrF/c0TeBLGwk2VQU9DyVdPynSNVVLTMBwbxi9Q K0Sab0mC1nXhufLfahg0yYe4bOm7aUZHoBSp0K1T970BtUNo8V43QQuPQ0sWm0E1IMlFyrN0yP60 TtUuuXxslcIz03/+Z0Wvfs6P4VR02AsovE3rqzNW6xV0DZyLpeLbBEaQQ1EdFzAQk93m4MJ6ga7V SO2YAn+iXW1HTz+d3FVUeTv+jKA9Qge8vyQu6ZT9o/tuqB1MJI5oLQUsuzTpcMNHN0JUTEHxiRc6 E7DiyO0+UYZN5EhZ1B6hHYTuMF8c0Yq8+CXvVT/j1vP/GgehoSXnXcIfh3H8pO4J3c4X2P0K7qMq Y4QnVI57lL4SHv7KWbCjyQ7GgYk75eHn1m9RJrDiJe1c79Q1wFIJNx3aTyT62kYs9RgH7yZulg3U 565crkh1eg0A4ploArg4ZRHflv3KKeQgBLIrEI5wnCjMWx9AbV6ZPkYc5SPhQfHZrx3Zxa7lekvp aObbbDArZ8f2/h6muRSeTrCaRXdTJuREaIpO5Xibd/fFfOyegDvQ34r/rXfjhROMERT6zwpJW7/j hD+Cd+Zvu5BQ8l/scFL2QX637NxFRU20usMePWSQo/XK74pQx/pyTfzmOzb26MlvVAx9OZlUmNLL d02IyHE98Sd4b6bKPks/r8aAdNZYRH8yRSQT6I9/1j8hUvWSbb1VEP8Dq765isX80DI3Rau6X5PK W4H43uoP/Ua3wWXV3xCebnh6cUj7esIrIS3x78Y7iiUvcakPz+8egN1esZavTtJzPehw1KbBxUSZ IfHecxVxCDfqtPoWGh2ykzEHkL08gK3wxCxWjS1CA2njjaY8728Ri/CGH7elJeWenyB6O63e0+jJ C87SZxdkqbBYEbK0Xae8ItNCvtDKvd+A+rdDtB56RgsqkwRdf8GTQSjjbwAtXxnYgzL/QWqObYRZ P/BGto6SnufnfNj3KsmlYeelVjJBqDp3od0TWpwUvZ4HjRlzIPfLXCKSDEZU8nEoD3bhVK14qX5Z e4oI9eZrPmZCdTV6SL2TLiA0qrVH5ogdTdqOh3tDkPRUqyI7Loa+MZkP1PkjC/J11ozloQz5ysG8 51zO4ctwcIoT9idIInk+3edEHoPVDIlXmnZVM1GvM2DFYXyX3q3YqaeqAv8wn+m/nKM9xTvTnv7a hdxJM24ZiavTbdT0RZhAe5tNE8S39BjcGZp+6B9aRgRNCQzLpCVYc2NeCL+DtKb3wjeaQe2bqmDp lK0SYAmciuYrJGpPtugtkzx1JhXUUMToP3DIr3NAReSY5pEEeguppM0cqSSC1uZcETe0kN8vpDTN GBfQzSAytONBIqAtzprkKtM80fx9nL0oBvsiUOW/DV9+xZCbSrbcANcyjPbXEU574O4IkgWfbI0/ is2+pUxBmQBDGih3o6MWO+mVeMv4R2bZUpmAq3zD7R3l4+cylZ4T1rUqdK0aY6UmLhrTPBV1LVmg uBPzLEjuzYcAnGKW246N+HYE3AHf4LBptfS7dfWjNOP0NeIb90bDIi1isBi1T6UiOuVh2P98hYhV F4Bm7Y+1Hr4zAR2LXfA0n2PL5BlYZaisbNnLSkX8/Nxq89BlGd+syhxPCb8d9WGv7bzHJyku1/hz eEQY9cX6PJqNuVGXhS5fQLqZpBLfV5l8POTBdTQSBhRKD/gNeYsHSB/quJoeZ0mZYbMgthkkXJPU 4xRdp9RcjRudy9ybWkuHMvut0nNABfBUrl9tSHVbvN+iThBe0bdPcEW8txuALaAxKl5m5R6dgvKp hljJLo7GIWEdjkJ1KA6zAjqBLO4S/PsSI728oz1L6pbfBV2VGToXgTj6G2/R9Zvc7TT8z4vMek6M UzvuYR5buIec2caLCE7l6hWYPpRVb25kNmMN/elo7aT0cjO2ylTSr1HOoPkfc55FrCI36iUAsOzL dxh3WZEZdvk8ulfh7RkwLWHdvyFZuUYl3hpovcBfoUIwUzf88fCBwGMpViREtjKIHPpmR0SFdH/s N0pLLxfSWoBnLpUBpqsZCjDNp+PaEaCJWujnCCg3i6v3I+YfirvSA+dXbpAzwgVAQFtB4zOI8NIR /qCXswA+YFlwxj06kGbJtou8SkntvT/u6NyJCsgPStpqjdYr0CKYjEpeYkLzLHuj1Q3FRmLtTiMg MXK6d8Nrj2Y/10KuWpYwRRByTm6ion0MQ2aEYnRWFLc9ylQYMp+vsTGCV5AkkqeXjNJ8NDZmf8HE vTtyjqh0G98XB+IpY9u/n4JheszySu9lHqM5jvn+cCYaM7+uS4h4aIaBY3uSfphLBgtglU/7DWzx l9SU3QgOpLD7TO2uLa8LDmrsXse4D59MgKChu+dT+CuceCOmb/NpCjS7P81yU0trRdSPG3tzvbpT Pr/EN+OfWNrxP+HsvdAPOTeU+d+D+G2j+Tfbh0KRGENlPXi1osmHF38Hw/xbwFeh0WZrMO+b21LA Wg1UrM1kVCwlmKE58nVlYzVNeK0ytm129n5FC/ieDRig/r+sNcNuyorWoEaV7EKAuZ0fFOQFqeKz fTC2ff2HZZ4Jo+Amrwl7SVFw8FKb/d/JxCWc3liN2cDRlO0UuGBk68ukecHCc7MlFdMprsVIrOwn TUjZWgy37adi242WOiDrLC5tC6qYmTtGWyx6PJrXhlBRon9Hw/VFktSE2y3/23CU5D8Rty+jxiDW XKDOLGy/IzHapu4gra6sfCpC1NuQK6Rorzaw6SFrq1Wstjl2kZp9wjOtMRGdH2yvREfIfmimTqPO W2AWXEm2LBRbG5mq2EVQ+JkwlXQG4rKhVZ1dqT6AKx2cE+j5W3rwdGnRWcoWP/p9jjkX+8gfPKqo h2a30JFYXGlc8Ac5kQGGaeHnUKMR1yUTpm5wTuy+c8hUSNxe0/GBYoOBQufJ3oFrqc0mcW2M0oK3 ING03UayX7k5uGtNOFMxLFNuv+nH0HI/0EVMdsXZaxBON0ImNn4Mq2dJU0v28t4nZydi1TnRXa9D V5OKNMeAwirV6+EJvHb56GFgQ1ojrw99Cr0h7rQLTsDU+u4tZepkUUPI1ZFQZKUWhSaqrZKeg/ql uEpqyUUPxO+g011xSiH8HdagGy8iU7sBQ7ixNLa7RL5IBxzshCi7EDK6MkYDNNm+n6uCqbwFmXjW 5QthGyNyKf1+1m1adJWXhGKT34IDiG3NppwcvqHjKXYboDRAVYYweo4DFiTRzZUuCLbVdFAi4Mzq nS76IS3lgCdNhgmtdFvZJMa5QoIkNuEOPMEk33SCSn0P8w4Zaztfk+UStKfjSzJqK4p9CaQonYmh 3gmZZjz3H3ANjhFxuS7Msdtl544Y6KG0O+Ai3H6AioqxbwDq9qWCnyxCN+TmnmopCRGn7wPWXfZl 0j8MnviRC3ssUC/pCDpRFmPvfQEstd33d7Hm6fN6/hB0+hbPv/lOlPo/jY9f5KY47m/7CAY0Y3Ef U+gRPEqXD6+RCon9LBCWtIPAIjJeGuegtnvh3JH1oGs90qOAFuwDA9H6UEUM3J7FQgcRsbkvujNR C2QydSxTHibKdOM8NSoFnVvk29a95BPJDsCdzGw50Rk4G0aeEx9AiT7LZQoolkNdWAv1L9xiKsqb 8J8FH6LKZoQJ1xGSM+lOynkCeJSSeEGOxuAttgp5bYrMPW74wvEu/FAgZMUbh6G/Uv69sg7XEU85 um0eeiGk58Z7z3//fytbUc1/E/RHtOFbpds6AYrnZoAhTw8eRI2QeaVoH47aIKixRzWYyrzfWNvS A71M2yBwhnx1bLXlRD9t7bn4XOAg8nwEnpn9vpnjFYOYkU7y6pmyTY76RCvf/c5m5dGsm70sJbsC UPXsrA+ak1GnGudBMmmhsvTm40B5MU9ToBnkjDcK95XqA77bAe/v4PqiOA0mwrdLnVfrCV6+3fdS tF07roTat6CA6zNLkMK2FPlmS84gQRDejYKXMjZylXefXuxb8m9MRezwOfhEIS2eKgOXG0j4qWQr mswkDeQHtkjcvUUa8dzFDUSh44MDDuH/XkwvDT860257mxQ1RI//bQCqtPAT5wVNlS3ZepF2CYiH MEDjMh5ZNBHyx44dF2SPeLyGDW0VPFUmqatU6FBcbwT2Ud/Aq8Na3MZzDUWBoWfxTpWzC9Gs38PK AQN0yIwcIwOLv625ZSddV+5rs0AvIBtBfX0lyO3O+2Tz5U507Ovxw1ETLDFMF348hlab2UGSocq/ bnZoQCluuNT6WyrKLswc3+orCU0ncuMGpYsjVlzRzXvzgTErkIWdFiBGgQYInsnOkBnurksecYfe FH3hddE1EXd35P5gtzFONQDtb5QrLSyHjinxA6Wp2+30Itnm4XdT7TTyog8Foh3lMXePpQYL/dMa JMCAI4gnZs5Wu7stUwRc8OJrxiXQ0MBynS4o5cWzHq4gvErm5C5FeQm7xPW4Ds4YR1SDzSi37hyp aykRgeAZn+pVjjechvETXfuXwDORZLyGVpcuvlSuuKtJgdENFtlYIWxP0NF24utPwpUJG72gaJoI 8PQ3TdKbjE9T56uI95y2rwdb3RjQE1tYXDrajrZuxIWsjvsImUTGPeerXatRW47qqAzbv3PznWAK 79tWxjjnFFDkgJskSwQ0sdJHBhJCdpvWveFITVit4Wm5BzPbjCdvZ5phgmXqmqWzSJJNGWg60IDE Ri1xODj8YT0D6tviLxSCuxRg5ZvNyYEP6n4YaXz/P9z1dyRBUZM2VuAxDmOzDYzBDclu+jLpp7x/ PaKFC3NryzokPRcCA6Q74yIOBtNbK28JBsio93pUXeSESGAKPWsz7F9YtHtxw3P7B1mKYo1PbG0F +BAppLK7l8NDSAhMlRkjSeOq3fpk+dApQ6od0k3DIWYLWg8K1UI4vkoyLu47u4I0kMyJpYc8a/7V k40myIjCQCpcHMpj3V71oJ+dcXho+pjdXhQGdfdp+UFxmOs4Mafhp9DwyF+6F9WTSypHIoCLXmub N1s9Vnt4Bx6+m8CfdBC65mwOdppNyOtMYOtsw/YM/JTEdPg9k1zIVrzculv8EPukv0Jd1SpQFtzC ZUhPR0UT6zVcbVxgL1xlCEvuaaJNCbNi+V/CQzeyVsl0cfmYJpMpto3/YF0D8JU5HNM/56pupAZq zkvYRdeUKH8mYHmd2RJJgl2nuyeUa60NVVqvExzgzWV53GN/26PwyMQKxBgc6ievSZI9UefWrGTn 2I4Ff9TBQmwn0BvCtIocY35i3ZUvNJ6hgbl30QzU7A6f1RMGxs0l358V/9qN0kEJt/TbD+fSPJ67 3ZZqUBZoYG9BjBsHE2FHMYoV1znBF2dtmgFurGo6iH9i7ghVbKkSsJcPnOhW+YKGIrjm1q4V8vVy 4FzuJ6hfYjv9TWZUWN1Rl7HZ/qVZp6JGttUJqAr0tCOfJS1UYZpAzk8LnbegMWA4KBicsXusAK01 Z8nAPqWamJxZyKennsx2xSuaJ/3HiWQJ8bE7jjGUcH21IL5niexVQjKTZRw0LMk1/lZHmT4PQ1GW pcGB5p4j38JsJWKwrLyH+jJ45TgINShbepHu0fM42K4i1ivygy75cnPeK9tTUA5LuxIUwP49sODG ErwATF/cEmZPl5HiiikJOBxnQA7TJysT3VxMvTTTQezkQebnasj+Uvue2Zzb2/p1rdhent4ZDsD+ zaFCCMWkpjOSQQMq66cZUuNXNNJ7chTK0QziKzSM7LWfCQLFrFitK1k3XJ60+BAiAglsyD2CGTfE JpBsu0xZiVPjD3JHHMnyb3lLN3zCEWm1btlymQ78fCFRwF0qngXLhmH0XkLOwXJKiJwEPSwCn0XE vJweiogIw3tJWX8ffUFn+9I1JxlHsDgd1YEdHbojh0QtQclxVgTFTgK+ZbFn9U2rd3IIvx/JcRsW VIOvPKvtJ2rG/d6Wg8KUdttJQXJ2UsGgXxRCSN+dMUDnsG+icTbZGwQ+RMYsUeJ1YDn9aSSVsetX m4ATUWrwy3MW3tM3qpEgh9o900fihRWX4QyIP6P53fbc524/2mtDAzqbA9b32hWz4YgmqvzLjtdb mRQ2y4KC1xazyCD4Q23bXUg4AEspfesKt+i7POmZICImvz63HbRFe243fRDs+MKgqAXrPSogbtMW 4/qALRzm9J7q1lWyelbvcxzADOo0TBVB5CCdaIEP69iHarT7G0DOUOLWa1NR7CRFruCk84PLipE4 XZ7SIrlQZh48ycae7+Y92ExnrHFjedHijpmdI7l3HRoGWtQ/wOYW8zHLOgbPGCqb5LgcPEvChMH6 17LQSrqnCGyhZt+dyqy3/rzdtRxgecOdq4aX8GJ2QTa8h0KCrKGZXJE9NJct7cmVu3/GHC41gki7 WlHolXQJafUN4khx/YdrJ3EuDjs8bU3Ps5zHLqDi/REvjM6eLZxXReIVvtrO3RNmkqaQB/PmpBH4 5aolvHmg9Vtv8YvguiEC7Da5vg+ep5Vw/4ixRrReOIPUUwc7zd8SZqjUgDQo0WNao25hV1l223MF LznISJKuOiNuygIl2npaSMcwtEIrTYZ45upHzVk+4MS6DZhuaSYKOgcI38959rnSu/sGzMfryJNB 2Hl2voLuYnZz/diMFWlf5be3Rys5Tto97kG8j2uqFuez54Yzd52mFvSP1xs5SXJSrP9POeH1l1tr 283SUoPUmRrMulUacx1NmxilsDp+iJ/dLD8TkO8Fr/s++1qCV/FXS6dcCptCvkas1gBqZRsdPt12 e0VWiozSUR9G4EyjAhQc0BmVktXPwz/DeYOogiAWuXAvTaiUZGvcYheMcYl7XYYyw8pJaB9jdZGV Ptv0Ci+BqxKtHHFhi5VsHpl0R7R6cwX6ogKjt+sU9X2/YOSLfKBjgG2uajq+CExgdmdAUutri3FP eP4NkZOEbbbD4PBk0mWC0+Hw2m5xTqpS8MRsCqPWterRYYU9zCwr0vcrLHIGUKRAaRbpTdWmooVo G3UmYVYp1Rz/5B0anwIWfqndco8uC4M8spIgEuKMYn5bGHCVagNbU7xJDEoqThY5a+FyIPh7QuFJ Fskj+AAnYhj3Sa82kGoSDcySv51fqzxV+FJmwV5ckMNNVGnxcv48CHKQvvSv4589uxceQUE1VCe/ lHzKwOJVoiRWpFLc8v5Ok1qI9357mpJ6adSHljqXxNl90qn+Ty44vA9rUwmky7oqyDSlFUkSBi2+ WuaGKQ7b/xoCP1qZUTtKNO1xfD03Sf4f7G7UWYEJCBx8OTOyDoRwhQY2vI+gHOiwZXWBkmPJ84ar iebzFCBJp64UVx8t3Ue6GPby8SVjgdT3X+dHmUJ9k/BhrkLHbwe8WNjKaHuAulqZu97sR8Ev7nRA 4iw5AsGcu7GAkzaHIL2zLC/1hUVtBWjuweJF9g3z9lICuPXMosYYmvrmjgBX+FAbqDkqRYVDbTL6 b3CzGh2pw8vqRzrA3Hkyf9m9NfU9fGVkjy3NEXoVebNkGXP+/qZ4CeYvOx4526HC2PXaR9hlvm9D PQdJWL7b/ybVE9fIesREECX994pjByz9kD1xNKf2gMyGW6TUdaCn4jbIkQOjj9x26oeqkd0aZM75 +W9b6gsPhUwm9mtrCJx+lImMySd2QLapbf8ZxnZ0ANXTgYBA7OteTLhRpqJkJ2TgYtMPUKz3LdTP XLP5TldmVOcN2CY6thBUU9SKMUbs2Epz6PqbTlzf9dlbIwxmYy/qBozm8RHpkNqfGLpfUxzy0Q+I /lUmrFPOk9WL/PjepQm4ITR3I7AYHyj09QIry2fIfaai2TRZRdRoKTJC9cpzZs4AMINifd7E+rT2 qI4oPI/w7511q8CMQ/NFMutGjJPmwi6afdPDJ8HOdgYA27LGeuFqV93phcoGUZ3uwIuSSdE7HL/7 hah/H1oeNWnUdrod7ax5bnUUnvudiUXCjbEvYixUSCJsrpRUOUTlPKuhOnjV9CLS86YRA00Eag14 r+xKvFFgEXyo1aFAHixXomuGqd3dGCY9C/xcvKxZlNuUTvlrvDdOkkY7ue2MWst8PCqEkereAasQ 9NIsoaJneW1zgde8iDZ2139hwZ/zMCYUiMEYQ6fgxfrGUKUUXiXzVnNbtZz699NkoM26MCEQTqdg OWMYrrFAwywkXxSrZCcfpEzv20C719AAScdSQpiQSaPjFcS0hcsRXLm6sy2w6SzD0VXUzCWcQSUK EJjUPq6r5GIPPqSoLe7SN+axyGGqFl5OIgTVoAqoVrB/qa8ClckzRbI34b+PMsMN/V6BTVZtrb4r SXfVpIZ769/OPIW34UFjbxhXmEiSR9ACEcqnr1oHGKHLlAjPmOkGkFFs/vdq7cRGwx8j64lY8z/n xGF3ifdZxTGNpv5Fdzj0k+GZ3Qzo+xqwGHP8xqXhAKyHlkHdnNu/666fskoJZvWfF8illN27pNb1 oC2yT6H6koZnySyhMyaI/+kknxZZtdkUxxT9zHQtshOMatSuF6aHAEc2uMB0MlbY+++tgPizH2EA XOsfGx7SkbKcU1hpK5R6Q3XgR+EEfPgjmzpwbhEemsuvNQc0lecXFTxiAMNGVXRnMLMbWPcqniT0 MNlMaAIEq8bOSomMXSNgAjnAggIfgUfZ/obzQXdAdKkysJs+w3H2iS7kYOE7UCFtIrM/OcZi+6uw ydN8+LG4IGjNUnlXT/5BijisIKAqjpDZAQShyofxUJyo8b7aRSfi8YkIZVnAu/4lnThMcO1Ax1vF tH52w+fnxPtD4G/P6++emRQ+Rm0pk+cu/BjthDsWhGLJ9KOw82taAu2gINqWi5eAxtYNLBhByS0Y jXSiiYpNJqsF3tbnZLmc8vxRzM91OHnHH2Cj/T7Zn4UeaWKxzJbiNAXNtgtwHrb9gNBLP1sFV5fu zzAvj+PViqKVLk3/1HlJJAy2Su8hoWKeWvNirGMXJOSPmpzrKV05qyoNgDIz/5h92U9o1u8BNurF wvFZf+OVGsiWIZ1fYAUlKhZ1LQGUaGXAqT9xapdpf90/5OX/y/pgtWFcMQM7maevwUvRmZu7lV6j 0KVKmH47absFhYJ5gzvxcDfRCooYMbBb65mLlIY8XDtl49XgUbeyVeH2c8aO3Hj7eUqzpNsEyGxm /vw/6iFsDAw5KP28Q8N1kOsqjUqv3gW6SBRK55nkeH8hfzv6deptvov7+8o21h7hGQwkaHVXc2V3 bPzEgJyornJU87sgiKddYMUPfcq5SB9bl0xzd7C5zH/bYXXTNMz648fOrKByz+2FCrfuCNX+aaYI UQQFOQtfnVw1DDD3TeGZSC+LV6+os2udeyoUar+TmBOnSdOnyIJ76paG93InYl1djlVrN07GnCPy 6TBmX+hqLr3IlYsW+xbTFjQLTyBQfxEa09d4nUp2JqJNbi/irBzQHs6d47UvWsj5/79oEKwvw4g+ OllMH3v9OMh/7ibjMGMdKh61FaIBKumaL/XmNukMDVL2BaSS6jR9Ylszv0286KCy82DMgMREH3yW 9toZZQZcLgBOHDaYjP3SRRa045ma9zZZypold7BZD+tADRkFkR1MxSb91G1hiot3G1qQrOxR0sAU QekdEskxZg5l3yeKKqCMXTufFLX/Rjy+6mpcnaqXj972VgoVIx4EBJiEflOa61PgNjQHZSFtBNdk OV6jLKZ3KZsiaxC3Zayy0DpD4IVvukCVa1ZlCzEFsjkMAX84R01RII236y1rupk3PSaBMtVQudG0 S3uGS0YTZnSoinWDUGfdhj7ibnMTUZ1u5ITUYnenwPqm/Ynv2IY6CvHysIKz2Z/HNlIMSsCnGIoU +hQsbjf+zLGFvr+YoMPfCzYT27dBIwpHVL8DPxqHnLN2OYGeTb1xlqF9R42xSVyGOJjlv1mhjlZd TBugBxllOgH6QBR1H3Y0JtRjfnpXgRWpePOVPem6CGvslNhtXT9x/LKyLmole7HdwekBG7hd8V0E ZRyVW5McrLO1K8B0KV4cbdqE++0Hod5G2RFRHegaEaC7/+kDwMERFXX9Bhlrk7jBSUny2aEtunnT xALjTj/ex++LCZUEA81iSAk2W7jXBdjyRkQ30MdIlFUGv58xVW8e6ObD/PfQcIMPs2YCviqBChWl v05oe3CLw58mNF42vfccx1mkdIvej9m97GYgFgYifeHXYFr1yP8ilRTQRdGbxghWMkQzfAba2uhF /wIWWhaql3Uq7JJ8Kg7vNSY8/zX/nWCdDdnpBd0i/rkdL0XF3b5LzA4oI3Ncd+iZGBOdLTLmc7eb vsylEufJBhz2ZiDvzJeBQqgSzpVamhnSmePldQvMfB+Qw7NVNTSV0bSHeGO4MgVbwS3a+ScR7ST3 xRB68Y0DGFHApFoh89LJpCPGFo9lVRFdYDboWzig/VmnzWoVHMvbjLQPvv2pOcVbaXKym+n9YBVJ RHQg0c/hL/QI0hZbqgG/0cDD4zAbvZnfOZcoNZDUrBs6y7+zjxlE9TBNXICkO4m5V4MuB8etFg2B E1eoYOU6Pbqf8SzLpGJX1wg2H6lnMf9OT7Ux8taVAptujXimu6pENwnPCjHtyEmUgvHGfPe549SC dYa6Gygh03WwcEcgDtKkIf/oP/Hl1AEkW16vj/84ZKk62okTRYZzBPPOt9uEk7kYmfu6c7MHxRhk Opq1WTKK/jMV6mCWcJCDh0N8QKr7VluFeo0/RhG4hvkXNLi5PBRNo/N7hF0bfTM2tq5FFz6pj8vX xor9Er1dhBweB2nCapNuZUl93x4I7HRyXIBVLY9BVPKnhCMTqc5RyVycdjltlLkTKiew4ZlIVqJk 2X6vsPyobB1A3hxs3wkicRQFzayjE2APblgooTpTyv64Xv4uXdzhFdQjyhpXibQkwPFDBfFAla4p h7gLRL21G6TO0c1w7Qe8ZZpRiE2t1yY6NWTz42GrLPeLxJfcDzC69kOJNsDzQyxybFfqc6JeS0+G pccfzml91YBqCjxeWL/ZMlUH4voduoYPgkmrfzbezQz8nVkO4sK/Jz8Elgdo/nTJsbWvELE3OKs7 fjlH6VVw8k7pd/Nm7pvqe+6RigSv1doH1cvVmAAZUiTp6oR/I6fiQSOOY4/ThGTmQNmk06ELVjVf wxCbRwjslXkBVkGa5zdYheIqbkG9qmc0UXHQWDRNYgjJBlPQv1EcvS0gn9PBHqwqyXy38xtLDRcg sooECutWlvrnXmJU4vf3I5ViU+4J6h/JvfwGsSs2zDyOB0znAb0UI1/PjDJwkkCwhtJ1wWh3D6Z/ xpPvXYUg+QJVaQ7H1b3hGlGdBWTWhVrgo1nK/Ho8aLgHxt5DaJwcGu6ZhPzGDnjuKpurlnE7Otcr 2it93nFEDY/w+nCMC0BFombO4opk89DrPise27fSu1nZtUNzjOs4ePa9NHrPmiqU0NPuNKl6T4t+ pwCtmPP2IuwmFOJLcOkfuw6HWqnGaFXtVnb27miSO/n0OPkUCVCpUTu4F5WgKjdQBZm0GCeU3BYP iccP3Hj3BE/5xKBFe8sQ3l4ccARvqLYBgICc8Jgv2eBDy/vgPg03cp482pLmp+73BhU8hwW3TUmu P5Tsy7qLc35EHWt8dRx0LbX1flo5YYhoiTy0HEjWtKFK8tfx4kAnravMCOKtAbO3f+Lk/SMwuE4L 0IDAXoE/jePJ0Ck5NuIXJYkkflSqhqicbs4vjH9iL0kS84tlusCa9oLdC0HJYfdZ8osOYsIDTD1W +I7Lfgg/7cgihQkRQs8zNejSGUsC9rLKqswT3KIAJxDzupof1DcqlPIG9+ntA0QOjsK+2qGNcal2 DvqkJC6oAiv+vRANME8WO3k/ab0zQsXFGEnbG+hpxLuuVyhifkwW+ZQKGrPe39gmXg7SmNXNF7g8 TFkM02HC8N+65qtf5YBMJPAwqqfnP5MS1SSvnR7RUC6TaGNr5insuBhJJ28+CS5QlVe0J/WNxUsp bAHl7y6mdd549VRtOb3yVFIyAp5+2l8UN9ZI7FsodrKu+fhr5XfIvepvLUz7CPFHHP9DSwlmNIHb xlgALwzB8Ahf30upDXp2Eo1Nwidm6Z8eLm5ZzbgQ+sk8l2fRTKUHVfZ6cMQyHKyZRnGbTOhqgDk5 ullShYyV6MDULybmz/qWOM7NiIry780Z4O0H6vTqOfHz3op+/73sLDZJ0oqhnwuW+Nk6bP8MNWqB n/Roj112uOKT5n4x5WO1e/Re+v1QIm9qMVMivBTgIw91wYon+Sm0+3izf6opy1QXry8y4q7Q3Rva 6X2QJ9UksyladMz16GGibquejoB5TZDPkePKn9goE/pRCHXZ9xRXFaR88lhwQ5NsSfUQP5Ag5uIe UPvl8e6SO/fxQfkgf7z9Zony8vxQYxRint9iu8P8V7gjyNUN3zHIk49jZwwPDJZsQWjmuw23RS34 AYBNwN+4j9iJ0d4hqxgwvCEljGHkKcp4kjCNiscnLq5d7f2oorOORBz0kEM64hKA8NNFZChlHlcG 7w2DRMtzDIZ9jjbksKxeA9FhpLjjJaqIloK++ElYQrLR1Je0yEbGmzrVDQGtLgJUJCNnBorbWjjU puLO6EoI8+Pb8ZweOOt41QOSBC5vn7tiKdnjog7CfCK8ZGzMq5ZPswDd+xKwN0rxne/dz3lZs7tT +U9Am7Vcc2XZ2Xtd2t0wnJzXMIfdn8fQhf8H3TRWEOyVg1g5RLYceSC1qEGWOhdbhWjk/utIWTbA RzfPGZi4s3TMXrkzk8+EZhytQK+v5eRHeym/JNr+cS1W7m+MkGnMENww4j9MqmLUrbepDaDWfs91 Pn105sKXziWtkxbmk3wr7hvr1ueim6bNZ7NHIvjk6cH/KbH0wXXHVcx+SG/Qekf9MsHVF7itnvYp 3PUW2KWlQrSuwa6Fu2HwFOhSpyYBcnXkPhPT0gJRGPDkaXV5KVhpMAkGZvnb9BgbM1TsXO2uVf1Z 5Ge/vK2W5dIVz7FrGBqln1F50NmkLOJ9MY/BlejmU2d1+yJGxfmoGjuduMFWGOKiLpkuIaauFK0g EmwECd+FtmoSdIO50suI63DZstGBSMXKYcW9cBkKD9yrM9F2L+OsB8+bEcwlMA62OzO5236taWOm vi9X1sMeHpXrII6zjpT8uaHHuzjQJcnqbwFQzSX6rRZWXsPjVp/zCePrCPwZ3RrxQ3hGDduIedJv yQqWv4riU3pc49suTY7gwPcE1GTVp7MC9b9SxlO2dWtclvZ0JPeIWxqVCHUyke/O0vw0dqtNRLwm NATNbZxuPmCCU/DuH5oXdDaf7KE/4O0Wl9d8Q7Cbbkj/Zq6Xm168dMUcAgVHHgNtYiKlmXn2lE5F 26G1okp68P3AZOMutLMrLwuBcCzfMjfgACYQDxxotGnXTxCoCwZSRrB/un5DvCbWY8jQeVj8N1hn Kq0+uikR4A7y+240RfpMlRpUsXHoAHKGj78oo412g5qZAbHxHNHAp9vm6jPo57HESUB5BNLKZ+jZ CA4wC4vUmekdm4MVnspOXfqveEqWJWktyzfqKBBzfvnrG2I/ccrA2khQLDRhlL1jMoPAverxzq9V 8pgkQ7do9s1MN8CQZxPjsOfJ4d0gbZ6fQci5/wA2yEQ0hm0MmrNWOslyR1etC+IMN4SiVWn/Qmm6 RDhnFp78VeMDju/cXuHUgd7beQ4ip/ZacGwhemb6c8KGlyXXkonOE6Q3GbKDfkASiiRBBS8gLwlK NPzJhzF9YXRnhHKdkCX2BMsMFfpQtv/eh/yqtiRWouRouL/+F3dxprVLrOaelj/EFNNBor2jUZpp AzyQiJG4sldw6zaNEVgpkruiESTm/8jfHoz1uHUfoWZF2bJEKRTOD/1Bpwwsh5lMmUYT/HXrqfs2 h/92paDKkBzPMTdQ17Cj95k9iIjgVg6TqjmbHq2ujSsWJmrwVwhLOlOMqqktgTrD6XIAk5XtXWiS EhVHh77lHB/8zH8Ip3MHPg5cXbtrTQi9QB2cSZEt4RYgqCbXtaxEWwLlGVJa25A2kgfRjxSAVbiy YV9idn19js/P9Yli8ZRH3zfgJQbDTeqk5hwkYJy/xDl55/M8QEiKXFiDMopebSd0SeDiPeYikSTN voJnVl6CR8qvZrVC2zJWUH5v0Z9gmAXt3efdzkk2L1c+nDBvXh6+tO1R/wAGyn9829k1Hu0a8dkQ k8Yq0v5pILXMLKxMZPN8cmUT36xqyhZabWk6vWzQLy5LRn6GOZJNS3VA0lklYG5+lCbdyZCZu0Qz fBSpY67StUR+XoteUHQix2RjUaZgTUlrOtYOGR0wcLRwvsdcNxly8UPQNHl7vZyknomYjjFKsxaF QOwnSRuOEhKwRd+B1oD6FOCg2I64HhnR8HW8axHxCnWvjWrUaWmTQxJgRIr126GGm6i+EkMeSG1c YtEvs+g/XwSlhSnqsmVm3YI1ck3wd+BYhD/hzIdUQAumFLn8APi07ctD8WCPch1Xlp677Hqf+08n Z9QjASBCWw3BEY4WsdY+jT42e87UgC2IV79Ah6d4KCiFJH04V5tx+7wIIjNMd7vQFGSevMPtYm4s PKl+l81yhKH9oNwaUI7MLTulGuQfUvyEHZkyCVU9sYuYG2Fag9S9ZgXiaXEUKqopBo4gYXHi2zT2 8jw06EC/ERJfkhdmsqgnx94RFpv1n8bDeQlrA/GcjogmaIiRY0Ft15yK7ECrMQAXYsxbtvaUl53P S29xeMHxEH+O3FadZR2WsDEahWS5GAsDDlucPLx7/9wfL9TRV4hFypt/hyRVhIGxl2il/gn7FjcE Ss7wQPX3+c4aM1QQW2/2lRSoDBjTnbzeCwCqvyXqnw1faeoV3qDmvitAR1HaUjrmEyTqFHW38wdJ umBABG+svpFb6GwG9rxQW0FrqIM0jmuLEESBFUxzBwjjbgt7FUwDq9W1OWlllEtZg8RBlfJSgG3K hf90xcsJptdAZUPrOiPMJKiO3D3Nih6hrJRHx5dyrfeAEdOFwwY1686ofCh/OzPnHnB17Au7VeSY fPQYW5M0uJ+vBS+9XFdMiu9R44rQyqbfso8Iwu2F8LyUhlx19Wo+sEuZRuqlAsnT3RKHSlKzltvs ynfqEJ+gy2Tvf/n9lG25kibagNkNjrpwakvbg0/wdGVTJzgi8ipP5pKiSV/iuIwtdD1fbizTo4Iu RC0lgZTxmonbB6il5F/MvqvZJQwUIFZ+Klwn83FkDqVs8NbTkYKNdNWJYHWcBUMt1E1rDVaj25XM jFbBZJCvGS9Jh7pvlpg8fKz43PZ55n8h2rtxkOBj2sYKoC+sx2AU+ArKW5Ds5qx6wMOW9ZbhRl+L FmRygiCKwlVCLvvPT2uwZldVRj8oUjCvX4aJQ89X7WmcRrk8vzj8yT1E3sm0O1uCmWjTGMZb5z20 UcZp1aDF0OmLTpX0B0k7FHIMANs1yctgSDd9iavHXQRurOZldLkNvE35/sl9fu+JxtGVazEFyVeT rj85mTqfKI6LcbVh4qV2UyU0iiHmCAzq15fpwNnyJaNJMNYSFdY+4WMZv4qkzHwjCo0K4C1CFfUX wpng8ySxDfYfisWirf7Fksl5wemzvCuHY5LR96G30Y3pBi4GMcyX6v0JL30m35NIGlMTsOZRT1WR /E6e/gc2agi6EVzf6uzgemMYq+sIgA4GqPiEQlFQ68Uirdvpn2gpvc8BL4DGEoNIZQQksjOhHqgw 5ZFuZhwNkdIfmMp0RdRdmI6i/WqymzWlxznGxdIe+Z7s0vpgwFexAAAR0lOubEGpRqtLXAu9/Fgl su57eB+agYE31rzaqp+/AYb5htV5Juh8+zrIjvH4UBaXntiYlS+FVUDRX2U2SS0qRjtjj9C7Pv/a RlSlyK18kLxL8p2x5W+pQblIj6f5/Xoxp70uL3nbG0JZ+hKPHRcBaqq/9I07j9GeOfbp+q70l0jH vkYxf4UGyzNOiblq77bGbL2WKYWlobgsHtvZBwUz+Y6h8wIhmsuvlSq1JBVdZWBWxVk4NH1ypwER DD8iDQFJlT8eHkutJACsbQsnT9EY0//mB8FZpseA/YppzBpqmf7J9gcwVl+wys0/onwBa+m/2dH5 DPnofh54ufy62vy+oT3bVlAKNbqPT+KRbPYiIF6PuUreUxM2ZElr6keEilPhEMTs4a1rVZmTVwaR 6iTHYs12YwKPcXvskK1VnL92iVfhpS4kQF4dTynhpl9xVhyuI7Lkxap3JnPK/PZExDCC60RXdTI/ 2LMj217i9yta0FFmtKgK+c9VSC0Dlq0Jfns9ChaYiieRM1maPtoAXi892YxsNdirHv2R7YM21aOS JZ/wYgSo9f72mpvTrY4bV85KqpXY1RqmrFwPVi7HaSP+Pm4rfOmX6o3hjl/YydfPFeIZjSZHckF6 tjRd9o02WnshFmmHft7sWw+1vVNJWan8ZEbpzkcyEq3wjVsCmqAyDNaNtRKVxnBrWD9DiXF/hKZp miEW7pfH052ncLnLA9dcy61Kb00qf2+yNJ7J2mDzGqIz0R6Xgy0H9rjRfc2Vwt0jX5A4vaidgqYS GlJduLxyJ/EAhPbz1DieBXdz6DNWZMz9Ij0GAGN15UU1qaUBFcWCW93FjBiGeYHbohqsPtYD0Bvc VXvKez5zxcJAzmgXRy7XjhoMcDqBcNX/dBXij1Qa+wxz2BT6x7Vmsmj/tT9CNoPjwS0U+Q2W9SgI WNrnUU3TpkuuT4bcemttEwOYN+u64m7pjagURfvkElkfB8CVkk8cr6Q2r6PjIavhb1/BbiXv8C5Z MdDBjqQ1vYlkMRrrY4xI7lXNbXRKorrJuKXrNtDO9l7PGLSw8VlFPPz9qBX2zJqCMLSlVaAhuMrt LE06asS/Sh6Fkafeha3pgNFRHqUwuNoe1SmAoMfBghjDBggwtKt4d00oUtAFsFP+bQX7vAm18h/9 Ce8OkS8eIFt/tVwWfMwSF4H3m72ubHaH/pI2qwC0eYB7tWkYrRKAYO6UMRqv7P6EBXX4qZabwoj8 RwcqxXiuqGiH9+HGVnjke84jyxVeuE9tS2YXsgkNIUfwzdUKrQjOrqIXXnHMeTr7ZqpZgRmIF/qN Leh49nvW/bTPw4Z0jwf5qqphMQvXddomUeDPiaFQpPkvO/K6XWSMPX7DOJtNmciNplCF14qKh3ZC uE2ECIByTe+Doy0XYjLfvC/UlKD9KQwPFgmrqkbXZkSUwm0SRK1HQQWPYjYIn9KF+GeGcz4cBHf0 fD188dKAEfT3UISyLZR+utHKO2DEQ+OQC9HnQ4vSwttju7tl4tL5kSFUH8gPrwLGHh5jAP+VRd5G SMUP1zftjSaiYQL/Bq81goAD8qG5IsUv1Bb+7oO9NfLB7otimvl7h4rkNMrEegDxblsFloSDj5HN Zgr12Ma/4xBHh1HXZDVfki47XciKTyDgP5wFmzJhEZM4mC3uXjHQl8E2yz+dCpMkgA07rGnLBtM3 4JiAvp8choICMenlIM9Uq6U8KWlydTiCxb9/YxSMw5XAefloUUR9eETI4gkTAljLa9oTtUHVf3mw YpwI90qscJe+iw4SL3PuWq+pwjPl1aMgubwYrXOjPikkJRN8VNiwgsGjxghb0EGGIU7hKeAomvJG REn0Aonsv7Z7eCjDofjoiqGjCPhfzFLyacLsxWRM3McLW3u1s+aWPXSA7xzeLaZlVLYMczG6hG6E DsT97EOSmkSf9XVH54dRo/63AJhIvnNsMqJl0JnmkOneiF7vqc0GqGfgiM8TeFU1yD3oLfK7VcPd Fk5vqwIDOVousTeuFl9vcS6BaiKFx1/vGSywNPE/ipRf+GoHQT8gzNkNE8bxJW5xLWW2SLudYTuu xe+296X2ztP0YM+xllvym6gcB801LH8bRlREZzVJOHsQuphWRuBoPYkM6c7naN2+3D/aipBAp1qj 9gYnY4Ej9S02jiFVW4GROqL1w6RTM0c73qM47dHaErgKV8O7UAK4iJtdgZywknUuVeb//PxkXg4X P72qdUhfB5CipxECJg5oLctUQQpFmtZpIRCe6ZG69622dtc+GuLCD9EH3JXCC8/7pF3Ce6uYdL4Q qj4ms6o2f7ffdSc0lMsqSAhfzexmX2TRhMTTlS9N1iYDnIWFTT7CAC5PvpNhUG8gRKpf94NfiDJN kwFAhdtXHrotsyd8RtsPtzM88Xlpc4zZWkr0Lq6H2KPEZvz11r3yO8DiKBmo4Sxi61nmdhz40Pjr 95oMH6fdQ9W/ciorNDx+KBfrzNASRX/cxXZeyflEH03Tf+i5VNd5S+RFHuQJ8pQlfQxvs10leA8D iwUh3sVto2wVpobJF2wRCcTUKShbwbXyZn7vf3O565nmGuSIdQNUddjIshcTJi9ocsMM0CzbweHk E+uBGOtvMcANS+Z3U/9ySo2h4j50qXXjQEYqZF7mmY407ICnO95TCLZzpGv6XPsnh/gIEYQu98nj gixE7dkOFEURzyJ2GT5vSB7251pw5c0CzfZkdRRpWzDDmKLw+19kRIbD2r8Py6nwkFJZzjmSJWtu D/WlZBteRUFPWgEwe4COUano1v9WoAA8u+glmw1Q0hifgWMj/4TVQlXvDIzUfZs0cKu2JEVOj+k8 X9/s/jtHdByF3TpQTmtUJc/RSGxoQcrNzlRGpOKXvt8GkByWuk2kh6ner8yXjB6M8RWTD5iX7D2N JjkLP/n3zVpm/F4fFrIfGq1pQGU9Pr4Brlzt5jK0jG1zfVM/PysAh/1Lvu2ArERhRBk5bWqkirmJ /CaKpaTpYQR1qBiJi45Q8n2gc9WHud9dZa48KOXMEv5npkjujH71o7P2p5CumBNJakIY+cgFz0BP AhJVrbydjZLOI2cmueEVI5MU8D1sAwCP5wfq2uVqC7KIFW51OOi49Jw+MYYutfq5KRt3R6l+/Tui 7Y7JhDcJFWOWnACyA3ahN8K8w0BuL9wt9HslivhYsDEbgRTE3ulArzNIkoETY9ruuwxp6A2cMNxJ mfxP5FyLlk5EcpFUURbVugAslORZYHX86Z2ELoGqGdANRbmOOf7OC8QF2u1UQVcDLNg9R+N/rytT FA7JlQA0/A9+aw34lk5q27sje6Wjex9WVFZkeKGPy2lLRp59uUW8sCAQIw8VtPhN+Tty3GZ91aSY p6T2+/oshTlFHV+GgRlIXR4WgtGOjYDeMynG+B7S49GS7cXUtf3k0cqO+Aqz32C2LtrIkrFkcS20 H69qs9IU647Yim9bus2B+PXqAU1TbMWAgAd7E/KIcNN1T1QDCxbilUswEAs88f3i49mqYFa9ZWRz mnf031xZ7pA+xQWGhIvjqqNBee9aLoPNPFT1yZyq/+JfugnT8vB/9XHLmum/fqC6yfKSvvialvE5 SpMGaqKscLJb3ZPXw5waWM/Cky56h4NCPGU4EkJvqSKUugEZnHRLzqANIx9urzFoHPVBlKsJYSfF 0ZdDpC27tIJoyGXKl50bej2pdz0V8kshbm2ngCjBE9w+nTUjPf2fs3aWe2QjCFQTO0NlW1OOOfXY YaMltI8qNdm+kArYzprR4sVwEjdNsyJXZ0GG+i2JaLQU+m7BgG/uUEjQ7WHAWdjkTg7kC6CFLMuc Ahn9q35+F1JVHYEY1J4v3JsFb41a/Qj7d37ZZrwX7YEvAk5LleUwGvQPCOMf17x00o9uoZXyeOzR fHQ1vim4zYjyQKPaSqDj952i04n8cInusQjHlt9xamFlHTRUOzg+cqOIizHHOgEbNu2bJ3LBa0IH kZ5WyT2pgeX48VCPcXWnbEwZmBDnM1X3gmH0vWKx36bsFM8NWHfZQTMFjc0EX0AXdKYMKA8zaS9o gVx8crNcNV0npnsk/HPpIOj2d7BOV0tVosCor6KcRH/glW8BrNuoMLYM5NF5aDqaZtqUok3rFzIM AVQq5Fn3iRpbr/TV1PxCNcYlGuT34GM6xDIgnmH+T/cJKV5z6GaBN1H92ZV3FI5UZAcuDbT6kwM8 DCf476QZb8T8AAQ9CGQOFCpnvP225HUO5UsXI9mQsPUQjXm9npFHvrvTjZ7P9zCdYYpnD6QNyTDb gZxRzk0QXmWbMR+T62WWV3fY3aYDtG3A9ocNIXH+h/Mau4Qbvx/WYt3Gy1MMXdxI3t6LzPiQCyx6 IanVEIntfL55Tjf6JpX2E5vY0DJ0AFmiCBxMJcKByYsWUoieAOVGxwi3/4dHaQtqeVnL1nCJ1FBi XZ4T7KhpMqHv13zM0lbPIzHU7D+6znvnVtsBuJcBryrb5mUPYXaN/Mici2bLNaB8otCYkk3Bd3GA sN3l0RwJ8dznegVBrErcyW70S6ckj4J0/o1ODGFVyJ91augfiQI9a6FEN6xKYAaLayJoM6sCoa7g ZueJUxQrKbWh+9gM70paytA14ZQKaxbxs6YclpqrFlxxd60QduLzJy8LVsbHLGrRXNzs1YAIMPvS EVtxa27/2HpfwlcfX/BfN4BpL3fHcFWMm47JTs4Crg7IV56Xl0QxMiaQlwg2AWMUygkSGcqi4oel 1FSxpjIhAvfK/uDUIpnHa4eKFSed3P+l1h4v0rZAIZeBjD80F3sVXgSKCUKVfTebLuhXn7DBx93e H5CwhFrKYmLzv/gRq9/6fm7Buv0YCD/uoDRW6lYq6nhdyb0/1bFP38JKm1TsNs0S7eGQcoiXYu8d Rnafsj4sRZyyrRxU3CH8Cp/B3+vHalDa63ip+YHnRS+nKiocU3o1VMHv/CwoN4ZxaPlXNwngKt5h 3xFwr3j1W1rD2eGy6XdGfqC2Daae6pk0lgGLg4Opw9ziiX8I7GIzm4tgpTlmSlBIUGFdxhBW3u5J WziMal6u8NKpDyI9bgDus3jvGgcdZPEhFMA8RROarYm0Q0PCauljWPfdHDxh3aV7cu44SgvSUQUh hiuUSRCgkrdPE9aas9uSdUeHRhTpzXPDkcmAtoT8lyLSFi60k8AjFKP3MjGQoNfYAPhkps5wcDJn EonVd0DL4AZRBZkqk/7nxA9sHGSB9L/OaRC0qwEzf//Wati2ozGddDBc3RLBLlOOBQoQP2+CKVc/ dk3R1TPnkSsLwZCp7XKWovGB4QqvGiOURXe3rpozTA25ZUjLdBWI2+1Sx4VtoxVHAZjhb3sb0mUS jK4+255Pm7q9hPF+IvUbp2GBOzQ6LiFvEkD4h6unqdQ9ynp7IjNvuP5p39zL1bojN+mqoUzB6fZs L7CVfh9EUyQ3BLsEaxLiiO9gQkIPZFV5eb92bbA8RvzRfm0JTKlpA92yEpJekYZoOmost3+MzX7W 7ZwP8MChGdZMh/O/oev/+d56qtvpSZMDrPoruLmkgu/TPrQA+97HBaibJ7b3vKUcL7uuqSIiDPMK ZZGiBOGiy7zjGRSZQNe4/MH5B857Dk72BRDQDnDQSkaUD6t0198mCXS5dbqceTRGBeHppswj/a32 NkuihuA/9UMKb+5hllaLu+3ZxybAobiz8BP1WOxs2Spj28s5usAC/zc8/jVfsyOzIepFfxMwsWYv 3qz+6s0rEp/7HQqSXQ/5m9+N4ezgNW6qhWnOzgsVKtsJ7AQ4Too6fSTax15LfY0hKSSc6heTrMxq laBvq5WyVVo6r3r9ptox0TET74rTNV9l6dhomDRitQMStztS8JUk+2fJa6JoT+vfbuRSVf+rgpae sKz+MwKK6yuKVVW+0kKMFoxc0KF5yAUTBucXtGMK3a5ws8Jd3DyNYE9ltW+3JGgoujPEqko8asIE csPAmNaI7wy6OnTYW827gKc5LFsGul/f+hlR2vRRW4FEu4dfOY+/tVaB1cEcii+CVwPg7sYpD9t8 8kfff4ufT2dfs5GdGXcM90TrFY34di4Rvk17uTMv4GxOtUx3LzvquVd6n+/uDcg80K52D7LHBTmB uUgVpK7M2PRAE+9Mb9muw1LnJ6GQGUcN0qj6w1ecfVoDReyCuTc0x5KhQSrMShPIBvd7yxk8eBm0 YshKKRuehxJ51ruti2eLrtPTp6pxE4yO2fmmvMwWleCDrM47zLNGeMgm0tmD/G+tB0/wgm9xrrxC Cp0sU4d+ZDLJT3cifnCEEdfqCIpJYsy+xfKf4shVlG7x7KlyS7sC9Pkxm6Impxb0AUSerhIFNDKG zduCOGg1gUi+XjxzZgU7MeMLdQjRPE2dUeI2P3v0fbAG2UhKwdk/1m/ZORXWhFyD/+546qmkeGj8 7NvEwvLd8mOrlamvTLEt/BSHz9BlaitiwFdeKKku+eCuE2MuEAmDGZn297JZCiw679txittZmE2h vR1vpCpHweKwMdPjRC6ckXemQfi4Onb+gl8Tiq0C8MGYLGcuVw/RwOGYlD+n+wQbboUKf3g2CF85 gMHlQcetqnC+jR4PjD9PwvtPhTEON71MXRRabrsRKuC0nFqqns0Av98yegkgkNceUEzjOPafcGr/ R7098ZGLIXN3Cjv5m74mcJfG3OYcWUpJwGj7C3oH6hsYutAuSFqBJ7DOGmRmekHyVqZrPrUzdKsx BPd32/RE2QcToZO/g95YpvdWVoGi9Nj5n3+T0M1DlX5bsW1a9AZFrltbiaTmjQRM5XXtWfnQN+Q3 xdr454HinaCYCaguTkUtCblzCfIz46O+V/2gUS804gM6mTpWwmyTAxNAtbEFJBQWVbNIO4nbGBSo rlE8NstRPMSwvLSK1fiDe1PYBxborncjeneFFGtR0SsV4Wiq9CLZr4Hd2h6KYAJM6sy7r6qtoIZz tXqCZbr2UTm0tl6K9ypRj4UnmnlT+ihtOJvcMclxdgduanP1y8PTasI/y/csZ7qtU/RrSfm86Bb7 cHMifLtoO54X1FWt0Q7LGL+jj+aDnE4fedOVXD5WLy/5kRvg+FLQaya7NuusI9N09M4awgkRRyov QGfUD8bGRfbMO+I3NGt8mOTn3SvNFhnId2txPQlt9mPR6m1fVoxx/ncyJPhHp8BDiP/X29ke/h43 YeO2rpLUGxKKz/yQtOOz5/DC6VY03vp63BYXD55UKGdRpm2uWdBCuYAbI2cnSrwU1fECM/aJ6au5 bQBc31/caRq6jDyvycv8MiPe4t0NqJeLsoPHPsWRbq/VK26hYSCl7eU0A/1IFAQp2v9YnTWNIk3/ Uilpx4RML8GDHWKLTbJAfxKAJ4nFocD7d3vPgvWwx34hX5DQJHyXWxQ9K5axyejCTFl04JUmdtUL r8WHLYnuheX6O2UodEqTOMkEWjqaA0NDi/YoOJ28HtZdAzUVYDo49TGgzGXZZZ+WKw9YU+0hjvbg tLVAea3p6XrtCECAiPPuJlgI9HXdzQS13I4/NBKvEt08ujEspJZg7uazAdsx6Y3xQku4ib4X07q0 iILovygauNqBlp5MwauGpN+P+IYkhj1hcCe93RyKgsrjHOwY7Ze8SPr3Pz5rUleEZZ6KPO902wJt LacO9aNNL6YNn6IWxgTJYRRv2CcrWoSFpjxVtuhuJ3px95TzKrlaAFyAfgdLo90O5U5za52pxbzw YHYSp1YOzchSCw6lxJ1mSpz+YJFdy55Zrd8qbVcxdWhf4KKHolIiabiNucm7Yt6WPTI3wrZPRXRK BseN59KyEYhyKPbUBrB1en9BuY5Or59faVpC0o3MgGKJnL9Ch74Mj452T4EYDIMWiuiml/qsp/9G ujsAkQzD8fX36ylwb/xph7vOHiWgI18sr7TaF+Ycvxy5194wjV5KrRvmWaBUNFG0emm8PSAnb8g3 beKVRiAkSUg4RKc3uckagXI4Ddp21AMTKsjgFA2TVk/YH9CDESCqEznkwm5VGDnG2FrMVtLo5hg7 VPNVT5vojudOOo/9m6tEbFuUa1DlxCpqg+AL6yfaoqDbzbRQTlLaI3TO93tboOQDAv9CsJtgQmNY lfG4Jjc1stWk2xUrXDSc60JW+mt9Hd6NN5Vt2Q5QbS+Fm0+Z0SJXaHBsGQWiSIg8DVBrR0bTLltS /YAprKLBqbnoos4u3OjQetyKdnFVstVDhb83rBbX8FTMYI8YiTaVzpV/vJlkHBmF4RlBZ+qyfdbp XeDLbGE91c69h3DYfJX2z4jtzGsPgyW7Wqug2VnY4h2+sWsTocb9cuqhYHzX5XY6ZD7P1PKgSRcK Z/k1ptj8BicSgChaBNxitmkBhYIhCVZc1vTm7R86QL7gb/zigfOtGnmPZ79x/TizaYmPMigow+nw ZM92gTjSILa1JtgB1VjqKJknvVBVrdG0cIOpRpnDv0baE1MYwTui9AL2aVKCiollSbN/YLoCDpZE e1F6meWYXaKhWz/Aig0SQdJ2Lc3pnOx5XbAfp1mY2gBPIthoLCOm4TUbceh8PyWjPuY1QumOc3CI eQPTuGIx3RFc54glZpZoWV5/CSUec8k93lg6SVv3CO/NUoFOLuAREPxlXYSCxVk6Qvp4hjkMxPJi 7itnE0pinBlSUmaK4m7YsnjA0T13aPRUFvXfyUJlJZknvXFDc5tPGXpbCi3aK6enpWxlH3YBV7RR eT3jBzuBiZ3fIbDWnT70w1G+A/yirPaUKBW4qMbZCimR7ApsYs1qtZDMOo6qwXYLCuhQctYW3ccH t0Y7IjPC/H+l7rURqI/DzwwpVB1M2xtQlznqK5ammbMYbpNLwqwfo433ERDhFVgywJJR4ShbGVVy 2zlE2UUIq+EgbS3T8Fom3PDhYo92HUPx3pr/EgADO5/Hy4rip9Q68QQpU5itDhHU6k1KHvExYpLr WgZ3Ldfu+huGmTi/hONhfYGA2uFc29YTzTsJyNc3tCv6u/sS4q2Gy2mjpdaOrs7ITRj4/H8Xwxbz +w9apWpQczWUHHVO36/EBy1hYjyTHXiC7kuguQmSdT8cxa08l2Ykot1v/UFd0vBSMC6howo2Waqp Hj2JikAnx2mmuIWGOPrumd0VB+NORG416wmLtQ6Jm/9M0XhxklEo80vfBYPbnHuQtUbh0bO5f3D9 FDVKZeXyZo3BGN/Hb9/Z6ohu+O3u+VusrnXH2FNddx1XSxCDfPrzuRIJ0C3FBt7dWCXVpjRUhzpI FNSUOiVnI+qjN3cp5hj9hhu67aGJ3zRaM7ujAKwxESkl8NfEL9fw6JKwlwNwS2rPqxxzHNr2Qwp5 cSP4om9qBeBGpbK3ReGqSD1n+OGGNqJm7qFlGYfhPqL7QOQewK0/bKLlA9D7CGQpIra27yjuzimX AUAR1njmrBRQAVa5k9OHou/raRqSGADpZzhIUxs8TtNedOOwRn/1ci+QirxZvEkN6gXwo++Rw10W VuCdNyfhaTltVmi4afl1C6w6cwkyAf28LAt1a7DOx4C13jUGBEipLIJrvgH4ILeYJq7ZL+XAU3XG 1DiNRgqNWtNOOS22yqVmwC1GSRwogtA1dyERZWzl6TqAyY0YIDgj15mQ4OMrDyCJThD7Bd/TKB0m WWjHGp9l46XYDS90NFtQFYu+a6/HpN/MS63ZlliHw8pPQeFtSb93yIkStEix4UduhDVXa1Lq3Tk6 ETrx7TCi7MdmSSG9U2Ru/4HyG/WWQH3IdyjsrpWXhZMwcTGynic0axqt0dTfZuS388PjRR/4zlJ8 tWKOtukA8B4vKtkx65URKvQSFSMKdMhqdz8b3bJGYz52g12blqkLwPcJY2K0Jj4dgsxv7qhQPKr9 mf8vxZwTfxG/pWqOY1deruIzi9gxZEiMWnvC3bwAD5SFoSfGb4KS19LauKHRIoaPHUFBfcrsFmJw PVcEuoTeR2wznFN3PgkagynIwnsmoVP9aAw8QIkDFmKb1p1tXTibMW0gwXyx9AQGccaEl4ZtC3lC cvodsKYSyli/vqieZ1TgglcHIXNRAaXwH9R/3gPiJUD6E0MhmlHn0hqb0fOwO/boTBKxQMIiFbDx OL9q8t3jWBYhbtnYhI9gd49DLJr6/A5pjvd4sGqYZ0TVA94xiJwsPgQ97/uTBvWv/n3E+tQrHgNc 8pajaIgb8QKHhJYl56U6pFSrIM6bJruQsZSk45sseClcNml0Zn00OJrTK/nmwbM4pPF45k0TZIab GixgvuTs3s0UfL6JkprjMVRqRLP/zuqX+9Hps9mNDRBRDTApZP54FCyz/i/frfK0Un23WwnJEC8T r6qFDRY5N6iCFyb+hqtIq9sCXivpraoHKVlhY1XwVCz+K3t7ICGNbnhTFwjlGenO1Mm3FtqB6yre F112w28nqroFwK+/3GAbOsef9HNFwNi16d5gxzEERukK/+2eI/zbtnbJoWynnob+Z2o0ScWTU2l+ f3gP3Q+budo315RSRTEdGIr1Q/pWVosoFP6b38JedPEDYwoFm4D9Ro/iCPfI/6DinFm230k1JmAQ zE0lIrPc4jwkMZot9WjSaU2bnubu9MSMByClpeC6LOMkHWl74QG4p+G0M5Xt8LkOQXUmWXXSYaRS FNuoVsN7HHa22O62gcsYiewSxN+1xVBEtVJvRgm3Jw7cj0puSQ/7jCM6WrdvNqRrw9w1iPa6XL29 dnh64sthmwKtLb1oluxzxYRjIxH71FqHv9OT1kiNeqgiOCeps260z/Edy/KQ/EQi4DSUZRS3547j ud9Et+7Kx26gpYxr2wQimtaOuQADj6Y7M705ZLThY86bX4nxbpoWXw7Rvs6eOtps5dFGDbj66XjC MrUiE0VhEh1/ltHH4t6f+kejZ/ecCz/TxP1cwyfYIhS/5CdoLrGk4dQH30mQlm6FEKGt7OrX8Jxu V3C30iP2nw3hvRknLJ4UcuZoAN6/4XnDxucW2Qh5yVTReQHWIGjWIRA/lkBLkDJ7ig6f6ZNC/Q0o C4B15XqdtAuNCrRio56QvlML5/gO0oriynEuvmgJmamZai3rm/H4iui96mWsi3kWninATLBN0DLL /XTpejvFnA2A4whfIzEnQx+a5z15ngHuu4yhQmx5ZkwILcFNt1cbvWJoD8fD8L0MVk1Tgtvs3ru3 W+PvjBBK5fIbmyBAud15trjbAA5h7BevRFVWdc6oMenbnuH/OT9BfHCTbHzRUCBMWTI67M+lR9HN PKKuSOnP5jmzQdem7cXcTLeKaqSFDQdOjUwvFcoOAxUhvoW/BYXhO39mzJ1niuu2fKKAWX88IEfI ibUwfPWn0bOEEQ6aEzRZAzGaZIgCcTGeZLZ37bBL2d5NmzenbMDeTL7TrqLgpD9xOc+02/Qxv6St UOwHuZ+WfQop+hIQ+dlgkRG4nLRDiXTuslR8FMNjsC0ATIcJ2Y3bd+NYx8g1LkSWMN5LiFnKZMkq +0I2LnU6YlfeaJchM8vTbHLRYzz81+LbUSme5kV6cCNJGTEKxi/zG8tBt7PykCr87GCOIBnfiV2B XXbFT7LLFzXLgrfxbB9DhjSL2mSRWsTiFFESJwXJv+F1MSRRdxnGcdMdsa1azUkkIgmfZXAfHBV2 5tXOuofY1OHFN8pzhjRWLOiSlVbJevHdwPc4kc7YKswLpnaTXvdhwuoHk7EuKBtN8hRGN9p26htq WOJYEfVYg2HAyBu0DeQtpqaSl5mT6vKXeRynaJL3XqNC1VsqdEnBVCPBIjK3wQ1cZVK9Y0kZq6WK 0mpQJBNZ1mWsuOTu75peRI4QhwsYj8I7JgdNWEJq4f+o17y/p64+HqQ+6uGQ3S8Z32GhpqGB2gGc 2tCoge6tET1JiWpUfpg4ne56LYmZgLi86BkhjthcfrWhk15CGxT6HRdfNSBdEXf2BNl2utEZCxzY 1lXG4Di1J4PlFC7R7/IGFEFBKCtNs5qiGlVUKjEz0a7B+f1dcrrPSCIvorZzVTq7ywHc3lOsnATV 0C16r9E9pvZ437BQE5RjuLT4I9iPGs9+58CSaNYzUW4DTWroHgqQ4785n4/Qg1NuRkzczHALW32M jwEDcnPiNjf7NBJ5PmoKW3/TuSDUJ5NeKzcmaPHC4AsX0Mm4ML0PJeI6g4wYKrwO3YbqMxhM4AC6 Z6KqaloOfK8C6weUhT4uDhhH0GRiHSTZLg2X0nLTwBPS+xL7vBrporaVacgjgDRXLGAh+5cZkYAx WYiNXHUNldIWlb0qkdhKsV/r9HIQ1beaEaKykfA7iJ3R19XZ7GaNAao/WKGXA3BwD1INd9KGs2B/ +JWTGQ+NwBnLuRpPw4UCoAQ3FCTCUvidYAYNUmEOF+IHnu1pOBfSiETLCdJbM3hBj04VZ1E2HDxO If87aUQ/FEqT6SF+Oo5pHwKs7nEgFX+Y4SVm8e4MTAk+Ke6KAe2O5buYNR9rCUayZpakp+3oooaO zQQKwCzfVvcnzfmx0l2B4cfB2qSHO+2b3lhqHULF6SSvLmjkOW1zb9E7jJCDFd43O3x8S7hBRt7M xQieG3jiFaFiRjTr7bNJc8GrobemTqmED7BWfn7BXPwgBCqM/S7US6xQDX9rxgxoJF3YWf3AiDu+ J4I3niNM3ZWndxq/DL9FYRHJeNeVpG3nEc9VT7Lqh44tZX/7htHF31QCNxVYt85p/yml96ajKOnj DFNT43lgKZ/E03nzmuNeNUgDD+mN8Y2QLX2sxHAlc7etpH0NpL9T/vWZipBx6acSqGMx0HK6wgZr s43bV74ciwwhexA1o+agndzM6PU+/GNd/QdKDGN47LWLgaCzUIFEqPgWZW6lYNY2wkfncMJuLIXR +7PTRH/IRCo4i07fZunf7RIGZ/xopVeaMfvSpaUf+b3faW+6KnMbxa5GHaBwh5HLzgGbWI80/J9b h0RsDZOz6EVPd3MMi2V6SSR7oByiZmB0qspXZeJ7ViL5+TrHFjsDAYkzMMMLImaC0o3xTCMZF3/4 1S4VoSr1ZvABvkzjHvfI47jp+5deRLFLR3Sr3Ibh5XsRDBPwhN6rlHIfY+zpu7hOE5xe5dJasQ6V JDNe8/yznItDV2oRjjwSWeY8t/ZsHhlqE43ukd7uRLSHfS8CGSQ= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPzY5ac7rof36eiw7l7r+1HWVeIK/1fzWUcnTHfyYKdIFR/7huevmE7BHkaBLWn0CPsDJvANqpaA XYUzNauhxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Pl14fIKDmlbQFDvmAOmDwtv/KnPM4ihgExETaozxmJVBnKvnBfZ5kkiCmiTUpMo+e8NUO2tWzzRV rszGcacUmAQX5LZCTIHebG28KD4369LpXFeR2EGKOkacdUqlLAiuVPVROiWQF93imoi8nA9vJVHZ F133EfDPApQ3PHquz4g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QBM1cDfnoAz0yxKVSO0jYLV7Q/jjDHZLi8N9f1kjEEuos4wAjo7CG4u/xkfi0JyPN+pMasE49oP+ XwEE4bqFtXpTn8g98qj2Z8oOaHQNm5PPbGaTsqkJQCRl9P2uPoTc0IN4qWQU1PfQH+hRzKkO6KXw LcDbtA0+ThKqEMr5Lm/8THMMZbKFbrvpBJFQGy72YVgbxojHwblM4eL3LY/1dEvLyb5reWB0COI9 EI9hkeZIbdESuDBrDLt1Pg4CtlbXXIPodh1Ev0Nroo3aANcr3WCLQvIehTTTU6iVBvpQm4TFeg8v DEBTS212sYZTl7glvSZgj3WoJpP2jDROkQbyGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K4v8WGTkIn5id9/fEEE3JiW6VjLfxF3CvdYmiBs+95yyBvZwz3WcP/uUGBwX9YzSrPS7VEo7zqis ZLrxCVp/gjEHeKg88O49UL9B5/1zsrPBnzDgOBdd1zLV5HqfNWCniZtLJqzlp359ROsEnhtNo2V4 1GAhGNbb2qWnpNiMWpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nQo7UQyeOXWCKffMAM5rRDWnCuhOrUYrqVoKMBh6D/7EYgLAhK/dGXkQ3/T6gljWwQ4c26m6mOPd ENqr6dQ/Xq9QIi+vBR4rJvW1Pf5I2oPF0QUqBetnWGvswB1pzJxMXj718blSUZUiI2UzOhbnO9Fi 4aUWzpo8mQqZk2RzxzQBchm9xJdh0QlY1XcxARvLpdUbNVypHd70mW/FqVuHSzTxpyeyxB8E9qN7 s3xsiTZ/kVAym/92FxhQ9LAi8kHEEQg13uwCWKUml/Xgm/tP8pjxW3m/LKhqCXFPSnXfofqfTpMc bssK/0Af27JsqNDgvjH7G9TbQlEhv3xPD2iRhA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37088) `protect data_block dGX5+p4KiIcQxZG2dEloUOeOs03K1ZPKvVAVZi/FEIAh/y1WxbWObWXo1y4BtYZAdJ/PRZ8b+Zl+ uz7anEmGRTayTcNBd/3s+F+SNok8anQFSfIIUyk61Dylf7xWIZlSCmYKgx+sZ3lrOU/IJRkUJ4DV tf5MmGAe7vY6xdxLHoYJBSyEzC06HHYh1LRlReHYKkbwqEuaVt2Jpqov0srlvo2IYclgpZYR01+v YKI7VJtD4fwBQw9Ou1NIEvGXNoZcrtj6HRdtc930qXSURp/HG0yj9k12VEkRTB1xJsx+lzGtm4nh HegeFTMv0nq1lUOKWOcQod1VZJTX9AOBdO7VQ1N7yXdrZM0kk8QEQ88drIkDfNJIg1ko1HUqeZc2 hDPnyJgA13qpmRswcl5lJPPbP27q3Dgzx4bK2Lu8ljVctTErXveAY8ZAR+reXc6ULVvfH93cnd1/ fuzNGnPrznXFgKMc3hR9dsL1QKkKKY+TL4DdAyVWLkkzQNmmR0qD2PCvy7+7xdiwmmcy6O60jZ0X JZI6w2ZLXSZBdGEcPbelpFguSR86eDhJ85GX3eFKz8HzCgxDriramStM+voEMGo5cZKxqTzvVOHL Tp8F19UfIZtjsAHFAWYCJoHoLEAnCL/EsmvEPRX8i4teI3SgUpbrhmzZVRKhpumq4dzx4wss/jXa oA7SpYrGdmotfeVDM27fYRLSaSLoZpBKZ5nEUQHU4OxYE6KZTv6w+1xVtBd7JwnRfB8u5adOaB1g fioBcvpW0VDC+cDnYITgBhP5QnccIC2AIAUDPtNQXrDggcv9bsjMnyAW13bC8WgRYdl6XbuWjR53 3RpwAppvr/nLEEN3muNp3Ff5b/TldlKCXl8oi+HkkSQxoDqaHMb6MkyID3NeXuyBmdeGBygoGp+z 0yhmoM97yepS7Z8NkoLfwn5M8Vq4zhsw9jvtGLWd0RoikkZVVmAunGDwISToVuPM5i+TUUDQEJoT lgtFRouOL1eZjAzlhjxmiyFPGw3AVVPT4/XeEJosYvK+2uc1gYEDpqdFlFG3kWjma1OUgqM4Dyqk lNmwOUKXm9CJo9Njqlb9s3607HjN9+5ocXCxdz/hMEZO6SuNu9qkbU0S6YzFE7dfEet+WQ27Jdoe peJxRSF669zZyCwHgJlB+06Syu+HLiaC/gZHxlBCzldfG3aCoypzl2lGO+EJUN/mT4Z57pMWsJ7Y ThFw01Ln9/qZTJJ/FRRqBBBVfkPjRKvGr6AVStYVHFoWm1ccrCD9jH2lNhBTXQlOp2u2rjAqyjSr lsb35xZeTobvs/PaJwfqlaOCDNmvM0L32pt64kyTVgtJAQrxAOQzc0imI0WtaDWQePoTjxa+54hF gZwwPoSmTol9PCMF2ypsNnY5rZBnYrFy6W0X4hJOz8vrkD7gHN7ycaKyDwLJCPWYTq7nxNO8RYwg vgP9d6NeLoGHzPip/BtXw1jK3oPvr3NNXhciv4e3zLnJnt7PjxDAqbg1zcvhkN1uVHQPOBt35TLm X0PxVrDSMZMDgSdDOjYeIwEZLCdGpZ9kH/snMpKiTZhbphkX3ytsb6bR0rI707FdOZlhDxRvZcod 6fQ+ccdQJ0QnngHeVpG3MP++UKO66p/wTk8QLxDLg+pi6icxtsXlCLUxnz/OXrfWdJTDOzyvnEED Vk8XZJOg8xc6ZOz4TeZ8YyRL3NXk80NJP7j/V+MoBBcWVQBpgCZWCdHZS/bvti/HLKJSqVqLDG5t pA5XYGMQt2iZ+HBcf1dh0oc992DlN6f15QRojbKje2CmQ5mhHRw6J0DP0GLVLq5ZQQqsnFxcnZHU G93rKPwYDG6bzk1KzvQh0VyrKrf6/6IZzoUon3Ap/ohE09yMe45UudMzKVM3DN62MScpOWlMSh8o Q+RG6Zi/mOMmEVUJTJ4tyJEEM+CkWR5uSpRq4+pVcbnrFQk6bbnHmPxEhKY0y57Dtk5Nkztcaltp K8r9ZJkUIKnUa7rHFk4PiMUg3o4qE5yGo3HKkY7LZeBozK658SvrwtTvNaTdT3v7sFr41CBYhbiH MWloqm3dxCUA6bDssG7zZyBYZVRsw2hSVyf1+u9tvkAvWmBeoLPlKg82c6lNlERfAfnlImyj1gRM R8kobgN/3UpOiXV297Hjy6isPgSQSJxx/qfttAa1OfPx46qC7ezP9g63U+edIXofPZwhBCcbvbER j6cv4EusTstg2UDvv5dzL1NSdYbg6ZjIAv4Zi6I1kOI3xdcOM8HFWyR8ZA5bZ1hJgLYpm28PgY8k qXVSf2Grv2E1Nt2n3gwaSRzWm3EY6RrqNGAX7S6tfJXM6TZtyvALLVWwWUCynuiEim4rlHc8sgub x/rr0dM6Z4kdtozOeqbI7v51qBnidxhWYy2lMT5k2bzTAK/Rt6chAzaKHxL6rPWy/CegkBIk9MSo L/87xk5yr2K+JVI0t4NBIVUsLzeHaClMMH1fmeKGthAwbD0Ucy/xXKUzq2AWq8LNT/PhpFp+6p/Y NE5rUrwDMRmBS6YVdUmjF5D+nziBHEjerNDfeL4Nko9/XpFsCgFtARelUIzTlSPGBz7Aj1BE6R6Q Saayh0g5HH8owWc04qUq4zqUgLRCIL2sDQQKlhlABWSH34OI4bk5zAp6ip8IPAkf4Jlxilskzzcy J6tulZeX/5bdemGwel9tyr5crpQjc+FZ86iZNneQvBPnf94iXuBGhyH6YzyD2w3zgyTJaMbx8wH3 uLq+7IECDI9Sf7jZQFDT20PrVLpoFhZiBBx34bG82RiAJiUfQyftIvOvJR4dkqAN1yyd4OagoSLY lEIkbYCF2nQg8PwYMy1x9p71ZaJdvYLmlnDNfT2qWno2ibIB2+kr3j4oKmRAjbejILMgzCPUec/B x4bkovmr64b3+ZwwDEqMLmpli10RHN8s2eiAGqKpVoqJ9aT3qYYHn0aowhHXU2TgEnLC1s7xgT2B pQjHn39nlYgjjuiI73OCrXpNrw63WaIjseNrif1y9QE2VSAxib7MiPtCUFjVv0OmkDB+J2H5sSFy BN3gpmzjl9zC0ChVTL8QL/QyQ6cUiELKvagqpxItF3zjUJTnH9f56SCy7GUzfHQIA7fYz5DRPHvi 037ftlYGgtl9qPwM6FK76emeyB5Yv6pzwHhWmWSjtWOPfgR6LgG9FKsOwol+Xz7ERrw8sO2gPdib Cx+a2ZsDr2DoyrodwkEWUkqfKRzVB3y1h9AvKDiEw3SunS7FfOjRDwVzPERpUMkowEf18z9HD0Wg s3wqbq175k/TT3iy0QoakOpYioXPBgmbh7YeDxI9pXdIUx0mSxv4c9FaV+JqrviWyfxp2vtPeXFP MRNYta/RWVpEOtUUAeKUwUE+MDu2fEFILO4tYexKdo6U0MXDYjeR3GynyvWdMn485BbZMBOd4A1K 2Ubo42C+kKLmD0/4f0QEdo0iTU5ZnkIWgB4gsZcf0UtWtEt3oDn85cgcYtVRmjKZXU/jMtZsRuzM A9pguXYd5MYeYQlnDkZtw3mKPggXthPjcNsoK3DJ4S3BDtPHoTrTH7jkHAIhuc7qyr+6hU/0TMtG qon9gg1O+/xJ+60mhLbV+EDHBf1jtxrcsrji9q5OTXIfc8n29KgEg0cq9D5cue5BOrhfKgvL1neV rcWqkvSFs4oBlduuldO5gs6fbnzWWX1HhTPLpHNaP3WbGAlneRa0dfCQvQifWxd7E68tQ2wHIqgL GyNa61E0fnVB3hFRR+VqrGC8LQ7SAROwgBGFG+n3uXDdEPMWVpBb6lynjYUnrf4TBXAVXNa3OtNK 7KCB6G7Y5hBkeGqnlRhymWrR3wHzlPcPqOq3bduxHsqFNBLcFF0u5GPXLO1PxOPGfsTDqTWr2Li3 vmo4HdmBjMvUaIrW6NZeaHOcAiPU25TWgr6tAEyil0t7O1qXoV1eNIg0QRYhF1Te5swUx5H1u85J wzVyKLP289qFX4Hw4ns/xX1WtS1wHyUnerJATsmq3cusY0m9wZu6mxpvKR06sh+or4y7kqRFv6c1 S8R6FDvi/niYdKOdJgO4hJsmmmolUm4AQyi/+0ueLo+Zx4NWgXHMRkeyyjt34kSKE7Ijmm1N8JYd L20JYE9FW9vuIYzZYA897ASS3/ynTNHrLng2TA206RZKAPca0rGkxDHjURqGrEmogLg9Bra78/Ke 75TLhbarDv1KLLYqf6Sa/qpJkUgin+53Edg25e6PKENSC8QDzYmEZlPlA8xcZKT0SsMh2cbZB2ox BzecfYAquZAvFBVY632lSToq2pZOyCEo5sKXX9MojPVYxs5yXuKPdMKsN/UjC+UoY2nyL8V20G0f kbvqJHYnXLWvRbNcReMrNO7ltYnTkpsKbe70xHli3zb5ASibQ39BUP7WtM0h8XPOQdXl30H0ukg6 6p7h3zxWe3lldjgtw7yxyTvs+gee3yULWKMBV3Tv3yr8Jscw5Yl6P8/AgvOs2AuxRiWkmg+xhlv3 O3rOTuuoRzNXXvadR7XT68IbgxpvYUe3eL6apSTRvfoxZz3ZzQBVSrTLdYbWThn470p1fHH+B6yc zVfQGuFf9+QJfQ2DT30N+wugr9Da9ey2hyfcMMKG9i3O6XH9job6jSZoId6DZ46peXWwzDSCJ92k RcTPpZAf88n26PX7Jn4HKFJOc9qdvdmiszkYdy/XY5944ctheOWGKPA44BvdKcUEITQT7RT9IbcL X6Cpt5liryJogSR9YLKJ6u7xh2J45RzTBFkKnmaaOxd0xDjOhkIRj7AtrrjJr89XShwFK9B60UoO CGogDDpHOnp3MDATzxT8MMElT9C91X4pUgTsXeeNPXK5gHX+uxjXYCzRyudYWMtRei9MQN/qLaaV yWTHh/TLlZBLXB/FEh20de7RSY1gZvB5FaxC/e4PeTIZ1AkpfMHbi38OdSEfC4YwFPnWphsz37PN l/pR7RKlFoqx1wzvQuleHjExPCLoHOTq3PJS/G2M/LkRZJF3CUn1bRYyoDo20Kh8KD0KiCF5Woda szsWqtu8szyPO9CVBlzsk575ClSLqFPiRIyg7U1HJrd5hxI+pQ/uvDJ1kjvrBhW0VTiRVTJDNbHn 0q4SqsHq75/+9tW93IGYw9y1pjgr3d2P8ribR2voRHcaAOpmdbwM+D+oRWYRqCu14zv3EeCvZUuy hpGDE0XigbrY7Ed71Kxta5NUaGc9KXpKgevWNnZAk2b/q1dfhkNhQM1IgNZGUdat7gu4r46mZMKi tBo7AWfhKzsShcT8sTk6IXH9YBntXGyF7chz8RlA8fykQcLnS5Ipx9EiRKbD4JXOb/TeypauBgm9 fyzGgOszW4O2JIbp7zDroHWBqU9m67DpKA11RlyBf4L4Yz+eat14p+v8yxnjphQkPTZE9ubMgFAt XX6TgTBiCRfz2vdFc6Vi2T6CQWySzwMF01kXQyXewQR6C2zIyff1Erk/5uQIiiu/ObKJS9oDNsaw bNHKl9N6BXySy0gMm/rcSx6S9Reqhbbr+6+hTuUCIznW69asExLNy9p1go/O3PQ6+lM0EtSvxlyp IIGTNlGc4tveG4MqTFhCHnHm14NVZqzenkNdGJhnXka4+mAhIBDQIFsodYIqBcIaXUes14sohTYF hGTc90JGkOqLWXX9J9/V0cZVopNWD+1yep9TJlxdCrbii4MBzORTVpf2uCYUt2UjdgjSHpgJiiKS jgNdjUH2kdf+4KdNhmcxH7zXshVDXBncu9TIPNBEECG3e0joYJTp4wNFD8RpqRW114bfPsoBi8zr wiPrUmR1/YPY2rYL48zQ9GwDxrl4HjMSWE1BdilXfAJuXGKoRg87BUZDQfJhhx9EPrb2dsMFlMl1 jPNfwP+JqEri2cFf6Ak/0TJ3g+rZ+El8GtSrVEZMJqQNlHSaX5ifXVkJVliJanMUmjeVxhJwhUDc jQoWgZkaUn4fG07WqauDqxC+mQXLw4MIw5L2BktFJ3u8qNlo3TZimqNd8GwAKs9tYw2xFi2MAsgL YemEQ0RAzrEHJWdPH16oW/+J2CeyIyO9iSHxc+e6QuGECDRfqa/nbVfxUmI3CTmODT0Vmo3Af1p6 xuEw0RYt7PfNyihF5dgb2VNHifHkvzrm+Re/eyldnA1wgEs1zcF+P8MI3SQCahsxb6hC6//8rm2f yL1/9j/yjSS9e72XFs7EO/BknAXutYCN/2f5/HIDqPhLasALvxH4xIkfPk7tPPIQfc51/ZK4cy3l 3lJN3kOvZpj3uN4LPBQlwkBjEIcln20RHZCM9HPAChmSTZ+Zv66Gfh0FJAFJFS1GcdTlX9hQIGUc g2VZ+kFummgPpmUJ5vkY4rJmze67AtKu54V15smJ8Iufd7z1sA5ikCy4lfpU2+WKDYiK0QocONUi jzStAsbJ+iUnVn3UV8NpWKkvL9iKHtBX5/GpZFQ2UQz+r+wsyHBmWooKUe1ZO1Zpl9VB62mNcu+Q TH/Rla+uuLfO3DfsHAM7P2dR+uj7+DHNa3HEOCnchEVcdc6kAsYLvI/b0tASIGpdcUuMimoUF0iG GP/fxlykURMxT6mxQkkUdxfcnSOpKINAFXWQfqQ8mVccGpsjQ6+c+XPHTC1TmoZ+ht6KDvMt5nll jB13mJeebpl8bZoBnxtXJRxZGsK28PnLJIV6odW+aRLv7F63AtSQK68gubsccOS2q5WQwGqzymJI WqVkzVDNXg1eitgWs75nteVSpgJpOMLDqcV49pGRLn7KNvKGnjIJRITa1IDyhhu178c5dR6Ncd6e NsKvxffq8ZZVNIoHQGFOVnKHzmDB4OsuENJtm84y8p2FxqkYq0SLO/RJUDubxR8th+w3AjaKWM4+ kzVPO4O96g9KmMuOwOJN2S3+ZzwIvSq/Bk8o/AbAoLi2swFc+cHSjOGHQoYwXcen1wtbxvjz9CL4 Ql93O13iggWpS6pXOuQTUNEZ4Ne3wzqE+Qg3scA5EKCnrdXBL+hL0Ash7sqZdMwV9pv/7HMG1f2o rlwgynMbLt+5JONgidZuHQ40AFenq5fna7VQYSbzkEbGNKXhlPvtSDbMJun1SvUfha64rX/UHhst 2bilQG7+YfB5w/YntqjZqkOlnd5b3PGuo/pg5S88X1A2S009w9H2KD9KKHyjjn+pcwm7OIzCFc6a 0Dlrj4jIygxyIMT/iBt7tcglfpSh/L6tYuCLfbSq+glULbJ10/I12X4ZazMLuTCFeDFO2lFc4304 1+lYhcrhqcfKrxqm+BbcYzMteARwx6lfUCcjrPsd3WYVuLVS7/ccFD2SJ2Gifcjhj/wkxiu+b0Er UxUPPhEQGH7DFOiw0DzcgwAf/f4wKRvr2tWSDuKSYIgGBhI+FugCx31uRfZZM2ZLjzHJWa//YcH+ YQQ8lfjpDMU8XyNsin9sr63RhiO/sdPH+MbC1NqRS+p5P3yV4WySufiH7gJFaaJN/IeiKTf2+q3A XTBZJlzb675D65YVrpOEukhYhPqtYmRnVTWw6Lkcvc1jK2YmFirZmSGOJorlIcVQgD3h1cG8PMW5 4FhxaK5CMDED+CJZCg7QaJj8eAHwteWmxAPuU8+Lpr+GFI66BKjbKAB+98CXRBKHBIOQTV8hHZlD b1rzIeZBpX5o8ptLdrfcQ7u4SrRJw8nPXcOSMpMy89aGOEWLgVjFcrDtDdX/uGcLWWjtCwjED/DN 7DqYIW/MrWlbksD3h7cGfsBVRvwGnDhANaescQ+58xzfEdyeRFWxrEJUgTsNRzteUFlFma2j49Th Ka4DLql+589tKuuROeTBvrNBGNVDgTD6gR3KV25kuhvtnX8wb7rRyCC6flI+stecieIELsR+eZhf SHM6JqlpzUNDX8r0nUkALMBzHKVu0byM5A95mZPxw1idVkphkajgNxDOMghdKr7ThENg+5/zN/bt Nie4TMlx+5OksMDEmETbPTdpNfU4o0sDrM3dm1Z232E9hG7TRmcymwHUWxZcoKqP1/G++H4w2Xzc 1JQ9Tbes08TThDAmdq2srhP4day+WNcL5wawH/5QefNT1/UpViGQC4GyqKG9VoTrKjffKm4IEoRT CAOXD8ImxzMYkPC/XEw06oWHAHMMo/6RazTgCckhg5kkIPAabl8kfRptPuv05VQB/DIb25cz4AG3 DtGDtg7L5idRPjdN0uWEGLOvisUKv6WAws2j3Hzfxs/WFnSsqb9zBoOo3ia+lq5sYFAuiE6jg5Oa l4iRH5LM/doCLuo/Z6OI0qXl3y0TCognijpYV3Y2psjvIpSF4GRPCD/Hp/t+TfSfpH3vfJC99bij 0THMsRDkxlDcw93ckRdNIllggIPCnIFXW/QNHj2kmM23aahgkXvfGal+HvvlR4pe4HfNpuyycvFX rtdM7cdqFil3X8RR1fneacr7PI2Cv60yJHSBuuUEQjrVRFtj6BBp/N/0Swg3HIb/qSKUPy3+VIHw Y9Qww0ZHn7h1gHHHWWwWOGGwkg6PBhKM4nPwGcfPQixiBT/fK6nYh47oGp3hqrJqee5Qhuu7RoqW 5qNHAVTX53xTLSQT1vrg63W3AHWvlLerfvQxqxIZ9Br1XNFIDD5K0o4J6GpletVbEDdP6nEfam79 iog+iww7rGVzgMMMgCfJa0wbbaDDNXf82luFqbo/hxz3xuDQEPGI02aiCUo+DQE75CIZz/iBZV2w g3cvpqW+l10sxdTQMfiTvIdJ/46UpjeiTj1GGvBgrkeyT/5miC3VvfklrbAT8pdsbk9GoVUuhAFV JzK090ox+GUpdGHgNBkacmtoBR3KVLKTHAfhtno2chdFeF8EGC4ObyDhFZaL3OAuu0XaNcljDfuL s6Vbn/wJH8b5pjeXpUq1V3HD2uSbQzZ9aB8WUk0HqsDm8LwEEjp+ChAo3lOwIc2u9D1R6edomQvg jMYlIV4cWbCnRAERffNtNkHSZYuXqnpvKcVaga9rqv4LDvzYZU1Az2eC0F8vhN4xOViD8ezpM8k5 vA76Ko4znlwrdpBkqohqcIR93HP5nh9PX1Q1hBXwZByamaK+dKsIqK2Uwm9wxxsRU28a+GW6Pd90 gJpXSp8gDYQzNAFCfaoGbRVF/+aC8u3Ap6N+0L50HnepEbmF8K0IdyHscbDesSpDvS1/DW8Lw05B 29F4mOHaDP7kTH2blUPLQeD6yVHRJY1T1SEOBTib6oy6Fs68YxYIfTtWxvBptPBoGLVdS102iwO6 NkppeW3CdrGTFfGG67g+4DxQRgwmgKTsn5pIqECsQbYc9zO7W1/1qvBAPu0gpphbet3shixpKXzw 8a/+twGdJV91Lqsi4VtEQFaU0Ds1CeuBoTXO89U/8/vt3n95RvRG19DfqnFpeUB3pTI0yStC6Tzn tgQhjVdz5maG/YOyYAjNr03B2Vr8hmJgAMdNhsLZvk8QC2FOVs/6WRUO2M69WOHjxzmchaiykw11 ZOY7MnVeJAJooCM5JWfKqxjl34UcEoVmZ9o29mI7aBDVGIxbvvAPIEaaFfeTrva/SYg8haYHU3uI 7oT01E/BzS9gBrfGCuVPjg6jXChqcqr/OVTSxgPEoXh+StaTykl69588p22KJ58BujuDwZgCvu4a GiugAsa372YcFbYcRSmLExNGxIgtrH/xv4Fo/69XwUnObkIN5gHtF2SqXIzPA7llCnnpnty7aTxa uzB4VwJfhXEc99PLR5XumZ9dGhFAUBG9La/E8RT4gm4xJ0dp4B6FMb/xcLbIcsuouxqAPO/uk4C5 3V6RGnKZ9luOZTcCdMs79ZBxuJMRynxvKpNvqa3FECFpog5vtLsjV+VeccKfEDRzsMZloKHgE0fw Z/AZeIUfO/TPwMZT5suIvw3BFOh8TSivWHKWJE9hw5MYj8airiK5tRKFw42E3NtvSW/FujoDkJS/ DRFJRYjMXLh2y/L0JXJt/dz4tQXi4pZ0qMD3GUa5+xQr6Vo206AfZl0rSJfOSvGQSxWArJkllSil nKuQlq41EdByZGVC1ZxnCnxQOKJyupfichySiQjclOmgcbI/CF4z6kdXLEvitKHQsX0ctYPjMN5b H5V0lvO/atwzcEwNntb5MOCXZiS8bAqPco8FBMYB6F5IIZqJ0g2blhWx8X+oGkv6e1l3aegnWPVp RYeMMZ1qkRyNRsvfws8Z3rGreSfpMLOju+ssSYU/hgMbJtrfbBYERXT0YpRI404JK547U5mniWxj o70ClIi+PZCZZcKV1HO8CQkbbZUit9XfIuUEuCLcwshmS37EJ2D8rJnUfe0HgmOv/y9I2YHewjeh BiKDHOj3yQzpUN8UKHU9UC5FeGCCpsvHV0/VFCKgoaiR2jMAO9SfEeYvvkx1PHBeUXIIOQJ+v4KV UsJZZFMUgfIRzUt54cGVIS6RypgIkd+I/EC5C+BQNIvGKGl28yXoRf/rwJBb7JKSwhIk2kJrca5v UkKNkUNABXJo5iuDyhCXPNFsaH46mIHDTepN+zq0u9aOh9u/4VI+Azv/B/aZpNM/pq27VWCmL7dd ODhoQ97LyIiZhP460v2k3JRWoLUg+A0b/9ZnpwpwRu3AERnA2XIXQsDLJS//hF8xWbDE22VBfsOX 0pBgAOTXv/iSI220zn9TRI4WMWOBm47knBDSME3rst/GyDKwDaR/Go0Es+jeEMHzCMixAKDgvIJP dSU/h3eAqbMdrB5ToILEME3WsBjUGknZ8XUb1jPnCKaCsi4B5Z9/94VYNTbZ8FHDJDB5IfPvTiKA zoz3LtfMUu+r3McOOYlNQIfGdo+MNuaAf5L+ARwSWK0l95u3eXUkp5vjPw4Vu32nxQd4nKuh2oyB 1h8z1K7Lg5irciijjIRzLofg4rYH1JodZwGmEA99AZyQmepeC5yW2UH38gZVY4o2V/NtJ7C+1/h9 kJTqW9pU7P9Mx1iGmPtuZUFwiJ2yQpS7NDbNi6v9YINGwMAmR6qAvddZzX0zIBNjzWYUjL2OZl8Z eabsON5kSTfCRboxvqRLu2WrHZrEQus+YfoTsJCu/h9ljCUexs9FvAMhckEKeiM8oflnCsyufasm TM+U3nSF6Xj4gjLq0r03VLD29NZGomz2ikh5Prn3jbHdjBdU+793GGcV0keYvNlTLjs2UUhEnOMK ewg3WE1ysQ2eMycS40q9hm1Oqt1J0m/0uUPRB774+nEC4ijFmeG2Dejnudv3eIrAmabnWURodZOt r/ry7yvsQ80lBmEnC9a2clYPpqbtLKJoIBnOT4AgCOCSmoGXAGBRGZm/ruYUlXa+87KAsgYyRnIL vrpAeBzj88rCh6DYEXuz2HrJCeV1KMpfpf/20ApZwrptYd6e50Y3zkD7wK1cx2GUs/K2t2mf9dfH AqRttmRkGQtpckgpc3G+AO8LqFDRNxnYDy1fkusDxNINREr+Q6a3mmT2wHmRtk1w8ilWpMWlnfkS 3I1X7k3ZH0ctHPDV4CC7f8sbAkmCdArBYl03aQuDrjWlBIBURF3U1wOes2sQtfg69zp8x502qu+J jKwD1uKaASO2sfYjL0tVKZY7mInSGdyN2ep4Zloc2aW3/htFolyPCy+hXSiuumTVT3P/h+SGLb3R 5U3fAiltZ/ayUCPjv87UguhUlAmWquiIGonC3ldxmwaDknQzHznqSADpmUKvzGA6JAP5DeO5LTPS TkV7M8+DBPosKEPwAjjkqkIDSmzBk6g2JyXKZ9DuNX07p38R4RYjhwPTQ0VEFcz9rHHZdfUQF0Wa GTQ035bKWGIr3aB6ST7AHI2dNRm9ynDpIu6RbzlXc68TdIelHBIKjviNL+Xvx1qHh7x06jfJTpOb mmGynpn+Qh0Ux0vtBkuWjOgbyGwrIAMGZie0vZ61MS4eAuCSKFzO+QM6wMhz3ePOi73QTVqViJ25 D5QAuleLJ56P/9w4kACxmsW00c3j5N9wHP4f1+c0Z6xaANj1+i/MqYL/Koz/ZWDmTeDO+acvWstz V4KYXjQPbSk62jH0bOwaA8e++sV/lr1uRDdqgEdhYtUPU7VcCpL13q6NX6x3nTTvlyOVCnjqrmVx qy0ZORw9uPbhEZY2p9f1kYYAR1NT/Lm461MM/yq8VNfv4gmNqBl54Q2Bq7+UYSk/Dgxp2XHoKrfY M8EJ9N85DAEuhOJho3O2Nu6E5U3DDCxu1wd8QzCXp+tJx9pZm4xT0gRQwgaRD9p++2EtAuIq8Pcz uO0zWGw1Q5IjLte6gUmkUTySZJ0Wfz5/7YaNmdR94uJi95fPD+TL2q8oNqxwKVvfIfl1toTJhez8 5s1wGjP22nIZMLrAUx4qlBkzE51vAd51lqHM6NlIgxer0MIHMopr7BotYMOihUdJpHmQVuqhru9v 3TjV8yRmhVv0H1LQ8lUGSnoujJMPj/LxYFF6UKeW7nCzpWH2zW8upJUwg12jsNymCShgimbXM2LN VbMI6HiMNNcuFTQ8nn07Q+xZjOwdZgBbOoQm2EkpXa36R72ZIkvtvNxmmwUcpvmpPC6KYZyu/p+V T/9V3G1LXjgRA9ALr1ynT7AVaoVRwdFWTKDas9p8m8q1t55iaTof4TY+Al6OiDVQF7hB9TbcEw2h bRQo+E64NRgp3r4w6yXM45BkDS/k+O1Yr4sb8ycC1ZPtk9ajrmN/uh/9oJAiI0kg/tpKD8ubp5rW tzKV+lTQ5puCc78Vp+nCUgfwo9NLovzWTWCVk01gF7hXbeHGX7ABlctrGP7zXO/e4loFhnqdBZo0 zNJXEh/bI/t3kOm/H21N9+KWp0TayNUgBk8/hznb0zsn8k/GLMgzjFSyosV2q3TDNQ4pC7Q6MlF9 9Dg8e+tLjBZhjIVpShi+ypCPtQnoaWvHg0j8HL0Sip3gl/+G8rSmDhZ/7Qw6BzgXepAqOvO+PuRz /+nqYdldThiitriZ+eA6N02UkAeyTv3TTaGdrn4IY0vgVHebC+dkzo8p/BrtJBygAnpIMIkkSD/x cuMh4bzj5aS93QcmxlpIu2nI4z0ktt5fEFQLtG9rwPeu9bRjBA2LgLiZ5QpE8wdJecPkOvyp+Thm Op+rKdwC6PpTEleonGSa4a18ZOK1hg+fyjfsKf0NVRhWrRmsP220TESsWnKrTIUJsFIvLTcJ10RI qlvwRcyD+Wd1yVgAvONDctJfkXA4dcyDRn2GjxJahzZaQwMCIyUgsrf+AsZL2H9KKr+GpjL/4eeh +A8YzbXKWhQiH0GkcHdTDXh+uCfhsendO40LyY+eov/F7uvKwCGENspBV95/S0Uf/4GYCp8DeEX2 NDtspr//jlrMFQU6uVS/S2IxtEXOrlW9ZhUsQ332mUqBrQuFv5P8m35ZKRtCVHGGmxXivggcLwRl 7H1N+ur/yVIXY2KlIApiylhJ/WdjasvGV45YWrSyXqtDrZ+PZQTN05wulykBIIS+hZcpkcHXNbv4 jxnRqeIuqgwHcZzE9ArHTuJgC0PYRxW7IIzL43IhI6Ztr8+nGA7pIYvBf6h0oJ1SHFbdZgRAXbfO iGY9RYaou2Auj2aBQ6b88bq/ZAyFs1drxi1jWNyUaGIqM2H2rjnzXg/yGdIidh/yudBPYc/v5YLN kfxMIVSAAMPb6bcMn8yMuNniFPnsypewvuj3pPU8U/e67g6ZHKbvBlyUXkAsUdlDSoISxXo8vwYl PKwxM4s4RTbH0b8ShUAlVn+Idp8KFKgyLWohUiSm3BdVnvx/pqAped1NR4h8IMQnyfcSwLCvQXmm g9uwheDv3HPHteUNq36CWOrRq2jeI6PyZhAe7QyWwKmDncWBw8bw7DAHYD3XxCFncxyET8ZPImlr FNMNTkjMoAeDPDbOpCtbIkRfvED3gQd0y4NmBi0YEQc3YW13saHIJMWynko0FI/EA/JfJz+h42T+ jXfhRQgZzWA9nEy0/302dOoM0dL3TCm5PRu5DAvd52sa8OMmJ2j70MUpuO8bc+TaGSIWdOmRAQML RpMhqkxrQCAXmlE2osVDM+/YmIrJA55J2l+pIkwdVOHseHEngHR9St9QjQA/y5cg4A9OYu31KE20 kx2CU9jsb6TeAEADTvot9F3nNibyX92rQvqxdMyp/lWdmDjnvnsTRoAcbLZRMY5vmqKGkKCxTNCc dpJ2SgqDHzK1j7bG9+4nEwduV3YQSm9sl+2xx03Lr597rD6YORl4ikwbM3x64hGLxXegHPaZfLjh Sq9WC6iJ+2fkLEzbd6WsIRAahSvaxNOsWkLry303zQPaOKCS63UtkpVDAIS1Cvm83PvZnOnjJvNi H4gLxfF+m0my+JCwWThE33z05ZgAblfBkIZi9ZnYX2Mnj8beqwX9XMw3+sBDp6/9EDNnipjv47Gi ML2yxjo5ZCg16iMkuzFmbXAuaG2HWtbZnhy83XfEUlwPfThHVFaIgfUjMPVKWh3No7eeDHyOl+ls lskGabZSRlQhpZYDMGfiYl78CbW8ynlOHv6zIgIm865KcqMryXTIVrs6CoXccJoQHmhrqZsuE155 mqfOaOX1UyY5EAE0f/PDqKiGb+zFuMEZpzqQnw11R8MCktz2jNgqLGoKRRysO6KK+69x+AdrbN9y mUnPas8AbPgkluZ8plrdWVyAfhWwFYfjff1zg1P7VA9qLKab1viz3on4t8Ivs+wcEVNxocN/36xY 2/EsrGyM9i6LabmU7uy5pIT6z2CwnNkbudWp37xjE67lqMZPOHPpLOI5t4Wxjnzy/8zPkkXjZvqm QkQFkSSgYrtO+ER9Sg7QoRA2lSi1XIhZXusMXMW8POreN5wMX0qKR3hILfWvnXndBKwgzi+g6Wyc a8Z4B9tvMQ4pMzK4qC5aiD9i+NkUBE+aUXPseqo5IQ01ktHXoBmq/hHDa/7/edjNgidMj2QyTcn2 jtxzMqG9TCtKiEbzMQ5mEwDVzoFnjfTW8XDuvtRU2I0vpX2ogxypGvhg4XKxaCl3cwM2VBaXIcfK CHCga2IigzZdXGM0YsX7wHkR9cfPcYfsvZnJ9veCTW5taCPLXqPEQZdn+4qCqzU7A0cNR3EwUak/ y+YTNvHMa9cLBMRe/grSuAEBuTgBUZHTI9QU/odPKjHbhf4peeFMmFQfldXGAHWHd3rJVMgWaYkZ JDMoTxjVX2cxVLzMTaDjriyAiVty4/EBWjrQzb9sI1QAw51JP5yHIRo6I4S6fb5i8bH9WFuOektK NGRQNn2fGRpaDKR1FpDOq5NBLOS4x6uhWEdQOjsFyJ6NCuRBrrfgQ9hlBSAkVZPbUCojOdUOL6/N eanx32gZIu82fMIwp2A53a+gZx3QnWl0MihMDUVFFMAFVOHDBl92orBxUrlNlvZVaBzXq7u5hTgh Gp3kg+w8iMrz2t/Iw67u7tAmSMh0fiOW34XLkUtVzNW9MrXKqTZHQdrphaWEaaXu7py3e+ToU/KH G64dMyIaYISt9/5KqDsJYXvZETHXL2DDbAJwy1RzPO65T7BFraorcKXWMcZwoTKYC4s+VWVYXZEh RAjh9BUeiZ9ZMQ54N9kaMDvhjD76ZcFPtYm0POGdbIOgqQa4OKHZJ3OXYo7jJoB61GJSFtQ8m+ec IvW+QRa7LfE85cR+WoCccu913RmYaUkKu9WcdzN8GikiqIe1jYYGmWyMFlzayMcvet6k7Rxwjh2A hgM0X8l3nCF84Qxh1dKdsvOMJpOQG+g3kUxyBa1u1VnwaVrGosDXQ2/1lt/cFGKya/bJ/nHxWg9Y 7VbGthBBXY18j5jMUPtmZfmtBiguQ8yAbSBNPAe3aUAdVZuuJ6/JojcYMmkLtTfpwVtbzqnpCPCF 3Y+14uTMRGXVKh3rPgLemPKGl6Hlv9C6trVEMuIqlbYLuCBFZsNu63D11huVwSz9uPtK2D83ljnj rzUAnl9Okt2ZETs+2SiJ3y6wlHDSDWWK92HHeuT3XpXdQJ/jFbVIPGzaa6t4i6TFgquCIXAOHyEg Dm0RUV/mUtvBFKxmsuqoVEUFCfIwvjAjupr32d4f145wHJPFSIIB4QDBz8kAqCbScjVJh98mN+cW KUjousCE7r5R7/vOOMlBNzJbOIfOgQWUmnJ3b0bqo+aAFNjSX/wztChqzL3QNUVL4/07CopmGX7+ QsJb9pgJ7CSKevIcRi8CeRmvfDuuVlKir03qnWGrZZUtspN7++iRDU8xAEgqMEAcy0eW6Qh6bZAp XWygI4+nS7oTv+ztb3b0BQHyclddcSlB8AQtyWoRbSSZxsdNCTPR1PFGy4B5Ju50gRjEVDhBz0mG J7tdoid+dI4drgYS301jusUvK5FYCqhzmia+pDOmVdes0Pr5vMfjxdYVmMxvHxDl0CirDSA+BUvW G7BZkCmuWAEkYPhriz7u21zk3JLpmaXECr/tOvFVNHzESM3nyZneTHdbTVLWtin5muEyMv8OXECl Z01MlWA15erYmsgAEDMZLbw5XIBOCHlsWEEZUfmmFnncxuYbRzegCb1T8xIZ+/8zPwcNIjATC3zY M+3SoRYmCYsoVmjYf+kRTEnxDU3MlGwCnabh3IQFnxmjuYp0wgussZL+5si7lMpzWLbcrlcPRCGL AKGNVtG0dTt6U+E6MyPTAYHWSrRH4+NqRD05pWdWtWWxXJ/8UYSFasopQxVHX4IuFPGgNhStOh6r 0xbNmMzZsvDeO8edA0jzdchCJPnYiBKzwzTlvFazGzt4OYj8SGDLKA1RM2MhVatxSmvb5Kes5Dm0 p37iAZTy7UEik5qqosiUU+oNwMBfYq4R228lXXWtjc3Rgx3qN3PPVxJdDCCvy5U6FyPKJfEWqHMA Vo5JhRPh0L/FhjokcDFEpuoEUZZyLGWSimdbE+vASKyKoSulBGnT0KX1CNJPy3iKtBCDn+de+Y40 TP+iPN6gyqO0d1POkNx6W1UTW/PlJ6IInSSAh7B/ad0UEC+1Cd6UUNUK/UHBhMQRHzfuH0d3JXh4 lzTHSfg/ODbtJRVPaIzUcAL2EvMjKAxzqrHUH00o78QTkjYezDd1Bu9vNoEDPO90q4Xf95oVysFZ x5UDnxGZ5A1SOU/B8VJ6no/o9XwUBgI91ZAxm3AJfXBTNuQaaETVL2Xgy9Ew5hTZV85GvYopZMwX KGDjVi0AJXPlPUNQ8cCRsJtWGJTOSDhi6kfUCGSq+g1/gwQgq8XGozNaJxMefWvrr89/HfDK9HdR AwUeR0qjLKTF5lZjG4cCU1CuNHCloNHD4Wo1snSzX0zLr+ntdvbvzUvhFBr14TpQdcknAqOV2ECp qFCNNVp22WRVfVrjMHyfpJ4tUY6gWLmWSBZKAj6y8z5w5PDUjIBSyQaZofHokdF8wlDkkYHF7EcB Gj6MG2lYNQt1Nw17DHPqUTV85CsLbebNPRNCAeErBWRd8keij5jevQmuwAxOm/LQRPg8Q2UXDOmX 3OHu+RB5r3osTFyGi59iVzhVrWhJPOUIGmYv3eygFK/NxQ3VUkSWVbcOn2DtAAhUH0ndXNHtsoqF o9hxCIN7OYKUsPmRh0sAF3orNtkt7pylExS7sKFB79uTQfv4EVYux4Mmc7FiduqI+BIc3IEmZjIP 2Z5uDuBga8sH+Rsmh+QDlA87lsBwzbrBD/cYAzVwlj4cRbHT9pjjb132w7xzVTo5HI7iiIoPEU8K f3oTM2H17Um/OI590jw5Z6ivewQq9Xew8DStC1TrcNXy46msIiKs9D2S/fQCJD5IciAGvoBIoxEr XNTfHrZ1xCvy0V6302jpzte4WpPkD8SumfAL8YZwArPUwnIg/aWtwv0tYIRdK3JudS3vVsBXm7cA PAnvzvtP2wIi70MnbOTm1DxnSaBfAfq3+NuTvlIcWd8RoKFrYT+7WjLeR7vwJ6w6QQUJMRX9B6e2 iiGZ0rCuOUHVvhVm4Vlai2uRNpCnMcKpRlNA8JjGGAZDqwXewlNvbgSTiegqpfLVKL+3g2c1Y1na zIojnTc9fkAz9uviDe5/iBIxf3Ngm43T8bPgrA/R8FoU7wE1zvC2dmc8zChmyMDcuJ/IavLYJakO NeVeiaYY8BE0D8Uup5LG6lNyRxNd4MW97HLBpxqU9WVz7tYguFAdENatvpM5WYk1VOLj90eiyuMM rr3z5o05Qf3217hKx76mVnzHxtKWXp08I64fBNedJDmN5orjyNbEym+UiluInpGz3N7UKEl2f+Kh rnEagLrISJRyGbrIOzYjwC/z8aoRW4p3n4af/Zl17jC4aVDBjX4Eln2Fm7XkVNv++HBnsuoiQCCX kijwVf637MyuheOn4PxH6oTn/VM51KYvgbCiiwYVk+C6WHXWAJHrKyYPc3lprbeB39OPO6MPZ7Be DmD83YYu7XMxDQYtJadogP+qRMJxg0ri0LjQE++0q10nb7pNECYZ3/7cIcCDGJtxvitjKOvk12zo ecCSLkXVWP9jnlnCMfeBdxthwTj4thThMEMqYn0jAxXmpWY9MjCJPa/kPk1Z2ziYL4/+pufNvzzY To2N9yewgBz1D78f3weQwIi8xuuzhvLawtTDXyJNUO07CtwJ7EUGUofrSx7qLQ3+R0KEYK46FAY4 WyQW058iXyWEOS6P++Yaeku41F/vGiNI3Zy6RtkutCqta0mXlo6GcHOFHRwn5jMAHfMFpA06Oumz m5ftpGWsBiLwXe/eq6vGwI95f4mrNrb+FjNZnnDtmph51ogMg5GKG+HiKtqzDKJ7tXyGCV+maOcm m5wD+o12219+jwgOUhybcQt9JpBRwxqM6jsjuRTgtqdM9Nf2PMx9v1iZfD0i5TcSfd4b6pQJthoO kEPRDLgEbCp0qDhcU9m76G/VChsXUXfSH32IXdItRKjGf9GHNhOZfKBbUwNENwnw7b4q368od+gB uD8zGibVF8bxLHPxUR2MbX7gEmadOQbPdl0zsNa9rWGUptPCkkj56B2XrsPwZNOympqhDeuUVD21 sbWRJn+pNXwMCXDz9612+Vyhj5NSbnPDoYiRXlBcIBRKMWy7WbRdxwifNqUTGV7hsN10/pZFh5ac MQt6KTCFyORHO7dhWxk78Hibhe6r9dYazIjX6iz/3HXdBy0lrPeE8aSJJTZ5C2XLO6tiyd3dm7Bn QIXjii0AufIkPA6uGYIjLoIBLGNMCSO6e+2aqCe8w+UATkH7shyMt3QfM3ksx1oVfQK48Xb4kAwQ pCQEfO/FNYOqFSDWzRW+gglrt5FVST8M1ZErB51wUWg6k6dRu9UKHHAnZGZzx5U5pgqTIBfKD8Gx O5FfBbSxZkzQVf8AQ8Jb8Q0xexAO+mMxzn9KnJ+/9JMnqggTbp/ANMMfJh5JudavkvGocrtLTx2r qcVRiA5hHsyqU1EuvGCq6F9BdgTCxMu3E8nCAMVdHBGxZjQtEI/18Z6cpngyORRE60D63Rbgufbn E3nZi73652mAt7ul3vCsjmLL/lpdclQY15IVpUkDu1G96ZdgFKS/jGlKKwkGUmtk6yhOvZu2rAIv 2yXQNIU36DRNDhIXtemEtZkU8qPUt0B/t38l0VbOtfCV90QI7aAHgcBKllMaJMlXn4IKMX8WEm+p Yj8c059l3z9MuzFHkCLJLDBHx5CC8hLOzbnmg+zEhfUwvlBjB/eABNdEdGAnQXhyDhvXIMep0T89 ujvXFmpjOufeILm7ZkEmAKcEf8gdgvE33f+Kd3vYNqittxA2ELEi3DMZrp5HSWtxo1pmk7Qerwsb bVdfJTIXgTpj1RAHlq5UcK9Xo/N1VRzjOzqw2yu0i8CaAZJJJg9fF1v7lV6zramI/liI311gHGns bwGNft/YJExRKi1F3nFRkhA9AtK0mBBgpLIBNNM626lbjMSPY62iQoUUx2ZLI9Sp9Ri/1fE/hJn4 2OudSwRdh1qEGKwYPYm3phbBxz0Pw20HWezDGMIYXX0Wa57y/zLwf3Fxmfpy37QQInMeryBO8Sda zZ4H7IE4zviSVKS+dtVJRLVwVkQa59JxW6PdVXQGMtWGw7O5G+nsZBJdNBHvrvujMG99Q/40azUB E/6FyhF3M/CvFmpjl1YgjIE9UHCkOuZySfDhzp1Zn/UncS0cg5Uoy+8n9wbszn71ZwS6/H0Mb+cT ZYdKt7LlFLSBbTN7vkfINf5OBbzPmEOjfmRoz+xUZqhjd+w+YFMFYkloE81GBIaKNhJl/JwDBJ2y 0e0rtvYnHNixgamqzPYIIi8Bpd5/rLEoAU8eJNwgG4PQDi2udJKLtwAYEO/SAC1cWqYEE4fmmp+o SX5pm7mqgXqDnP60Ifp9W8ZD3AyxBwalVxR+n4rw/XrPkB7Oyj7SKB601jnXZjTGL0u641rFauQJ qDz6AK7LujhyV2I1xmL5XnynXUz/ZAkuyQBWTGr7i4209eWKVLy1rYuX+QvfpBAxX8roaTIJ68kb I1FaTtBuRNsA188gd/OG3pSi6DGcR1gip4nKc+wd6Ira/RtjZXwZ7oZ4LQU0GFsVDmChKCdWnPoy vUmbaSYxlWhF1ppdKjY2aoe5P1oSmVfkzzdbBFW4D7FTEY12kXNkrglrWX72tg6vkq4zRWetGJPc XGiaX+HVPPVIe5teajd67YkfPshSE5BXgtEiFd34hZTeVLDtpBCY9fneyaTvU/Q5B9YQHZ3k2Bj6 nXpNN/pX4SvDuVnyr15eGAYQmNUK4A4TC0wJ+OIYrHkijgmiRzolcvIg2MxN3oj546BgvYlMHJFL XqZ2LzQ0gIKfwtXWgB73TnPMP7OkuQP97yakLFHEDW6WoWtFJy2zOikEsdN4jJbo5xZnHf8nIbuu Q1erbywTCoGOuIT+LGo0eDl9es6+uqRLL7nnuzrF/c0TeBLGwk2VQU9DyVdPynSNVVLTMBwbxi9Q K0Sab0mC1nXhufLfahg0yYe4bOm7aUZHoBSp0K1T970BtUNo8V43QQuPQ0sWm0E1IMlFyrN0yP60 TtUuuXxslcIz03/+Z0Wvfs6P4VR02AsovE3rqzNW6xV0DZyLpeLbBEaQQ1EdFzAQk93m4MJ6ga7V SO2YAn+iXW1HTz+d3FVUeTv+jKA9Qge8vyQu6ZT9o/tuqB1MJI5oLQUsuzTpcMNHN0JUTEHxiRc6 E7DiyO0+UYZN5EhZ1B6hHYTuMF8c0Yq8+CXvVT/j1vP/GgehoSXnXcIfh3H8pO4J3c4X2P0K7qMq Y4QnVI57lL4SHv7KWbCjyQ7GgYk75eHn1m9RJrDiJe1c79Q1wFIJNx3aTyT62kYs9RgH7yZulg3U 565crkh1eg0A4ploArg4ZRHflv3KKeQgBLIrEI5wnCjMWx9AbV6ZPkYc5SPhQfHZrx3Zxa7lekvp aObbbDArZ8f2/h6muRSeTrCaRXdTJuREaIpO5Xibd/fFfOyegDvQ34r/rXfjhROMERT6zwpJW7/j hD+Cd+Zvu5BQ8l/scFL2QX637NxFRU20usMePWSQo/XK74pQx/pyTfzmOzb26MlvVAx9OZlUmNLL d02IyHE98Sd4b6bKPks/r8aAdNZYRH8yRSQT6I9/1j8hUvWSbb1VEP8Dq765isX80DI3Rau6X5PK W4H43uoP/Ua3wWXV3xCebnh6cUj7esIrIS3x78Y7iiUvcakPz+8egN1esZavTtJzPehw1KbBxUSZ IfHecxVxCDfqtPoWGh2ykzEHkL08gK3wxCxWjS1CA2njjaY8728Ri/CGH7elJeWenyB6O63e0+jJ C87SZxdkqbBYEbK0Xae8ItNCvtDKvd+A+rdDtB56RgsqkwRdf8GTQSjjbwAtXxnYgzL/QWqObYRZ P/BGto6SnufnfNj3KsmlYeelVjJBqDp3od0TWpwUvZ4HjRlzIPfLXCKSDEZU8nEoD3bhVK14qX5Z e4oI9eZrPmZCdTV6SL2TLiA0qrVH5ogdTdqOh3tDkPRUqyI7Loa+MZkP1PkjC/J11ozloQz5ysG8 51zO4ctwcIoT9idIInk+3edEHoPVDIlXmnZVM1GvM2DFYXyX3q3YqaeqAv8wn+m/nKM9xTvTnv7a hdxJM24ZiavTbdT0RZhAe5tNE8S39BjcGZp+6B9aRgRNCQzLpCVYc2NeCL+DtKb3wjeaQe2bqmDp lK0SYAmciuYrJGpPtugtkzx1JhXUUMToP3DIr3NAReSY5pEEeguppM0cqSSC1uZcETe0kN8vpDTN GBfQzSAytONBIqAtzprkKtM80fx9nL0oBvsiUOW/DV9+xZCbSrbcANcyjPbXEU574O4IkgWfbI0/ is2+pUxBmQBDGih3o6MWO+mVeMv4R2bZUpmAq3zD7R3l4+cylZ4T1rUqdK0aY6UmLhrTPBV1LVmg uBPzLEjuzYcAnGKW246N+HYE3AHf4LBptfS7dfWjNOP0NeIb90bDIi1isBi1T6UiOuVh2P98hYhV F4Bm7Y+1Hr4zAR2LXfA0n2PL5BlYZaisbNnLSkX8/Nxq89BlGd+syhxPCb8d9WGv7bzHJyku1/hz eEQY9cX6PJqNuVGXhS5fQLqZpBLfV5l8POTBdTQSBhRKD/gNeYsHSB/quJoeZ0mZYbMgthkkXJPU 4xRdp9RcjRudy9ybWkuHMvut0nNABfBUrl9tSHVbvN+iThBe0bdPcEW8txuALaAxKl5m5R6dgvKp hljJLo7GIWEdjkJ1KA6zAjqBLO4S/PsSI728oz1L6pbfBV2VGToXgTj6G2/R9Zvc7TT8z4vMek6M UzvuYR5buIec2caLCE7l6hWYPpRVb25kNmMN/elo7aT0cjO2ylTSr1HOoPkfc55FrCI36iUAsOzL dxh3WZEZdvk8ulfh7RkwLWHdvyFZuUYl3hpovcBfoUIwUzf88fCBwGMpViREtjKIHPpmR0SFdH/s N0pLLxfSWoBnLpUBpqsZCjDNp+PaEaCJWujnCCg3i6v3I+YfirvSA+dXbpAzwgVAQFtB4zOI8NIR /qCXswA+YFlwxj06kGbJtou8SkntvT/u6NyJCsgPStpqjdYr0CKYjEpeYkLzLHuj1Q3FRmLtTiMg MXK6d8Nrj2Y/10KuWpYwRRByTm6ion0MQ2aEYnRWFLc9ylQYMp+vsTGCV5AkkqeXjNJ8NDZmf8HE vTtyjqh0G98XB+IpY9u/n4JheszySu9lHqM5jvn+cCYaM7+uS4h4aIaBY3uSfphLBgtglU/7DWzx l9SU3QgOpLD7TO2uLa8LDmrsXse4D59MgKChu+dT+CuceCOmb/NpCjS7P81yU0trRdSPG3tzvbpT Pr/EN+OfWNrxP+HsvdAPOTeU+d+D+G2j+Tfbh0KRGENlPXi1osmHF38Hw/xbwFeh0WZrMO+b21LA Wg1UrM1kVCwlmKE58nVlYzVNeK0ytm129n5FC/ieDRig/r+sNcNuyorWoEaV7EKAuZ0fFOQFqeKz fTC2ff2HZZ4Jo+Amrwl7SVFw8FKb/d/JxCWc3liN2cDRlO0UuGBk68ukecHCc7MlFdMprsVIrOwn TUjZWgy37adi242WOiDrLC5tC6qYmTtGWyx6PJrXhlBRon9Hw/VFktSE2y3/23CU5D8Rty+jxiDW XKDOLGy/IzHapu4gra6sfCpC1NuQK6Rorzaw6SFrq1Wstjl2kZp9wjOtMRGdH2yvREfIfmimTqPO W2AWXEm2LBRbG5mq2EVQ+JkwlXQG4rKhVZ1dqT6AKx2cE+j5W3rwdGnRWcoWP/p9jjkX+8gfPKqo h2a30JFYXGlc8Ac5kQGGaeHnUKMR1yUTpm5wTuy+c8hUSNxe0/GBYoOBQufJ3oFrqc0mcW2M0oK3 ING03UayX7k5uGtNOFMxLFNuv+nH0HI/0EVMdsXZaxBON0ImNn4Mq2dJU0v28t4nZydi1TnRXa9D V5OKNMeAwirV6+EJvHb56GFgQ1ojrw99Cr0h7rQLTsDU+u4tZepkUUPI1ZFQZKUWhSaqrZKeg/ql uEpqyUUPxO+g011xSiH8HdagGy8iU7sBQ7ixNLa7RL5IBxzshCi7EDK6MkYDNNm+n6uCqbwFmXjW 5QthGyNyKf1+1m1adJWXhGKT34IDiG3NppwcvqHjKXYboDRAVYYweo4DFiTRzZUuCLbVdFAi4Mzq nS76IS3lgCdNhgmtdFvZJMa5QoIkNuEOPMEk33SCSn0P8w4Zaztfk+UStKfjSzJqK4p9CaQonYmh 3gmZZjz3H3ANjhFxuS7Msdtl544Y6KG0O+Ai3H6AioqxbwDq9qWCnyxCN+TmnmopCRGn7wPWXfZl 0j8MnviRC3ssUC/pCDpRFmPvfQEstd33d7Hm6fN6/hB0+hbPv/lOlPo/jY9f5KY47m/7CAY0Y3Ef U+gRPEqXD6+RCon9LBCWtIPAIjJeGuegtnvh3JH1oGs90qOAFuwDA9H6UEUM3J7FQgcRsbkvujNR C2QydSxTHibKdOM8NSoFnVvk29a95BPJDsCdzGw50Rk4G0aeEx9AiT7LZQoolkNdWAv1L9xiKsqb 8J8FH6LKZoQJ1xGSM+lOynkCeJSSeEGOxuAttgp5bYrMPW74wvEu/FAgZMUbh6G/Uv69sg7XEU85 um0eeiGk58Z7z3//fytbUc1/E/RHtOFbpds6AYrnZoAhTw8eRI2QeaVoH47aIKixRzWYyrzfWNvS A71M2yBwhnx1bLXlRD9t7bn4XOAg8nwEnpn9vpnjFYOYkU7y6pmyTY76RCvf/c5m5dGsm70sJbsC UPXsrA+ak1GnGudBMmmhsvTm40B5MU9ToBnkjDcK95XqA77bAe/v4PqiOA0mwrdLnVfrCV6+3fdS tF07roTat6CA6zNLkMK2FPlmS84gQRDejYKXMjZylXefXuxb8m9MRezwOfhEIS2eKgOXG0j4qWQr mswkDeQHtkjcvUUa8dzFDUSh44MDDuH/XkwvDT860257mxQ1RI//bQCqtPAT5wVNlS3ZepF2CYiH MEDjMh5ZNBHyx44dF2SPeLyGDW0VPFUmqatU6FBcbwT2Ud/Aq8Na3MZzDUWBoWfxTpWzC9Gs38PK AQN0yIwcIwOLv625ZSddV+5rs0AvIBtBfX0lyO3O+2Tz5U507Ovxw1ETLDFMF348hlab2UGSocq/ bnZoQCluuNT6WyrKLswc3+orCU0ncuMGpYsjVlzRzXvzgTErkIWdFiBGgQYInsnOkBnurksecYfe FH3hddE1EXd35P5gtzFONQDtb5QrLSyHjinxA6Wp2+30Itnm4XdT7TTyog8Foh3lMXePpQYL/dMa JMCAI4gnZs5Wu7stUwRc8OJrxiXQ0MBynS4o5cWzHq4gvErm5C5FeQm7xPW4Ds4YR1SDzSi37hyp aykRgeAZn+pVjjechvETXfuXwDORZLyGVpcuvlSuuKtJgdENFtlYIWxP0NF24utPwpUJG72gaJoI 8PQ3TdKbjE9T56uI95y2rwdb3RjQE1tYXDrajrZuxIWsjvsImUTGPeerXatRW47qqAzbv3PznWAK 79tWxjjnFFDkgJskSwQ0sdJHBhJCdpvWveFITVit4Wm5BzPbjCdvZ5phgmXqmqWzSJJNGWg60IDE Ri1xODj8YT0D6tviLxSCuxRg5ZvNyYEP6n4YaXz/P9z1dyRBUZM2VuAxDmOzDYzBDclu+jLpp7x/ PaKFC3NryzokPRcCA6Q74yIOBtNbK28JBsio93pUXeSESGAKPWsz7F9YtHtxw3P7B1mKYo1PbG0F +BAppLK7l8NDSAhMlRkjSeOq3fpk+dApQ6od0k3DIWYLWg8K1UI4vkoyLu47u4I0kMyJpYc8a/7V k40myIjCQCpcHMpj3V71oJ+dcXho+pjdXhQGdfdp+UFxmOs4Mafhp9DwyF+6F9WTSypHIoCLXmub N1s9Vnt4Bx6+m8CfdBC65mwOdppNyOtMYOtsw/YM/JTEdPg9k1zIVrzculv8EPukv0Jd1SpQFtzC ZUhPR0UT6zVcbVxgL1xlCEvuaaJNCbNi+V/CQzeyVsl0cfmYJpMpto3/YF0D8JU5HNM/56pupAZq zkvYRdeUKH8mYHmd2RJJgl2nuyeUa60NVVqvExzgzWV53GN/26PwyMQKxBgc6ievSZI9UefWrGTn 2I4Ff9TBQmwn0BvCtIocY35i3ZUvNJ6hgbl30QzU7A6f1RMGxs0l358V/9qN0kEJt/TbD+fSPJ67 3ZZqUBZoYG9BjBsHE2FHMYoV1znBF2dtmgFurGo6iH9i7ghVbKkSsJcPnOhW+YKGIrjm1q4V8vVy 4FzuJ6hfYjv9TWZUWN1Rl7HZ/qVZp6JGttUJqAr0tCOfJS1UYZpAzk8LnbegMWA4KBicsXusAK01 Z8nAPqWamJxZyKennsx2xSuaJ/3HiWQJ8bE7jjGUcH21IL5niexVQjKTZRw0LMk1/lZHmT4PQ1GW pcGB5p4j38JsJWKwrLyH+jJ45TgINShbepHu0fM42K4i1ivygy75cnPeK9tTUA5LuxIUwP49sODG ErwATF/cEmZPl5HiiikJOBxnQA7TJysT3VxMvTTTQezkQebnasj+Uvue2Zzb2/p1rdhent4ZDsD+ zaFCCMWkpjOSQQMq66cZUuNXNNJ7chTK0QziKzSM7LWfCQLFrFitK1k3XJ60+BAiAglsyD2CGTfE JpBsu0xZiVPjD3JHHMnyb3lLN3zCEWm1btlymQ78fCFRwF0qngXLhmH0XkLOwXJKiJwEPSwCn0XE vJweiogIw3tJWX8ffUFn+9I1JxlHsDgd1YEdHbojh0QtQclxVgTFTgK+ZbFn9U2rd3IIvx/JcRsW VIOvPKvtJ2rG/d6Wg8KUdttJQXJ2UsGgXxRCSN+dMUDnsG+icTbZGwQ+RMYsUeJ1YDn9aSSVsetX m4ATUWrwy3MW3tM3qpEgh9o900fihRWX4QyIP6P53fbc524/2mtDAzqbA9b32hWz4YgmqvzLjtdb mRQ2y4KC1xazyCD4Q23bXUg4AEspfesKt+i7POmZICImvz63HbRFe243fRDs+MKgqAXrPSogbtMW 4/qALRzm9J7q1lWyelbvcxzADOo0TBVB5CCdaIEP69iHarT7G0DOUOLWa1NR7CRFruCk84PLipE4 XZ7SIrlQZh48ycae7+Y92ExnrHFjedHijpmdI7l3HRoGWtQ/wOYW8zHLOgbPGCqb5LgcPEvChMH6 17LQSrqnCGyhZt+dyqy3/rzdtRxgecOdq4aX8GJ2QTa8h0KCrKGZXJE9NJct7cmVu3/GHC41gki7 WlHolXQJafUN4khx/YdrJ3EuDjs8bU3Ps5zHLqDi/REvjM6eLZxXReIVvtrO3RNmkqaQB/PmpBH4 5aolvHmg9Vtv8YvguiEC7Da5vg+ep5Vw/4ixRrReOIPUUwc7zd8SZqjUgDQo0WNao25hV1l223MF LznISJKuOiNuygIl2npaSMcwtEIrTYZ45upHzVk+4MS6DZhuaSYKOgcI38959rnSu/sGzMfryJNB 2Hl2voLuYnZz/diMFWlf5be3Rys5Tto97kG8j2uqFuez54Yzd52mFvSP1xs5SXJSrP9POeH1l1tr 283SUoPUmRrMulUacx1NmxilsDp+iJ/dLD8TkO8Fr/s++1qCV/FXS6dcCptCvkas1gBqZRsdPt12 e0VWiozSUR9G4EyjAhQc0BmVktXPwz/DeYOogiAWuXAvTaiUZGvcYheMcYl7XYYyw8pJaB9jdZGV Ptv0Ci+BqxKtHHFhi5VsHpl0R7R6cwX6ogKjt+sU9X2/YOSLfKBjgG2uajq+CExgdmdAUutri3FP eP4NkZOEbbbD4PBk0mWC0+Hw2m5xTqpS8MRsCqPWterRYYU9zCwr0vcrLHIGUKRAaRbpTdWmooVo G3UmYVYp1Rz/5B0anwIWfqndco8uC4M8spIgEuKMYn5bGHCVagNbU7xJDEoqThY5a+FyIPh7QuFJ Fskj+AAnYhj3Sa82kGoSDcySv51fqzxV+FJmwV5ckMNNVGnxcv48CHKQvvSv4589uxceQUE1VCe/ lHzKwOJVoiRWpFLc8v5Ok1qI9357mpJ6adSHljqXxNl90qn+Ty44vA9rUwmky7oqyDSlFUkSBi2+ WuaGKQ7b/xoCP1qZUTtKNO1xfD03Sf4f7G7UWYEJCBx8OTOyDoRwhQY2vI+gHOiwZXWBkmPJ84ar iebzFCBJp64UVx8t3Ue6GPby8SVjgdT3X+dHmUJ9k/BhrkLHbwe8WNjKaHuAulqZu97sR8Ev7nRA 4iw5AsGcu7GAkzaHIL2zLC/1hUVtBWjuweJF9g3z9lICuPXMosYYmvrmjgBX+FAbqDkqRYVDbTL6 b3CzGh2pw8vqRzrA3Hkyf9m9NfU9fGVkjy3NEXoVebNkGXP+/qZ4CeYvOx4526HC2PXaR9hlvm9D PQdJWL7b/ybVE9fIesREECX994pjByz9kD1xNKf2gMyGW6TUdaCn4jbIkQOjj9x26oeqkd0aZM75 +W9b6gsPhUwm9mtrCJx+lImMySd2QLapbf8ZxnZ0ANXTgYBA7OteTLhRpqJkJ2TgYtMPUKz3LdTP XLP5TldmVOcN2CY6thBUU9SKMUbs2Epz6PqbTlzf9dlbIwxmYy/qBozm8RHpkNqfGLpfUxzy0Q+I /lUmrFPOk9WL/PjepQm4ITR3I7AYHyj09QIry2fIfaai2TRZRdRoKTJC9cpzZs4AMINifd7E+rT2 qI4oPI/w7511q8CMQ/NFMutGjJPmwi6afdPDJ8HOdgYA27LGeuFqV93phcoGUZ3uwIuSSdE7HL/7 hah/H1oeNWnUdrod7ax5bnUUnvudiUXCjbEvYixUSCJsrpRUOUTlPKuhOnjV9CLS86YRA00Eag14 r+xKvFFgEXyo1aFAHixXomuGqd3dGCY9C/xcvKxZlNuUTvlrvDdOkkY7ue2MWst8PCqEkereAasQ 9NIsoaJneW1zgde8iDZ2139hwZ/zMCYUiMEYQ6fgxfrGUKUUXiXzVnNbtZz699NkoM26MCEQTqdg OWMYrrFAwywkXxSrZCcfpEzv20C719AAScdSQpiQSaPjFcS0hcsRXLm6sy2w6SzD0VXUzCWcQSUK EJjUPq6r5GIPPqSoLe7SN+axyGGqFl5OIgTVoAqoVrB/qa8ClckzRbI34b+PMsMN/V6BTVZtrb4r SXfVpIZ769/OPIW34UFjbxhXmEiSR9ACEcqnr1oHGKHLlAjPmOkGkFFs/vdq7cRGwx8j64lY8z/n xGF3ifdZxTGNpv5Fdzj0k+GZ3Qzo+xqwGHP8xqXhAKyHlkHdnNu/666fskoJZvWfF8illN27pNb1 oC2yT6H6koZnySyhMyaI/+kknxZZtdkUxxT9zHQtshOMatSuF6aHAEc2uMB0MlbY+++tgPizH2EA XOsfGx7SkbKcU1hpK5R6Q3XgR+EEfPgjmzpwbhEemsuvNQc0lecXFTxiAMNGVXRnMLMbWPcqniT0 MNlMaAIEq8bOSomMXSNgAjnAggIfgUfZ/obzQXdAdKkysJs+w3H2iS7kYOE7UCFtIrM/OcZi+6uw ydN8+LG4IGjNUnlXT/5BijisIKAqjpDZAQShyofxUJyo8b7aRSfi8YkIZVnAu/4lnThMcO1Ax1vF tH52w+fnxPtD4G/P6++emRQ+Rm0pk+cu/BjthDsWhGLJ9KOw82taAu2gINqWi5eAxtYNLBhByS0Y jXSiiYpNJqsF3tbnZLmc8vxRzM91OHnHH2Cj/T7Zn4UeaWKxzJbiNAXNtgtwHrb9gNBLP1sFV5fu zzAvj+PViqKVLk3/1HlJJAy2Su8hoWKeWvNirGMXJOSPmpzrKV05qyoNgDIz/5h92U9o1u8BNurF wvFZf+OVGsiWIZ1fYAUlKhZ1LQGUaGXAqT9xapdpf90/5OX/y/pgtWFcMQM7maevwUvRmZu7lV6j 0KVKmH47absFhYJ5gzvxcDfRCooYMbBb65mLlIY8XDtl49XgUbeyVeH2c8aO3Hj7eUqzpNsEyGxm /vw/6iFsDAw5KP28Q8N1kOsqjUqv3gW6SBRK55nkeH8hfzv6deptvov7+8o21h7hGQwkaHVXc2V3 bPzEgJyornJU87sgiKddYMUPfcq5SB9bl0xzd7C5zH/bYXXTNMz648fOrKByz+2FCrfuCNX+aaYI UQQFOQtfnVw1DDD3TeGZSC+LV6+os2udeyoUar+TmBOnSdOnyIJ76paG93InYl1djlVrN07GnCPy 6TBmX+hqLr3IlYsW+xbTFjQLTyBQfxEa09d4nUp2JqJNbi/irBzQHs6d47UvWsj5/79oEKwvw4g+ OllMH3v9OMh/7ibjMGMdKh61FaIBKumaL/XmNukMDVL2BaSS6jR9Ylszv0286KCy82DMgMREH3yW 9toZZQZcLgBOHDaYjP3SRRa045ma9zZZypold7BZD+tADRkFkR1MxSb91G1hiot3G1qQrOxR0sAU QekdEskxZg5l3yeKKqCMXTufFLX/Rjy+6mpcnaqXj972VgoVIx4EBJiEflOa61PgNjQHZSFtBNdk OV6jLKZ3KZsiaxC3Zayy0DpD4IVvukCVa1ZlCzEFsjkMAX84R01RII236y1rupk3PSaBMtVQudG0 S3uGS0YTZnSoinWDUGfdhj7ibnMTUZ1u5ITUYnenwPqm/Ynv2IY6CvHysIKz2Z/HNlIMSsCnGIoU +hQsbjf+zLGFvr+YoMPfCzYT27dBIwpHVL8DPxqHnLN2OYGeTb1xlqF9R42xSVyGOJjlv1mhjlZd TBugBxllOgH6QBR1H3Y0JtRjfnpXgRWpePOVPem6CGvslNhtXT9x/LKyLmole7HdwekBG7hd8V0E ZRyVW5McrLO1K8B0KV4cbdqE++0Hod5G2RFRHegaEaC7/+kDwMERFXX9Bhlrk7jBSUny2aEtunnT xALjTj/ex++LCZUEA81iSAk2W7jXBdjyRkQ30MdIlFUGv58xVW8e6ObD/PfQcIMPs2YCviqBChWl v05oe3CLw58mNF42vfccx1mkdIvej9m97GYgFgYifeHXYFr1yP8ilRTQRdGbxghWMkQzfAba2uhF /wIWWhaql3Uq7JJ8Kg7vNSY8/zX/nWCdDdnpBd0i/rkdL0XF3b5LzA4oI3Ncd+iZGBOdLTLmc7eb vsylEufJBhz2ZiDvzJeBQqgSzpVamhnSmePldQvMfB+Qw7NVNTSV0bSHeGO4MgVbwS3a+ScR7ST3 xRB68Y0DGFHApFoh89LJpCPGFo9lVRFdYDboWzig/VmnzWoVHMvbjLQPvv2pOcVbaXKym+n9YBVJ RHQg0c/hL/QI0hZbqgG/0cDD4zAbvZnfOZcoNZDUrBs6y7+zjxlE9TBNXICkO4m5V4MuB8etFg2B E1eoYOU6Pbqf8SzLpGJX1wg2H6lnMf9OT7Ux8taVAptujXimu6pENwnPCjHtyEmUgvHGfPe549SC dYa6Gygh03WwcEcgDtKkIf/oP/Hl1AEkW16vj/84ZKk62okTRYZzBPPOt9uEk7kYmfu6c7MHxRhk Opq1WTKK/jMV6mCWcJCDh0N8QKr7VluFeo0/RhG4hvkXNLi5PBRNo/N7hF0bfTM2tq5FFz6pj8vX xor9Er1dhBweB2nCapNuZUl93x4I7HRyXIBVLY9BVPKnhCMTqc5RyVycdjltlLkTKiew4ZlIVqJk 2X6vsPyobB1A3hxs3wkicRQFzayjE2APblgooTpTyv64Xv4uXdzhFdQjyhpXibQkwPFDBfFAla4p h7gLRL21G6TO0c1w7Qe8ZZpRiE2t1yY6NWTz42GrLPeLxJfcDzC69kOJNsDzQyxybFfqc6JeS0+G pccfzml91YBqCjxeWL/ZMlUH4voduoYPgkmrfzbezQz8nVkO4sK/Jz8Elgdo/nTJsbWvELE3OKs7 fjlH6VVw8k7pd/Nm7pvqe+6RigSv1doH1cvVmAAZUiTp6oR/I6fiQSOOY4/ThGTmQNmk06ELVjVf wxCbRwjslXkBVkGa5zdYheIqbkG9qmc0UXHQWDRNYgjJBlPQv1EcvS0gn9PBHqwqyXy38xtLDRcg sooECutWlvrnXmJU4vf3I5ViU+4J6h/JvfwGsSs2zDyOB0znAb0UI1/PjDJwkkCwhtJ1wWh3D6Z/ xpPvXYUg+QJVaQ7H1b3hGlGdBWTWhVrgo1nK/Ho8aLgHxt5DaJwcGu6ZhPzGDnjuKpurlnE7Otcr 2it93nFEDY/w+nCMC0BFombO4opk89DrPise27fSu1nZtUNzjOs4ePa9NHrPmiqU0NPuNKl6T4t+ pwCtmPP2IuwmFOJLcOkfuw6HWqnGaFXtVnb27miSO/n0OPkUCVCpUTu4F5WgKjdQBZm0GCeU3BYP iccP3Hj3BE/5xKBFe8sQ3l4ccARvqLYBgICc8Jgv2eBDy/vgPg03cp482pLmp+73BhU8hwW3TUmu P5Tsy7qLc35EHWt8dRx0LbX1flo5YYhoiTy0HEjWtKFK8tfx4kAnravMCOKtAbO3f+Lk/SMwuE4L 0IDAXoE/jePJ0Ck5NuIXJYkkflSqhqicbs4vjH9iL0kS84tlusCa9oLdC0HJYfdZ8osOYsIDTD1W +I7Lfgg/7cgihQkRQs8zNejSGUsC9rLKqswT3KIAJxDzupof1DcqlPIG9+ntA0QOjsK+2qGNcal2 DvqkJC6oAiv+vRANME8WO3k/ab0zQsXFGEnbG+hpxLuuVyhifkwW+ZQKGrPe39gmXg7SmNXNF7g8 TFkM02HC8N+65qtf5YBMJPAwqqfnP5MS1SSvnR7RUC6TaGNr5insuBhJJ28+CS5QlVe0J/WNxUsp bAHl7y6mdd549VRtOb3yVFIyAp5+2l8UN9ZI7FsodrKu+fhr5XfIvepvLUz7CPFHHP9DSwlmNIHb xlgALwzB8Ahf30upDXp2Eo1Nwidm6Z8eLm5ZzbgQ+sk8l2fRTKUHVfZ6cMQyHKyZRnGbTOhqgDk5 ullShYyV6MDULybmz/qWOM7NiIry780Z4O0H6vTqOfHz3op+/73sLDZJ0oqhnwuW+Nk6bP8MNWqB n/Roj112uOKT5n4x5WO1e/Re+v1QIm9qMVMivBTgIw91wYon+Sm0+3izf6opy1QXry8y4q7Q3Rva 6X2QJ9UksyladMz16GGibquejoB5TZDPkePKn9goE/pRCHXZ9xRXFaR88lhwQ5NsSfUQP5Ag5uIe UPvl8e6SO/fxQfkgf7z9Zony8vxQYxRint9iu8P8V7gjyNUN3zHIk49jZwwPDJZsQWjmuw23RS34 AYBNwN+4j9iJ0d4hqxgwvCEljGHkKcp4kjCNiscnLq5d7f2oorOORBz0kEM64hKA8NNFZChlHlcG 7w2DRMtzDIZ9jjbksKxeA9FhpLjjJaqIloK++ElYQrLR1Je0yEbGmzrVDQGtLgJUJCNnBorbWjjU puLO6EoI8+Pb8ZweOOt41QOSBC5vn7tiKdnjog7CfCK8ZGzMq5ZPswDd+xKwN0rxne/dz3lZs7tT +U9Am7Vcc2XZ2Xtd2t0wnJzXMIfdn8fQhf8H3TRWEOyVg1g5RLYceSC1qEGWOhdbhWjk/utIWTbA RzfPGZi4s3TMXrkzk8+EZhytQK+v5eRHeym/JNr+cS1W7m+MkGnMENww4j9MqmLUrbepDaDWfs91 Pn105sKXziWtkxbmk3wr7hvr1ueim6bNZ7NHIvjk6cH/KbH0wXXHVcx+SG/Qekf9MsHVF7itnvYp 3PUW2KWlQrSuwa6Fu2HwFOhSpyYBcnXkPhPT0gJRGPDkaXV5KVhpMAkGZvnb9BgbM1TsXO2uVf1Z 5Ge/vK2W5dIVz7FrGBqln1F50NmkLOJ9MY/BlejmU2d1+yJGxfmoGjuduMFWGOKiLpkuIaauFK0g EmwECd+FtmoSdIO50suI63DZstGBSMXKYcW9cBkKD9yrM9F2L+OsB8+bEcwlMA62OzO5236taWOm vi9X1sMeHpXrII6zjpT8uaHHuzjQJcnqbwFQzSX6rRZWXsPjVp/zCePrCPwZ3RrxQ3hGDduIedJv yQqWv4riU3pc49suTY7gwPcE1GTVp7MC9b9SxlO2dWtclvZ0JPeIWxqVCHUyke/O0vw0dqtNRLwm NATNbZxuPmCCU/DuH5oXdDaf7KE/4O0Wl9d8Q7Cbbkj/Zq6Xm168dMUcAgVHHgNtYiKlmXn2lE5F 26G1okp68P3AZOMutLMrLwuBcCzfMjfgACYQDxxotGnXTxCoCwZSRrB/un5DvCbWY8jQeVj8N1hn Kq0+uikR4A7y+240RfpMlRpUsXHoAHKGj78oo412g5qZAbHxHNHAp9vm6jPo57HESUB5BNLKZ+jZ CA4wC4vUmekdm4MVnspOXfqveEqWJWktyzfqKBBzfvnrG2I/ccrA2khQLDRhlL1jMoPAverxzq9V 8pgkQ7do9s1MN8CQZxPjsOfJ4d0gbZ6fQci5/wA2yEQ0hm0MmrNWOslyR1etC+IMN4SiVWn/Qmm6 RDhnFp78VeMDju/cXuHUgd7beQ4ip/ZacGwhemb6c8KGlyXXkonOE6Q3GbKDfkASiiRBBS8gLwlK NPzJhzF9YXRnhHKdkCX2BMsMFfpQtv/eh/yqtiRWouRouL/+F3dxprVLrOaelj/EFNNBor2jUZpp AzyQiJG4sldw6zaNEVgpkruiESTm/8jfHoz1uHUfoWZF2bJEKRTOD/1Bpwwsh5lMmUYT/HXrqfs2 h/92paDKkBzPMTdQ17Cj95k9iIjgVg6TqjmbHq2ujSsWJmrwVwhLOlOMqqktgTrD6XIAk5XtXWiS EhVHh77lHB/8zH8Ip3MHPg5cXbtrTQi9QB2cSZEt4RYgqCbXtaxEWwLlGVJa25A2kgfRjxSAVbiy YV9idn19js/P9Yli8ZRH3zfgJQbDTeqk5hwkYJy/xDl55/M8QEiKXFiDMopebSd0SeDiPeYikSTN voJnVl6CR8qvZrVC2zJWUH5v0Z9gmAXt3efdzkk2L1c+nDBvXh6+tO1R/wAGyn9829k1Hu0a8dkQ k8Yq0v5pILXMLKxMZPN8cmUT36xqyhZabWk6vWzQLy5LRn6GOZJNS3VA0lklYG5+lCbdyZCZu0Qz fBSpY67StUR+XoteUHQix2RjUaZgTUlrOtYOGR0wcLRwvsdcNxly8UPQNHl7vZyknomYjjFKsxaF QOwnSRuOEhKwRd+B1oD6FOCg2I64HhnR8HW8axHxCnWvjWrUaWmTQxJgRIr126GGm6i+EkMeSG1c YtEvs+g/XwSlhSnqsmVm3YI1ck3wd+BYhD/hzIdUQAumFLn8APi07ctD8WCPch1Xlp677Hqf+08n Z9QjASBCWw3BEY4WsdY+jT42e87UgC2IV79Ah6d4KCiFJH04V5tx+7wIIjNMd7vQFGSevMPtYm4s PKl+l81yhKH9oNwaUI7MLTulGuQfUvyEHZkyCVU9sYuYG2Fag9S9ZgXiaXEUKqopBo4gYXHi2zT2 8jw06EC/ERJfkhdmsqgnx94RFpv1n8bDeQlrA/GcjogmaIiRY0Ft15yK7ECrMQAXYsxbtvaUl53P S29xeMHxEH+O3FadZR2WsDEahWS5GAsDDlucPLx7/9wfL9TRV4hFypt/hyRVhIGxl2il/gn7FjcE Ss7wQPX3+c4aM1QQW2/2lRSoDBjTnbzeCwCqvyXqnw1faeoV3qDmvitAR1HaUjrmEyTqFHW38wdJ umBABG+svpFb6GwG9rxQW0FrqIM0jmuLEESBFUxzBwjjbgt7FUwDq9W1OWlllEtZg8RBlfJSgG3K hf90xcsJptdAZUPrOiPMJKiO3D3Nih6hrJRHx5dyrfeAEdOFwwY1686ofCh/OzPnHnB17Au7VeSY fPQYW5M0uJ+vBS+9XFdMiu9R44rQyqbfso8Iwu2F8LyUhlx19Wo+sEuZRuqlAsnT3RKHSlKzltvs ynfqEJ+gy2Tvf/n9lG25kibagNkNjrpwakvbg0/wdGVTJzgi8ipP5pKiSV/iuIwtdD1fbizTo4Iu RC0lgZTxmonbB6il5F/MvqvZJQwUIFZ+Klwn83FkDqVs8NbTkYKNdNWJYHWcBUMt1E1rDVaj25XM jFbBZJCvGS9Jh7pvlpg8fKz43PZ55n8h2rtxkOBj2sYKoC+sx2AU+ArKW5Ds5qx6wMOW9ZbhRl+L FmRygiCKwlVCLvvPT2uwZldVRj8oUjCvX4aJQ89X7WmcRrk8vzj8yT1E3sm0O1uCmWjTGMZb5z20 UcZp1aDF0OmLTpX0B0k7FHIMANs1yctgSDd9iavHXQRurOZldLkNvE35/sl9fu+JxtGVazEFyVeT rj85mTqfKI6LcbVh4qV2UyU0iiHmCAzq15fpwNnyJaNJMNYSFdY+4WMZv4qkzHwjCo0K4C1CFfUX wpng8ySxDfYfisWirf7Fksl5wemzvCuHY5LR96G30Y3pBi4GMcyX6v0JL30m35NIGlMTsOZRT1WR /E6e/gc2agi6EVzf6uzgemMYq+sIgA4GqPiEQlFQ68Uirdvpn2gpvc8BL4DGEoNIZQQksjOhHqgw 5ZFuZhwNkdIfmMp0RdRdmI6i/WqymzWlxznGxdIe+Z7s0vpgwFexAAAR0lOubEGpRqtLXAu9/Fgl su57eB+agYE31rzaqp+/AYb5htV5Juh8+zrIjvH4UBaXntiYlS+FVUDRX2U2SS0qRjtjj9C7Pv/a RlSlyK18kLxL8p2x5W+pQblIj6f5/Xoxp70uL3nbG0JZ+hKPHRcBaqq/9I07j9GeOfbp+q70l0jH vkYxf4UGyzNOiblq77bGbL2WKYWlobgsHtvZBwUz+Y6h8wIhmsuvlSq1JBVdZWBWxVk4NH1ypwER DD8iDQFJlT8eHkutJACsbQsnT9EY0//mB8FZpseA/YppzBpqmf7J9gcwVl+wys0/onwBa+m/2dH5 DPnofh54ufy62vy+oT3bVlAKNbqPT+KRbPYiIF6PuUreUxM2ZElr6keEilPhEMTs4a1rVZmTVwaR 6iTHYs12YwKPcXvskK1VnL92iVfhpS4kQF4dTynhpl9xVhyuI7Lkxap3JnPK/PZExDCC60RXdTI/ 2LMj217i9yta0FFmtKgK+c9VSC0Dlq0Jfns9ChaYiieRM1maPtoAXi892YxsNdirHv2R7YM21aOS JZ/wYgSo9f72mpvTrY4bV85KqpXY1RqmrFwPVi7HaSP+Pm4rfOmX6o3hjl/YydfPFeIZjSZHckF6 tjRd9o02WnshFmmHft7sWw+1vVNJWan8ZEbpzkcyEq3wjVsCmqAyDNaNtRKVxnBrWD9DiXF/hKZp miEW7pfH052ncLnLA9dcy61Kb00qf2+yNJ7J2mDzGqIz0R6Xgy0H9rjRfc2Vwt0jX5A4vaidgqYS GlJduLxyJ/EAhPbz1DieBXdz6DNWZMz9Ij0GAGN15UU1qaUBFcWCW93FjBiGeYHbohqsPtYD0Bvc VXvKez5zxcJAzmgXRy7XjhoMcDqBcNX/dBXij1Qa+wxz2BT6x7Vmsmj/tT9CNoPjwS0U+Q2W9SgI WNrnUU3TpkuuT4bcemttEwOYN+u64m7pjagURfvkElkfB8CVkk8cr6Q2r6PjIavhb1/BbiXv8C5Z MdDBjqQ1vYlkMRrrY4xI7lXNbXRKorrJuKXrNtDO9l7PGLSw8VlFPPz9qBX2zJqCMLSlVaAhuMrt LE06asS/Sh6Fkafeha3pgNFRHqUwuNoe1SmAoMfBghjDBggwtKt4d00oUtAFsFP+bQX7vAm18h/9 Ce8OkS8eIFt/tVwWfMwSF4H3m72ubHaH/pI2qwC0eYB7tWkYrRKAYO6UMRqv7P6EBXX4qZabwoj8 RwcqxXiuqGiH9+HGVnjke84jyxVeuE9tS2YXsgkNIUfwzdUKrQjOrqIXXnHMeTr7ZqpZgRmIF/qN Leh49nvW/bTPw4Z0jwf5qqphMQvXddomUeDPiaFQpPkvO/K6XWSMPX7DOJtNmciNplCF14qKh3ZC uE2ECIByTe+Doy0XYjLfvC/UlKD9KQwPFgmrqkbXZkSUwm0SRK1HQQWPYjYIn9KF+GeGcz4cBHf0 fD188dKAEfT3UISyLZR+utHKO2DEQ+OQC9HnQ4vSwttju7tl4tL5kSFUH8gPrwLGHh5jAP+VRd5G SMUP1zftjSaiYQL/Bq81goAD8qG5IsUv1Bb+7oO9NfLB7otimvl7h4rkNMrEegDxblsFloSDj5HN Zgr12Ma/4xBHh1HXZDVfki47XciKTyDgP5wFmzJhEZM4mC3uXjHQl8E2yz+dCpMkgA07rGnLBtM3 4JiAvp8choICMenlIM9Uq6U8KWlydTiCxb9/YxSMw5XAefloUUR9eETI4gkTAljLa9oTtUHVf3mw YpwI90qscJe+iw4SL3PuWq+pwjPl1aMgubwYrXOjPikkJRN8VNiwgsGjxghb0EGGIU7hKeAomvJG REn0Aonsv7Z7eCjDofjoiqGjCPhfzFLyacLsxWRM3McLW3u1s+aWPXSA7xzeLaZlVLYMczG6hG6E DsT97EOSmkSf9XVH54dRo/63AJhIvnNsMqJl0JnmkOneiF7vqc0GqGfgiM8TeFU1yD3oLfK7VcPd Fk5vqwIDOVousTeuFl9vcS6BaiKFx1/vGSywNPE/ipRf+GoHQT8gzNkNE8bxJW5xLWW2SLudYTuu xe+296X2ztP0YM+xllvym6gcB801LH8bRlREZzVJOHsQuphWRuBoPYkM6c7naN2+3D/aipBAp1qj 9gYnY4Ej9S02jiFVW4GROqL1w6RTM0c73qM47dHaErgKV8O7UAK4iJtdgZywknUuVeb//PxkXg4X P72qdUhfB5CipxECJg5oLctUQQpFmtZpIRCe6ZG69622dtc+GuLCD9EH3JXCC8/7pF3Ce6uYdL4Q qj4ms6o2f7ffdSc0lMsqSAhfzexmX2TRhMTTlS9N1iYDnIWFTT7CAC5PvpNhUG8gRKpf94NfiDJN kwFAhdtXHrotsyd8RtsPtzM88Xlpc4zZWkr0Lq6H2KPEZvz11r3yO8DiKBmo4Sxi61nmdhz40Pjr 95oMH6fdQ9W/ciorNDx+KBfrzNASRX/cxXZeyflEH03Tf+i5VNd5S+RFHuQJ8pQlfQxvs10leA8D iwUh3sVto2wVpobJF2wRCcTUKShbwbXyZn7vf3O565nmGuSIdQNUddjIshcTJi9ocsMM0CzbweHk E+uBGOtvMcANS+Z3U/9ySo2h4j50qXXjQEYqZF7mmY407ICnO95TCLZzpGv6XPsnh/gIEYQu98nj gixE7dkOFEURzyJ2GT5vSB7251pw5c0CzfZkdRRpWzDDmKLw+19kRIbD2r8Py6nwkFJZzjmSJWtu D/WlZBteRUFPWgEwe4COUano1v9WoAA8u+glmw1Q0hifgWMj/4TVQlXvDIzUfZs0cKu2JEVOj+k8 X9/s/jtHdByF3TpQTmtUJc/RSGxoQcrNzlRGpOKXvt8GkByWuk2kh6ner8yXjB6M8RWTD5iX7D2N JjkLP/n3zVpm/F4fFrIfGq1pQGU9Pr4Brlzt5jK0jG1zfVM/PysAh/1Lvu2ArERhRBk5bWqkirmJ /CaKpaTpYQR1qBiJi45Q8n2gc9WHud9dZa48KOXMEv5npkjujH71o7P2p5CumBNJakIY+cgFz0BP AhJVrbydjZLOI2cmueEVI5MU8D1sAwCP5wfq2uVqC7KIFW51OOi49Jw+MYYutfq5KRt3R6l+/Tui 7Y7JhDcJFWOWnACyA3ahN8K8w0BuL9wt9HslivhYsDEbgRTE3ulArzNIkoETY9ruuwxp6A2cMNxJ mfxP5FyLlk5EcpFUURbVugAslORZYHX86Z2ELoGqGdANRbmOOf7OC8QF2u1UQVcDLNg9R+N/rytT FA7JlQA0/A9+aw34lk5q27sje6Wjex9WVFZkeKGPy2lLRp59uUW8sCAQIw8VtPhN+Tty3GZ91aSY p6T2+/oshTlFHV+GgRlIXR4WgtGOjYDeMynG+B7S49GS7cXUtf3k0cqO+Aqz32C2LtrIkrFkcS20 H69qs9IU647Yim9bus2B+PXqAU1TbMWAgAd7E/KIcNN1T1QDCxbilUswEAs88f3i49mqYFa9ZWRz mnf031xZ7pA+xQWGhIvjqqNBee9aLoPNPFT1yZyq/+JfugnT8vB/9XHLmum/fqC6yfKSvvialvE5 SpMGaqKscLJb3ZPXw5waWM/Cky56h4NCPGU4EkJvqSKUugEZnHRLzqANIx9urzFoHPVBlKsJYSfF 0ZdDpC27tIJoyGXKl50bej2pdz0V8kshbm2ngCjBE9w+nTUjPf2fs3aWe2QjCFQTO0NlW1OOOfXY YaMltI8qNdm+kArYzprR4sVwEjdNsyJXZ0GG+i2JaLQU+m7BgG/uUEjQ7WHAWdjkTg7kC6CFLMuc Ahn9q35+F1JVHYEY1J4v3JsFb41a/Qj7d37ZZrwX7YEvAk5LleUwGvQPCOMf17x00o9uoZXyeOzR fHQ1vim4zYjyQKPaSqDj952i04n8cInusQjHlt9xamFlHTRUOzg+cqOIizHHOgEbNu2bJ3LBa0IH kZ5WyT2pgeX48VCPcXWnbEwZmBDnM1X3gmH0vWKx36bsFM8NWHfZQTMFjc0EX0AXdKYMKA8zaS9o gVx8crNcNV0npnsk/HPpIOj2d7BOV0tVosCor6KcRH/glW8BrNuoMLYM5NF5aDqaZtqUok3rFzIM AVQq5Fn3iRpbr/TV1PxCNcYlGuT34GM6xDIgnmH+T/cJKV5z6GaBN1H92ZV3FI5UZAcuDbT6kwM8 DCf476QZb8T8AAQ9CGQOFCpnvP225HUO5UsXI9mQsPUQjXm9npFHvrvTjZ7P9zCdYYpnD6QNyTDb gZxRzk0QXmWbMR+T62WWV3fY3aYDtG3A9ocNIXH+h/Mau4Qbvx/WYt3Gy1MMXdxI3t6LzPiQCyx6 IanVEIntfL55Tjf6JpX2E5vY0DJ0AFmiCBxMJcKByYsWUoieAOVGxwi3/4dHaQtqeVnL1nCJ1FBi XZ4T7KhpMqHv13zM0lbPIzHU7D+6znvnVtsBuJcBryrb5mUPYXaN/Mici2bLNaB8otCYkk3Bd3GA sN3l0RwJ8dznegVBrErcyW70S6ckj4J0/o1ODGFVyJ91augfiQI9a6FEN6xKYAaLayJoM6sCoa7g ZueJUxQrKbWh+9gM70paytA14ZQKaxbxs6YclpqrFlxxd60QduLzJy8LVsbHLGrRXNzs1YAIMPvS EVtxa27/2HpfwlcfX/BfN4BpL3fHcFWMm47JTs4Crg7IV56Xl0QxMiaQlwg2AWMUygkSGcqi4oel 1FSxpjIhAvfK/uDUIpnHa4eKFSed3P+l1h4v0rZAIZeBjD80F3sVXgSKCUKVfTebLuhXn7DBx93e H5CwhFrKYmLzv/gRq9/6fm7Buv0YCD/uoDRW6lYq6nhdyb0/1bFP38JKm1TsNs0S7eGQcoiXYu8d Rnafsj4sRZyyrRxU3CH8Cp/B3+vHalDa63ip+YHnRS+nKiocU3o1VMHv/CwoN4ZxaPlXNwngKt5h 3xFwr3j1W1rD2eGy6XdGfqC2Daae6pk0lgGLg4Opw9ziiX8I7GIzm4tgpTlmSlBIUGFdxhBW3u5J WziMal6u8NKpDyI9bgDus3jvGgcdZPEhFMA8RROarYm0Q0PCauljWPfdHDxh3aV7cu44SgvSUQUh hiuUSRCgkrdPE9aas9uSdUeHRhTpzXPDkcmAtoT8lyLSFi60k8AjFKP3MjGQoNfYAPhkps5wcDJn EonVd0DL4AZRBZkqk/7nxA9sHGSB9L/OaRC0qwEzf//Wati2ozGddDBc3RLBLlOOBQoQP2+CKVc/ dk3R1TPnkSsLwZCp7XKWovGB4QqvGiOURXe3rpozTA25ZUjLdBWI2+1Sx4VtoxVHAZjhb3sb0mUS jK4+255Pm7q9hPF+IvUbp2GBOzQ6LiFvEkD4h6unqdQ9ynp7IjNvuP5p39zL1bojN+mqoUzB6fZs L7CVfh9EUyQ3BLsEaxLiiO9gQkIPZFV5eb92bbA8RvzRfm0JTKlpA92yEpJekYZoOmost3+MzX7W 7ZwP8MChGdZMh/O/oev/+d56qtvpSZMDrPoruLmkgu/TPrQA+97HBaibJ7b3vKUcL7uuqSIiDPMK ZZGiBOGiy7zjGRSZQNe4/MH5B857Dk72BRDQDnDQSkaUD6t0198mCXS5dbqceTRGBeHppswj/a32 NkuihuA/9UMKb+5hllaLu+3ZxybAobiz8BP1WOxs2Spj28s5usAC/zc8/jVfsyOzIepFfxMwsWYv 3qz+6s0rEp/7HQqSXQ/5m9+N4ezgNW6qhWnOzgsVKtsJ7AQ4Too6fSTax15LfY0hKSSc6heTrMxq laBvq5WyVVo6r3r9ptox0TET74rTNV9l6dhomDRitQMStztS8JUk+2fJa6JoT+vfbuRSVf+rgpae sKz+MwKK6yuKVVW+0kKMFoxc0KF5yAUTBucXtGMK3a5ws8Jd3DyNYE9ltW+3JGgoujPEqko8asIE csPAmNaI7wy6OnTYW827gKc5LFsGul/f+hlR2vRRW4FEu4dfOY+/tVaB1cEcii+CVwPg7sYpD9t8 8kfff4ufT2dfs5GdGXcM90TrFY34di4Rvk17uTMv4GxOtUx3LzvquVd6n+/uDcg80K52D7LHBTmB uUgVpK7M2PRAE+9Mb9muw1LnJ6GQGUcN0qj6w1ecfVoDReyCuTc0x5KhQSrMShPIBvd7yxk8eBm0 YshKKRuehxJ51ruti2eLrtPTp6pxE4yO2fmmvMwWleCDrM47zLNGeMgm0tmD/G+tB0/wgm9xrrxC Cp0sU4d+ZDLJT3cifnCEEdfqCIpJYsy+xfKf4shVlG7x7KlyS7sC9Pkxm6Impxb0AUSerhIFNDKG zduCOGg1gUi+XjxzZgU7MeMLdQjRPE2dUeI2P3v0fbAG2UhKwdk/1m/ZORXWhFyD/+546qmkeGj8 7NvEwvLd8mOrlamvTLEt/BSHz9BlaitiwFdeKKku+eCuE2MuEAmDGZn297JZCiw679txittZmE2h vR1vpCpHweKwMdPjRC6ckXemQfi4Onb+gl8Tiq0C8MGYLGcuVw/RwOGYlD+n+wQbboUKf3g2CF85 gMHlQcetqnC+jR4PjD9PwvtPhTEON71MXRRabrsRKuC0nFqqns0Av98yegkgkNceUEzjOPafcGr/ R7098ZGLIXN3Cjv5m74mcJfG3OYcWUpJwGj7C3oH6hsYutAuSFqBJ7DOGmRmekHyVqZrPrUzdKsx BPd32/RE2QcToZO/g95YpvdWVoGi9Nj5n3+T0M1DlX5bsW1a9AZFrltbiaTmjQRM5XXtWfnQN+Q3 xdr454HinaCYCaguTkUtCblzCfIz46O+V/2gUS804gM6mTpWwmyTAxNAtbEFJBQWVbNIO4nbGBSo rlE8NstRPMSwvLSK1fiDe1PYBxborncjeneFFGtR0SsV4Wiq9CLZr4Hd2h6KYAJM6sy7r6qtoIZz tXqCZbr2UTm0tl6K9ypRj4UnmnlT+ihtOJvcMclxdgduanP1y8PTasI/y/csZ7qtU/RrSfm86Bb7 cHMifLtoO54X1FWt0Q7LGL+jj+aDnE4fedOVXD5WLy/5kRvg+FLQaya7NuusI9N09M4awgkRRyov QGfUD8bGRfbMO+I3NGt8mOTn3SvNFhnId2txPQlt9mPR6m1fVoxx/ncyJPhHp8BDiP/X29ke/h43 YeO2rpLUGxKKz/yQtOOz5/DC6VY03vp63BYXD55UKGdRpm2uWdBCuYAbI2cnSrwU1fECM/aJ6au5 bQBc31/caRq6jDyvycv8MiPe4t0NqJeLsoPHPsWRbq/VK26hYSCl7eU0A/1IFAQp2v9YnTWNIk3/ Uilpx4RML8GDHWKLTbJAfxKAJ4nFocD7d3vPgvWwx34hX5DQJHyXWxQ9K5axyejCTFl04JUmdtUL r8WHLYnuheX6O2UodEqTOMkEWjqaA0NDi/YoOJ28HtZdAzUVYDo49TGgzGXZZZ+WKw9YU+0hjvbg tLVAea3p6XrtCECAiPPuJlgI9HXdzQS13I4/NBKvEt08ujEspJZg7uazAdsx6Y3xQku4ib4X07q0 iILovygauNqBlp5MwauGpN+P+IYkhj1hcCe93RyKgsrjHOwY7Ze8SPr3Pz5rUleEZZ6KPO902wJt LacO9aNNL6YNn6IWxgTJYRRv2CcrWoSFpjxVtuhuJ3px95TzKrlaAFyAfgdLo90O5U5za52pxbzw YHYSp1YOzchSCw6lxJ1mSpz+YJFdy55Zrd8qbVcxdWhf4KKHolIiabiNucm7Yt6WPTI3wrZPRXRK BseN59KyEYhyKPbUBrB1en9BuY5Or59faVpC0o3MgGKJnL9Ch74Mj452T4EYDIMWiuiml/qsp/9G ujsAkQzD8fX36ylwb/xph7vOHiWgI18sr7TaF+Ycvxy5194wjV5KrRvmWaBUNFG0emm8PSAnb8g3 beKVRiAkSUg4RKc3uckagXI4Ddp21AMTKsjgFA2TVk/YH9CDESCqEznkwm5VGDnG2FrMVtLo5hg7 VPNVT5vojudOOo/9m6tEbFuUa1DlxCpqg+AL6yfaoqDbzbRQTlLaI3TO93tboOQDAv9CsJtgQmNY lfG4Jjc1stWk2xUrXDSc60JW+mt9Hd6NN5Vt2Q5QbS+Fm0+Z0SJXaHBsGQWiSIg8DVBrR0bTLltS /YAprKLBqbnoos4u3OjQetyKdnFVstVDhb83rBbX8FTMYI8YiTaVzpV/vJlkHBmF4RlBZ+qyfdbp XeDLbGE91c69h3DYfJX2z4jtzGsPgyW7Wqug2VnY4h2+sWsTocb9cuqhYHzX5XY6ZD7P1PKgSRcK Z/k1ptj8BicSgChaBNxitmkBhYIhCVZc1vTm7R86QL7gb/zigfOtGnmPZ79x/TizaYmPMigow+nw ZM92gTjSILa1JtgB1VjqKJknvVBVrdG0cIOpRpnDv0baE1MYwTui9AL2aVKCiollSbN/YLoCDpZE e1F6meWYXaKhWz/Aig0SQdJ2Lc3pnOx5XbAfp1mY2gBPIthoLCOm4TUbceh8PyWjPuY1QumOc3CI eQPTuGIx3RFc54glZpZoWV5/CSUec8k93lg6SVv3CO/NUoFOLuAREPxlXYSCxVk6Qvp4hjkMxPJi 7itnE0pinBlSUmaK4m7YsnjA0T13aPRUFvXfyUJlJZknvXFDc5tPGXpbCi3aK6enpWxlH3YBV7RR eT3jBzuBiZ3fIbDWnT70w1G+A/yirPaUKBW4qMbZCimR7ApsYs1qtZDMOo6qwXYLCuhQctYW3ccH t0Y7IjPC/H+l7rURqI/DzwwpVB1M2xtQlznqK5ammbMYbpNLwqwfo433ERDhFVgywJJR4ShbGVVy 2zlE2UUIq+EgbS3T8Fom3PDhYo92HUPx3pr/EgADO5/Hy4rip9Q68QQpU5itDhHU6k1KHvExYpLr WgZ3Ldfu+huGmTi/hONhfYGA2uFc29YTzTsJyNc3tCv6u/sS4q2Gy2mjpdaOrs7ITRj4/H8Xwxbz +w9apWpQczWUHHVO36/EBy1hYjyTHXiC7kuguQmSdT8cxa08l2Ykot1v/UFd0vBSMC6howo2Waqp Hj2JikAnx2mmuIWGOPrumd0VB+NORG416wmLtQ6Jm/9M0XhxklEo80vfBYPbnHuQtUbh0bO5f3D9 FDVKZeXyZo3BGN/Hb9/Z6ohu+O3u+VusrnXH2FNddx1XSxCDfPrzuRIJ0C3FBt7dWCXVpjRUhzpI FNSUOiVnI+qjN3cp5hj9hhu67aGJ3zRaM7ujAKwxESkl8NfEL9fw6JKwlwNwS2rPqxxzHNr2Qwp5 cSP4om9qBeBGpbK3ReGqSD1n+OGGNqJm7qFlGYfhPqL7QOQewK0/bKLlA9D7CGQpIra27yjuzimX AUAR1njmrBRQAVa5k9OHou/raRqSGADpZzhIUxs8TtNedOOwRn/1ci+QirxZvEkN6gXwo++Rw10W VuCdNyfhaTltVmi4afl1C6w6cwkyAf28LAt1a7DOx4C13jUGBEipLIJrvgH4ILeYJq7ZL+XAU3XG 1DiNRgqNWtNOOS22yqVmwC1GSRwogtA1dyERZWzl6TqAyY0YIDgj15mQ4OMrDyCJThD7Bd/TKB0m WWjHGp9l46XYDS90NFtQFYu+a6/HpN/MS63ZlliHw8pPQeFtSb93yIkStEix4UduhDVXa1Lq3Tk6 ETrx7TCi7MdmSSG9U2Ru/4HyG/WWQH3IdyjsrpWXhZMwcTGynic0axqt0dTfZuS388PjRR/4zlJ8 tWKOtukA8B4vKtkx65URKvQSFSMKdMhqdz8b3bJGYz52g12blqkLwPcJY2K0Jj4dgsxv7qhQPKr9 mf8vxZwTfxG/pWqOY1deruIzi9gxZEiMWnvC3bwAD5SFoSfGb4KS19LauKHRIoaPHUFBfcrsFmJw PVcEuoTeR2wznFN3PgkagynIwnsmoVP9aAw8QIkDFmKb1p1tXTibMW0gwXyx9AQGccaEl4ZtC3lC cvodsKYSyli/vqieZ1TgglcHIXNRAaXwH9R/3gPiJUD6E0MhmlHn0hqb0fOwO/boTBKxQMIiFbDx OL9q8t3jWBYhbtnYhI9gd49DLJr6/A5pjvd4sGqYZ0TVA94xiJwsPgQ97/uTBvWv/n3E+tQrHgNc 8pajaIgb8QKHhJYl56U6pFSrIM6bJruQsZSk45sseClcNml0Zn00OJrTK/nmwbM4pPF45k0TZIab GixgvuTs3s0UfL6JkprjMVRqRLP/zuqX+9Hps9mNDRBRDTApZP54FCyz/i/frfK0Un23WwnJEC8T r6qFDRY5N6iCFyb+hqtIq9sCXivpraoHKVlhY1XwVCz+K3t7ICGNbnhTFwjlGenO1Mm3FtqB6yre F112w28nqroFwK+/3GAbOsef9HNFwNi16d5gxzEERukK/+2eI/zbtnbJoWynnob+Z2o0ScWTU2l+ f3gP3Q+budo315RSRTEdGIr1Q/pWVosoFP6b38JedPEDYwoFm4D9Ro/iCPfI/6DinFm230k1JmAQ zE0lIrPc4jwkMZot9WjSaU2bnubu9MSMByClpeC6LOMkHWl74QG4p+G0M5Xt8LkOQXUmWXXSYaRS FNuoVsN7HHa22O62gcsYiewSxN+1xVBEtVJvRgm3Jw7cj0puSQ/7jCM6WrdvNqRrw9w1iPa6XL29 dnh64sthmwKtLb1oluxzxYRjIxH71FqHv9OT1kiNeqgiOCeps260z/Edy/KQ/EQi4DSUZRS3547j ud9Et+7Kx26gpYxr2wQimtaOuQADj6Y7M705ZLThY86bX4nxbpoWXw7Rvs6eOtps5dFGDbj66XjC MrUiE0VhEh1/ltHH4t6f+kejZ/ecCz/TxP1cwyfYIhS/5CdoLrGk4dQH30mQlm6FEKGt7OrX8Jxu V3C30iP2nw3hvRknLJ4UcuZoAN6/4XnDxucW2Qh5yVTReQHWIGjWIRA/lkBLkDJ7ig6f6ZNC/Q0o C4B15XqdtAuNCrRio56QvlML5/gO0oriynEuvmgJmamZai3rm/H4iui96mWsi3kWninATLBN0DLL /XTpejvFnA2A4whfIzEnQx+a5z15ngHuu4yhQmx5ZkwILcFNt1cbvWJoD8fD8L0MVk1Tgtvs3ru3 W+PvjBBK5fIbmyBAud15trjbAA5h7BevRFVWdc6oMenbnuH/OT9BfHCTbHzRUCBMWTI67M+lR9HN PKKuSOnP5jmzQdem7cXcTLeKaqSFDQdOjUwvFcoOAxUhvoW/BYXhO39mzJ1niuu2fKKAWX88IEfI ibUwfPWn0bOEEQ6aEzRZAzGaZIgCcTGeZLZ37bBL2d5NmzenbMDeTL7TrqLgpD9xOc+02/Qxv6St UOwHuZ+WfQop+hIQ+dlgkRG4nLRDiXTuslR8FMNjsC0ATIcJ2Y3bd+NYx8g1LkSWMN5LiFnKZMkq +0I2LnU6YlfeaJchM8vTbHLRYzz81+LbUSme5kV6cCNJGTEKxi/zG8tBt7PykCr87GCOIBnfiV2B XXbFT7LLFzXLgrfxbB9DhjSL2mSRWsTiFFESJwXJv+F1MSRRdxnGcdMdsa1azUkkIgmfZXAfHBV2 5tXOuofY1OHFN8pzhjRWLOiSlVbJevHdwPc4kc7YKswLpnaTXvdhwuoHk7EuKBtN8hRGN9p26htq WOJYEfVYg2HAyBu0DeQtpqaSl5mT6vKXeRynaJL3XqNC1VsqdEnBVCPBIjK3wQ1cZVK9Y0kZq6WK 0mpQJBNZ1mWsuOTu75peRI4QhwsYj8I7JgdNWEJq4f+o17y/p64+HqQ+6uGQ3S8Z32GhpqGB2gGc 2tCoge6tET1JiWpUfpg4ne56LYmZgLi86BkhjthcfrWhk15CGxT6HRdfNSBdEXf2BNl2utEZCxzY 1lXG4Di1J4PlFC7R7/IGFEFBKCtNs5qiGlVUKjEz0a7B+f1dcrrPSCIvorZzVTq7ywHc3lOsnATV 0C16r9E9pvZ437BQE5RjuLT4I9iPGs9+58CSaNYzUW4DTWroHgqQ4785n4/Qg1NuRkzczHALW32M jwEDcnPiNjf7NBJ5PmoKW3/TuSDUJ5NeKzcmaPHC4AsX0Mm4ML0PJeI6g4wYKrwO3YbqMxhM4AC6 Z6KqaloOfK8C6weUhT4uDhhH0GRiHSTZLg2X0nLTwBPS+xL7vBrporaVacgjgDRXLGAh+5cZkYAx WYiNXHUNldIWlb0qkdhKsV/r9HIQ1beaEaKykfA7iJ3R19XZ7GaNAao/WKGXA3BwD1INd9KGs2B/ +JWTGQ+NwBnLuRpPw4UCoAQ3FCTCUvidYAYNUmEOF+IHnu1pOBfSiETLCdJbM3hBj04VZ1E2HDxO If87aUQ/FEqT6SF+Oo5pHwKs7nEgFX+Y4SVm8e4MTAk+Ke6KAe2O5buYNR9rCUayZpakp+3oooaO zQQKwCzfVvcnzfmx0l2B4cfB2qSHO+2b3lhqHULF6SSvLmjkOW1zb9E7jJCDFd43O3x8S7hBRt7M xQieG3jiFaFiRjTr7bNJc8GrobemTqmED7BWfn7BXPwgBCqM/S7US6xQDX9rxgxoJF3YWf3AiDu+ J4I3niNM3ZWndxq/DL9FYRHJeNeVpG3nEc9VT7Lqh44tZX/7htHF31QCNxVYt85p/yml96ajKOnj DFNT43lgKZ/E03nzmuNeNUgDD+mN8Y2QLX2sxHAlc7etpH0NpL9T/vWZipBx6acSqGMx0HK6wgZr s43bV74ciwwhexA1o+agndzM6PU+/GNd/QdKDGN47LWLgaCzUIFEqPgWZW6lYNY2wkfncMJuLIXR +7PTRH/IRCo4i07fZunf7RIGZ/xopVeaMfvSpaUf+b3faW+6KnMbxa5GHaBwh5HLzgGbWI80/J9b h0RsDZOz6EVPd3MMi2V6SSR7oByiZmB0qspXZeJ7ViL5+TrHFjsDAYkzMMMLImaC0o3xTCMZF3/4 1S4VoSr1ZvABvkzjHvfI47jp+5deRLFLR3Sr3Ibh5XsRDBPwhN6rlHIfY+zpu7hOE5xe5dJasQ6V JDNe8/yznItDV2oRjjwSWeY8t/ZsHhlqE43ukd7uRLSHfS8CGSQ= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPzY5ac7rof36eiw7l7r+1HWVeIK/1fzWUcnTHfyYKdIFR/7huevmE7BHkaBLWn0CPsDJvANqpaA XYUzNauhxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Pl14fIKDmlbQFDvmAOmDwtv/KnPM4ihgExETaozxmJVBnKvnBfZ5kkiCmiTUpMo+e8NUO2tWzzRV rszGcacUmAQX5LZCTIHebG28KD4369LpXFeR2EGKOkacdUqlLAiuVPVROiWQF93imoi8nA9vJVHZ F133EfDPApQ3PHquz4g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QBM1cDfnoAz0yxKVSO0jYLV7Q/jjDHZLi8N9f1kjEEuos4wAjo7CG4u/xkfi0JyPN+pMasE49oP+ XwEE4bqFtXpTn8g98qj2Z8oOaHQNm5PPbGaTsqkJQCRl9P2uPoTc0IN4qWQU1PfQH+hRzKkO6KXw LcDbtA0+ThKqEMr5Lm/8THMMZbKFbrvpBJFQGy72YVgbxojHwblM4eL3LY/1dEvLyb5reWB0COI9 EI9hkeZIbdESuDBrDLt1Pg4CtlbXXIPodh1Ev0Nroo3aANcr3WCLQvIehTTTU6iVBvpQm4TFeg8v DEBTS212sYZTl7glvSZgj3WoJpP2jDROkQbyGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K4v8WGTkIn5id9/fEEE3JiW6VjLfxF3CvdYmiBs+95yyBvZwz3WcP/uUGBwX9YzSrPS7VEo7zqis ZLrxCVp/gjEHeKg88O49UL9B5/1zsrPBnzDgOBdd1zLV5HqfNWCniZtLJqzlp359ROsEnhtNo2V4 1GAhGNbb2qWnpNiMWpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nQo7UQyeOXWCKffMAM5rRDWnCuhOrUYrqVoKMBh6D/7EYgLAhK/dGXkQ3/T6gljWwQ4c26m6mOPd ENqr6dQ/Xq9QIi+vBR4rJvW1Pf5I2oPF0QUqBetnWGvswB1pzJxMXj718blSUZUiI2UzOhbnO9Fi 4aUWzpo8mQqZk2RzxzQBchm9xJdh0QlY1XcxARvLpdUbNVypHd70mW/FqVuHSzTxpyeyxB8E9qN7 s3xsiTZ/kVAym/92FxhQ9LAi8kHEEQg13uwCWKUml/Xgm/tP8pjxW3m/LKhqCXFPSnXfofqfTpMc bssK/0Af27JsqNDgvjH7G9TbQlEhv3xPD2iRhA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37088) `protect data_block dGX5+p4KiIcQxZG2dEloUOeOs03K1ZPKvVAVZi/FEIAh/y1WxbWObWXo1y4BtYZAdJ/PRZ8b+Zl+ uz7anEmGRTayTcNBd/3s+F+SNok8anQFSfIIUyk61Dylf7xWIZlSCmYKgx+sZ3lrOU/IJRkUJ4DV tf5MmGAe7vY6xdxLHoYJBSyEzC06HHYh1LRlReHYKkbwqEuaVt2Jpqov0srlvo2IYclgpZYR01+v YKI7VJtD4fwBQw9Ou1NIEvGXNoZcrtj6HRdtc930qXSURp/HG0yj9k12VEkRTB1xJsx+lzGtm4nh HegeFTMv0nq1lUOKWOcQod1VZJTX9AOBdO7VQ1N7yXdrZM0kk8QEQ88drIkDfNJIg1ko1HUqeZc2 hDPnyJgA13qpmRswcl5lJPPbP27q3Dgzx4bK2Lu8ljVctTErXveAY8ZAR+reXc6ULVvfH93cnd1/ fuzNGnPrznXFgKMc3hR9dsL1QKkKKY+TL4DdAyVWLkkzQNmmR0qD2PCvy7+7xdiwmmcy6O60jZ0X JZI6w2ZLXSZBdGEcPbelpFguSR86eDhJ85GX3eFKz8HzCgxDriramStM+voEMGo5cZKxqTzvVOHL Tp8F19UfIZtjsAHFAWYCJoHoLEAnCL/EsmvEPRX8i4teI3SgUpbrhmzZVRKhpumq4dzx4wss/jXa oA7SpYrGdmotfeVDM27fYRLSaSLoZpBKZ5nEUQHU4OxYE6KZTv6w+1xVtBd7JwnRfB8u5adOaB1g fioBcvpW0VDC+cDnYITgBhP5QnccIC2AIAUDPtNQXrDggcv9bsjMnyAW13bC8WgRYdl6XbuWjR53 3RpwAppvr/nLEEN3muNp3Ff5b/TldlKCXl8oi+HkkSQxoDqaHMb6MkyID3NeXuyBmdeGBygoGp+z 0yhmoM97yepS7Z8NkoLfwn5M8Vq4zhsw9jvtGLWd0RoikkZVVmAunGDwISToVuPM5i+TUUDQEJoT lgtFRouOL1eZjAzlhjxmiyFPGw3AVVPT4/XeEJosYvK+2uc1gYEDpqdFlFG3kWjma1OUgqM4Dyqk lNmwOUKXm9CJo9Njqlb9s3607HjN9+5ocXCxdz/hMEZO6SuNu9qkbU0S6YzFE7dfEet+WQ27Jdoe peJxRSF669zZyCwHgJlB+06Syu+HLiaC/gZHxlBCzldfG3aCoypzl2lGO+EJUN/mT4Z57pMWsJ7Y ThFw01Ln9/qZTJJ/FRRqBBBVfkPjRKvGr6AVStYVHFoWm1ccrCD9jH2lNhBTXQlOp2u2rjAqyjSr lsb35xZeTobvs/PaJwfqlaOCDNmvM0L32pt64kyTVgtJAQrxAOQzc0imI0WtaDWQePoTjxa+54hF gZwwPoSmTol9PCMF2ypsNnY5rZBnYrFy6W0X4hJOz8vrkD7gHN7ycaKyDwLJCPWYTq7nxNO8RYwg vgP9d6NeLoGHzPip/BtXw1jK3oPvr3NNXhciv4e3zLnJnt7PjxDAqbg1zcvhkN1uVHQPOBt35TLm X0PxVrDSMZMDgSdDOjYeIwEZLCdGpZ9kH/snMpKiTZhbphkX3ytsb6bR0rI707FdOZlhDxRvZcod 6fQ+ccdQJ0QnngHeVpG3MP++UKO66p/wTk8QLxDLg+pi6icxtsXlCLUxnz/OXrfWdJTDOzyvnEED Vk8XZJOg8xc6ZOz4TeZ8YyRL3NXk80NJP7j/V+MoBBcWVQBpgCZWCdHZS/bvti/HLKJSqVqLDG5t pA5XYGMQt2iZ+HBcf1dh0oc992DlN6f15QRojbKje2CmQ5mhHRw6J0DP0GLVLq5ZQQqsnFxcnZHU G93rKPwYDG6bzk1KzvQh0VyrKrf6/6IZzoUon3Ap/ohE09yMe45UudMzKVM3DN62MScpOWlMSh8o Q+RG6Zi/mOMmEVUJTJ4tyJEEM+CkWR5uSpRq4+pVcbnrFQk6bbnHmPxEhKY0y57Dtk5Nkztcaltp K8r9ZJkUIKnUa7rHFk4PiMUg3o4qE5yGo3HKkY7LZeBozK658SvrwtTvNaTdT3v7sFr41CBYhbiH MWloqm3dxCUA6bDssG7zZyBYZVRsw2hSVyf1+u9tvkAvWmBeoLPlKg82c6lNlERfAfnlImyj1gRM R8kobgN/3UpOiXV297Hjy6isPgSQSJxx/qfttAa1OfPx46qC7ezP9g63U+edIXofPZwhBCcbvbER j6cv4EusTstg2UDvv5dzL1NSdYbg6ZjIAv4Zi6I1kOI3xdcOM8HFWyR8ZA5bZ1hJgLYpm28PgY8k qXVSf2Grv2E1Nt2n3gwaSRzWm3EY6RrqNGAX7S6tfJXM6TZtyvALLVWwWUCynuiEim4rlHc8sgub x/rr0dM6Z4kdtozOeqbI7v51qBnidxhWYy2lMT5k2bzTAK/Rt6chAzaKHxL6rPWy/CegkBIk9MSo L/87xk5yr2K+JVI0t4NBIVUsLzeHaClMMH1fmeKGthAwbD0Ucy/xXKUzq2AWq8LNT/PhpFp+6p/Y NE5rUrwDMRmBS6YVdUmjF5D+nziBHEjerNDfeL4Nko9/XpFsCgFtARelUIzTlSPGBz7Aj1BE6R6Q Saayh0g5HH8owWc04qUq4zqUgLRCIL2sDQQKlhlABWSH34OI4bk5zAp6ip8IPAkf4Jlxilskzzcy J6tulZeX/5bdemGwel9tyr5crpQjc+FZ86iZNneQvBPnf94iXuBGhyH6YzyD2w3zgyTJaMbx8wH3 uLq+7IECDI9Sf7jZQFDT20PrVLpoFhZiBBx34bG82RiAJiUfQyftIvOvJR4dkqAN1yyd4OagoSLY lEIkbYCF2nQg8PwYMy1x9p71ZaJdvYLmlnDNfT2qWno2ibIB2+kr3j4oKmRAjbejILMgzCPUec/B x4bkovmr64b3+ZwwDEqMLmpli10RHN8s2eiAGqKpVoqJ9aT3qYYHn0aowhHXU2TgEnLC1s7xgT2B pQjHn39nlYgjjuiI73OCrXpNrw63WaIjseNrif1y9QE2VSAxib7MiPtCUFjVv0OmkDB+J2H5sSFy BN3gpmzjl9zC0ChVTL8QL/QyQ6cUiELKvagqpxItF3zjUJTnH9f56SCy7GUzfHQIA7fYz5DRPHvi 037ftlYGgtl9qPwM6FK76emeyB5Yv6pzwHhWmWSjtWOPfgR6LgG9FKsOwol+Xz7ERrw8sO2gPdib Cx+a2ZsDr2DoyrodwkEWUkqfKRzVB3y1h9AvKDiEw3SunS7FfOjRDwVzPERpUMkowEf18z9HD0Wg s3wqbq175k/TT3iy0QoakOpYioXPBgmbh7YeDxI9pXdIUx0mSxv4c9FaV+JqrviWyfxp2vtPeXFP MRNYta/RWVpEOtUUAeKUwUE+MDu2fEFILO4tYexKdo6U0MXDYjeR3GynyvWdMn485BbZMBOd4A1K 2Ubo42C+kKLmD0/4f0QEdo0iTU5ZnkIWgB4gsZcf0UtWtEt3oDn85cgcYtVRmjKZXU/jMtZsRuzM A9pguXYd5MYeYQlnDkZtw3mKPggXthPjcNsoK3DJ4S3BDtPHoTrTH7jkHAIhuc7qyr+6hU/0TMtG qon9gg1O+/xJ+60mhLbV+EDHBf1jtxrcsrji9q5OTXIfc8n29KgEg0cq9D5cue5BOrhfKgvL1neV rcWqkvSFs4oBlduuldO5gs6fbnzWWX1HhTPLpHNaP3WbGAlneRa0dfCQvQifWxd7E68tQ2wHIqgL GyNa61E0fnVB3hFRR+VqrGC8LQ7SAROwgBGFG+n3uXDdEPMWVpBb6lynjYUnrf4TBXAVXNa3OtNK 7KCB6G7Y5hBkeGqnlRhymWrR3wHzlPcPqOq3bduxHsqFNBLcFF0u5GPXLO1PxOPGfsTDqTWr2Li3 vmo4HdmBjMvUaIrW6NZeaHOcAiPU25TWgr6tAEyil0t7O1qXoV1eNIg0QRYhF1Te5swUx5H1u85J wzVyKLP289qFX4Hw4ns/xX1WtS1wHyUnerJATsmq3cusY0m9wZu6mxpvKR06sh+or4y7kqRFv6c1 S8R6FDvi/niYdKOdJgO4hJsmmmolUm4AQyi/+0ueLo+Zx4NWgXHMRkeyyjt34kSKE7Ijmm1N8JYd L20JYE9FW9vuIYzZYA897ASS3/ynTNHrLng2TA206RZKAPca0rGkxDHjURqGrEmogLg9Bra78/Ke 75TLhbarDv1KLLYqf6Sa/qpJkUgin+53Edg25e6PKENSC8QDzYmEZlPlA8xcZKT0SsMh2cbZB2ox BzecfYAquZAvFBVY632lSToq2pZOyCEo5sKXX9MojPVYxs5yXuKPdMKsN/UjC+UoY2nyL8V20G0f kbvqJHYnXLWvRbNcReMrNO7ltYnTkpsKbe70xHli3zb5ASibQ39BUP7WtM0h8XPOQdXl30H0ukg6 6p7h3zxWe3lldjgtw7yxyTvs+gee3yULWKMBV3Tv3yr8Jscw5Yl6P8/AgvOs2AuxRiWkmg+xhlv3 O3rOTuuoRzNXXvadR7XT68IbgxpvYUe3eL6apSTRvfoxZz3ZzQBVSrTLdYbWThn470p1fHH+B6yc zVfQGuFf9+QJfQ2DT30N+wugr9Da9ey2hyfcMMKG9i3O6XH9job6jSZoId6DZ46peXWwzDSCJ92k RcTPpZAf88n26PX7Jn4HKFJOc9qdvdmiszkYdy/XY5944ctheOWGKPA44BvdKcUEITQT7RT9IbcL X6Cpt5liryJogSR9YLKJ6u7xh2J45RzTBFkKnmaaOxd0xDjOhkIRj7AtrrjJr89XShwFK9B60UoO CGogDDpHOnp3MDATzxT8MMElT9C91X4pUgTsXeeNPXK5gHX+uxjXYCzRyudYWMtRei9MQN/qLaaV yWTHh/TLlZBLXB/FEh20de7RSY1gZvB5FaxC/e4PeTIZ1AkpfMHbi38OdSEfC4YwFPnWphsz37PN l/pR7RKlFoqx1wzvQuleHjExPCLoHOTq3PJS/G2M/LkRZJF3CUn1bRYyoDo20Kh8KD0KiCF5Woda szsWqtu8szyPO9CVBlzsk575ClSLqFPiRIyg7U1HJrd5hxI+pQ/uvDJ1kjvrBhW0VTiRVTJDNbHn 0q4SqsHq75/+9tW93IGYw9y1pjgr3d2P8ribR2voRHcaAOpmdbwM+D+oRWYRqCu14zv3EeCvZUuy hpGDE0XigbrY7Ed71Kxta5NUaGc9KXpKgevWNnZAk2b/q1dfhkNhQM1IgNZGUdat7gu4r46mZMKi tBo7AWfhKzsShcT8sTk6IXH9YBntXGyF7chz8RlA8fykQcLnS5Ipx9EiRKbD4JXOb/TeypauBgm9 fyzGgOszW4O2JIbp7zDroHWBqU9m67DpKA11RlyBf4L4Yz+eat14p+v8yxnjphQkPTZE9ubMgFAt XX6TgTBiCRfz2vdFc6Vi2T6CQWySzwMF01kXQyXewQR6C2zIyff1Erk/5uQIiiu/ObKJS9oDNsaw bNHKl9N6BXySy0gMm/rcSx6S9Reqhbbr+6+hTuUCIznW69asExLNy9p1go/O3PQ6+lM0EtSvxlyp IIGTNlGc4tveG4MqTFhCHnHm14NVZqzenkNdGJhnXka4+mAhIBDQIFsodYIqBcIaXUes14sohTYF hGTc90JGkOqLWXX9J9/V0cZVopNWD+1yep9TJlxdCrbii4MBzORTVpf2uCYUt2UjdgjSHpgJiiKS jgNdjUH2kdf+4KdNhmcxH7zXshVDXBncu9TIPNBEECG3e0joYJTp4wNFD8RpqRW114bfPsoBi8zr wiPrUmR1/YPY2rYL48zQ9GwDxrl4HjMSWE1BdilXfAJuXGKoRg87BUZDQfJhhx9EPrb2dsMFlMl1 jPNfwP+JqEri2cFf6Ak/0TJ3g+rZ+El8GtSrVEZMJqQNlHSaX5ifXVkJVliJanMUmjeVxhJwhUDc jQoWgZkaUn4fG07WqauDqxC+mQXLw4MIw5L2BktFJ3u8qNlo3TZimqNd8GwAKs9tYw2xFi2MAsgL YemEQ0RAzrEHJWdPH16oW/+J2CeyIyO9iSHxc+e6QuGECDRfqa/nbVfxUmI3CTmODT0Vmo3Af1p6 xuEw0RYt7PfNyihF5dgb2VNHifHkvzrm+Re/eyldnA1wgEs1zcF+P8MI3SQCahsxb6hC6//8rm2f yL1/9j/yjSS9e72XFs7EO/BknAXutYCN/2f5/HIDqPhLasALvxH4xIkfPk7tPPIQfc51/ZK4cy3l 3lJN3kOvZpj3uN4LPBQlwkBjEIcln20RHZCM9HPAChmSTZ+Zv66Gfh0FJAFJFS1GcdTlX9hQIGUc g2VZ+kFummgPpmUJ5vkY4rJmze67AtKu54V15smJ8Iufd7z1sA5ikCy4lfpU2+WKDYiK0QocONUi jzStAsbJ+iUnVn3UV8NpWKkvL9iKHtBX5/GpZFQ2UQz+r+wsyHBmWooKUe1ZO1Zpl9VB62mNcu+Q TH/Rla+uuLfO3DfsHAM7P2dR+uj7+DHNa3HEOCnchEVcdc6kAsYLvI/b0tASIGpdcUuMimoUF0iG GP/fxlykURMxT6mxQkkUdxfcnSOpKINAFXWQfqQ8mVccGpsjQ6+c+XPHTC1TmoZ+ht6KDvMt5nll jB13mJeebpl8bZoBnxtXJRxZGsK28PnLJIV6odW+aRLv7F63AtSQK68gubsccOS2q5WQwGqzymJI WqVkzVDNXg1eitgWs75nteVSpgJpOMLDqcV49pGRLn7KNvKGnjIJRITa1IDyhhu178c5dR6Ncd6e NsKvxffq8ZZVNIoHQGFOVnKHzmDB4OsuENJtm84y8p2FxqkYq0SLO/RJUDubxR8th+w3AjaKWM4+ kzVPO4O96g9KmMuOwOJN2S3+ZzwIvSq/Bk8o/AbAoLi2swFc+cHSjOGHQoYwXcen1wtbxvjz9CL4 Ql93O13iggWpS6pXOuQTUNEZ4Ne3wzqE+Qg3scA5EKCnrdXBL+hL0Ash7sqZdMwV9pv/7HMG1f2o rlwgynMbLt+5JONgidZuHQ40AFenq5fna7VQYSbzkEbGNKXhlPvtSDbMJun1SvUfha64rX/UHhst 2bilQG7+YfB5w/YntqjZqkOlnd5b3PGuo/pg5S88X1A2S009w9H2KD9KKHyjjn+pcwm7OIzCFc6a 0Dlrj4jIygxyIMT/iBt7tcglfpSh/L6tYuCLfbSq+glULbJ10/I12X4ZazMLuTCFeDFO2lFc4304 1+lYhcrhqcfKrxqm+BbcYzMteARwx6lfUCcjrPsd3WYVuLVS7/ccFD2SJ2Gifcjhj/wkxiu+b0Er UxUPPhEQGH7DFOiw0DzcgwAf/f4wKRvr2tWSDuKSYIgGBhI+FugCx31uRfZZM2ZLjzHJWa//YcH+ YQQ8lfjpDMU8XyNsin9sr63RhiO/sdPH+MbC1NqRS+p5P3yV4WySufiH7gJFaaJN/IeiKTf2+q3A XTBZJlzb675D65YVrpOEukhYhPqtYmRnVTWw6Lkcvc1jK2YmFirZmSGOJorlIcVQgD3h1cG8PMW5 4FhxaK5CMDED+CJZCg7QaJj8eAHwteWmxAPuU8+Lpr+GFI66BKjbKAB+98CXRBKHBIOQTV8hHZlD b1rzIeZBpX5o8ptLdrfcQ7u4SrRJw8nPXcOSMpMy89aGOEWLgVjFcrDtDdX/uGcLWWjtCwjED/DN 7DqYIW/MrWlbksD3h7cGfsBVRvwGnDhANaescQ+58xzfEdyeRFWxrEJUgTsNRzteUFlFma2j49Th Ka4DLql+589tKuuROeTBvrNBGNVDgTD6gR3KV25kuhvtnX8wb7rRyCC6flI+stecieIELsR+eZhf SHM6JqlpzUNDX8r0nUkALMBzHKVu0byM5A95mZPxw1idVkphkajgNxDOMghdKr7ThENg+5/zN/bt Nie4TMlx+5OksMDEmETbPTdpNfU4o0sDrM3dm1Z232E9hG7TRmcymwHUWxZcoKqP1/G++H4w2Xzc 1JQ9Tbes08TThDAmdq2srhP4day+WNcL5wawH/5QefNT1/UpViGQC4GyqKG9VoTrKjffKm4IEoRT CAOXD8ImxzMYkPC/XEw06oWHAHMMo/6RazTgCckhg5kkIPAabl8kfRptPuv05VQB/DIb25cz4AG3 DtGDtg7L5idRPjdN0uWEGLOvisUKv6WAws2j3Hzfxs/WFnSsqb9zBoOo3ia+lq5sYFAuiE6jg5Oa l4iRH5LM/doCLuo/Z6OI0qXl3y0TCognijpYV3Y2psjvIpSF4GRPCD/Hp/t+TfSfpH3vfJC99bij 0THMsRDkxlDcw93ckRdNIllggIPCnIFXW/QNHj2kmM23aahgkXvfGal+HvvlR4pe4HfNpuyycvFX rtdM7cdqFil3X8RR1fneacr7PI2Cv60yJHSBuuUEQjrVRFtj6BBp/N/0Swg3HIb/qSKUPy3+VIHw Y9Qww0ZHn7h1gHHHWWwWOGGwkg6PBhKM4nPwGcfPQixiBT/fK6nYh47oGp3hqrJqee5Qhuu7RoqW 5qNHAVTX53xTLSQT1vrg63W3AHWvlLerfvQxqxIZ9Br1XNFIDD5K0o4J6GpletVbEDdP6nEfam79 iog+iww7rGVzgMMMgCfJa0wbbaDDNXf82luFqbo/hxz3xuDQEPGI02aiCUo+DQE75CIZz/iBZV2w g3cvpqW+l10sxdTQMfiTvIdJ/46UpjeiTj1GGvBgrkeyT/5miC3VvfklrbAT8pdsbk9GoVUuhAFV JzK090ox+GUpdGHgNBkacmtoBR3KVLKTHAfhtno2chdFeF8EGC4ObyDhFZaL3OAuu0XaNcljDfuL s6Vbn/wJH8b5pjeXpUq1V3HD2uSbQzZ9aB8WUk0HqsDm8LwEEjp+ChAo3lOwIc2u9D1R6edomQvg jMYlIV4cWbCnRAERffNtNkHSZYuXqnpvKcVaga9rqv4LDvzYZU1Az2eC0F8vhN4xOViD8ezpM8k5 vA76Ko4znlwrdpBkqohqcIR93HP5nh9PX1Q1hBXwZByamaK+dKsIqK2Uwm9wxxsRU28a+GW6Pd90 gJpXSp8gDYQzNAFCfaoGbRVF/+aC8u3Ap6N+0L50HnepEbmF8K0IdyHscbDesSpDvS1/DW8Lw05B 29F4mOHaDP7kTH2blUPLQeD6yVHRJY1T1SEOBTib6oy6Fs68YxYIfTtWxvBptPBoGLVdS102iwO6 NkppeW3CdrGTFfGG67g+4DxQRgwmgKTsn5pIqECsQbYc9zO7W1/1qvBAPu0gpphbet3shixpKXzw 8a/+twGdJV91Lqsi4VtEQFaU0Ds1CeuBoTXO89U/8/vt3n95RvRG19DfqnFpeUB3pTI0yStC6Tzn tgQhjVdz5maG/YOyYAjNr03B2Vr8hmJgAMdNhsLZvk8QC2FOVs/6WRUO2M69WOHjxzmchaiykw11 ZOY7MnVeJAJooCM5JWfKqxjl34UcEoVmZ9o29mI7aBDVGIxbvvAPIEaaFfeTrva/SYg8haYHU3uI 7oT01E/BzS9gBrfGCuVPjg6jXChqcqr/OVTSxgPEoXh+StaTykl69588p22KJ58BujuDwZgCvu4a GiugAsa372YcFbYcRSmLExNGxIgtrH/xv4Fo/69XwUnObkIN5gHtF2SqXIzPA7llCnnpnty7aTxa uzB4VwJfhXEc99PLR5XumZ9dGhFAUBG9La/E8RT4gm4xJ0dp4B6FMb/xcLbIcsuouxqAPO/uk4C5 3V6RGnKZ9luOZTcCdMs79ZBxuJMRynxvKpNvqa3FECFpog5vtLsjV+VeccKfEDRzsMZloKHgE0fw Z/AZeIUfO/TPwMZT5suIvw3BFOh8TSivWHKWJE9hw5MYj8airiK5tRKFw42E3NtvSW/FujoDkJS/ DRFJRYjMXLh2y/L0JXJt/dz4tQXi4pZ0qMD3GUa5+xQr6Vo206AfZl0rSJfOSvGQSxWArJkllSil nKuQlq41EdByZGVC1ZxnCnxQOKJyupfichySiQjclOmgcbI/CF4z6kdXLEvitKHQsX0ctYPjMN5b H5V0lvO/atwzcEwNntb5MOCXZiS8bAqPco8FBMYB6F5IIZqJ0g2blhWx8X+oGkv6e1l3aegnWPVp RYeMMZ1qkRyNRsvfws8Z3rGreSfpMLOju+ssSYU/hgMbJtrfbBYERXT0YpRI404JK547U5mniWxj o70ClIi+PZCZZcKV1HO8CQkbbZUit9XfIuUEuCLcwshmS37EJ2D8rJnUfe0HgmOv/y9I2YHewjeh BiKDHOj3yQzpUN8UKHU9UC5FeGCCpsvHV0/VFCKgoaiR2jMAO9SfEeYvvkx1PHBeUXIIOQJ+v4KV UsJZZFMUgfIRzUt54cGVIS6RypgIkd+I/EC5C+BQNIvGKGl28yXoRf/rwJBb7JKSwhIk2kJrca5v UkKNkUNABXJo5iuDyhCXPNFsaH46mIHDTepN+zq0u9aOh9u/4VI+Azv/B/aZpNM/pq27VWCmL7dd ODhoQ97LyIiZhP460v2k3JRWoLUg+A0b/9ZnpwpwRu3AERnA2XIXQsDLJS//hF8xWbDE22VBfsOX 0pBgAOTXv/iSI220zn9TRI4WMWOBm47knBDSME3rst/GyDKwDaR/Go0Es+jeEMHzCMixAKDgvIJP dSU/h3eAqbMdrB5ToILEME3WsBjUGknZ8XUb1jPnCKaCsi4B5Z9/94VYNTbZ8FHDJDB5IfPvTiKA zoz3LtfMUu+r3McOOYlNQIfGdo+MNuaAf5L+ARwSWK0l95u3eXUkp5vjPw4Vu32nxQd4nKuh2oyB 1h8z1K7Lg5irciijjIRzLofg4rYH1JodZwGmEA99AZyQmepeC5yW2UH38gZVY4o2V/NtJ7C+1/h9 kJTqW9pU7P9Mx1iGmPtuZUFwiJ2yQpS7NDbNi6v9YINGwMAmR6qAvddZzX0zIBNjzWYUjL2OZl8Z eabsON5kSTfCRboxvqRLu2WrHZrEQus+YfoTsJCu/h9ljCUexs9FvAMhckEKeiM8oflnCsyufasm TM+U3nSF6Xj4gjLq0r03VLD29NZGomz2ikh5Prn3jbHdjBdU+793GGcV0keYvNlTLjs2UUhEnOMK ewg3WE1ysQ2eMycS40q9hm1Oqt1J0m/0uUPRB774+nEC4ijFmeG2Dejnudv3eIrAmabnWURodZOt r/ry7yvsQ80lBmEnC9a2clYPpqbtLKJoIBnOT4AgCOCSmoGXAGBRGZm/ruYUlXa+87KAsgYyRnIL vrpAeBzj88rCh6DYEXuz2HrJCeV1KMpfpf/20ApZwrptYd6e50Y3zkD7wK1cx2GUs/K2t2mf9dfH AqRttmRkGQtpckgpc3G+AO8LqFDRNxnYDy1fkusDxNINREr+Q6a3mmT2wHmRtk1w8ilWpMWlnfkS 3I1X7k3ZH0ctHPDV4CC7f8sbAkmCdArBYl03aQuDrjWlBIBURF3U1wOes2sQtfg69zp8x502qu+J jKwD1uKaASO2sfYjL0tVKZY7mInSGdyN2ep4Zloc2aW3/htFolyPCy+hXSiuumTVT3P/h+SGLb3R 5U3fAiltZ/ayUCPjv87UguhUlAmWquiIGonC3ldxmwaDknQzHznqSADpmUKvzGA6JAP5DeO5LTPS TkV7M8+DBPosKEPwAjjkqkIDSmzBk6g2JyXKZ9DuNX07p38R4RYjhwPTQ0VEFcz9rHHZdfUQF0Wa GTQ035bKWGIr3aB6ST7AHI2dNRm9ynDpIu6RbzlXc68TdIelHBIKjviNL+Xvx1qHh7x06jfJTpOb mmGynpn+Qh0Ux0vtBkuWjOgbyGwrIAMGZie0vZ61MS4eAuCSKFzO+QM6wMhz3ePOi73QTVqViJ25 D5QAuleLJ56P/9w4kACxmsW00c3j5N9wHP4f1+c0Z6xaANj1+i/MqYL/Koz/ZWDmTeDO+acvWstz V4KYXjQPbSk62jH0bOwaA8e++sV/lr1uRDdqgEdhYtUPU7VcCpL13q6NX6x3nTTvlyOVCnjqrmVx qy0ZORw9uPbhEZY2p9f1kYYAR1NT/Lm461MM/yq8VNfv4gmNqBl54Q2Bq7+UYSk/Dgxp2XHoKrfY M8EJ9N85DAEuhOJho3O2Nu6E5U3DDCxu1wd8QzCXp+tJx9pZm4xT0gRQwgaRD9p++2EtAuIq8Pcz uO0zWGw1Q5IjLte6gUmkUTySZJ0Wfz5/7YaNmdR94uJi95fPD+TL2q8oNqxwKVvfIfl1toTJhez8 5s1wGjP22nIZMLrAUx4qlBkzE51vAd51lqHM6NlIgxer0MIHMopr7BotYMOihUdJpHmQVuqhru9v 3TjV8yRmhVv0H1LQ8lUGSnoujJMPj/LxYFF6UKeW7nCzpWH2zW8upJUwg12jsNymCShgimbXM2LN VbMI6HiMNNcuFTQ8nn07Q+xZjOwdZgBbOoQm2EkpXa36R72ZIkvtvNxmmwUcpvmpPC6KYZyu/p+V T/9V3G1LXjgRA9ALr1ynT7AVaoVRwdFWTKDas9p8m8q1t55iaTof4TY+Al6OiDVQF7hB9TbcEw2h bRQo+E64NRgp3r4w6yXM45BkDS/k+O1Yr4sb8ycC1ZPtk9ajrmN/uh/9oJAiI0kg/tpKD8ubp5rW tzKV+lTQ5puCc78Vp+nCUgfwo9NLovzWTWCVk01gF7hXbeHGX7ABlctrGP7zXO/e4loFhnqdBZo0 zNJXEh/bI/t3kOm/H21N9+KWp0TayNUgBk8/hznb0zsn8k/GLMgzjFSyosV2q3TDNQ4pC7Q6MlF9 9Dg8e+tLjBZhjIVpShi+ypCPtQnoaWvHg0j8HL0Sip3gl/+G8rSmDhZ/7Qw6BzgXepAqOvO+PuRz /+nqYdldThiitriZ+eA6N02UkAeyTv3TTaGdrn4IY0vgVHebC+dkzo8p/BrtJBygAnpIMIkkSD/x cuMh4bzj5aS93QcmxlpIu2nI4z0ktt5fEFQLtG9rwPeu9bRjBA2LgLiZ5QpE8wdJecPkOvyp+Thm Op+rKdwC6PpTEleonGSa4a18ZOK1hg+fyjfsKf0NVRhWrRmsP220TESsWnKrTIUJsFIvLTcJ10RI qlvwRcyD+Wd1yVgAvONDctJfkXA4dcyDRn2GjxJahzZaQwMCIyUgsrf+AsZL2H9KKr+GpjL/4eeh +A8YzbXKWhQiH0GkcHdTDXh+uCfhsendO40LyY+eov/F7uvKwCGENspBV95/S0Uf/4GYCp8DeEX2 NDtspr//jlrMFQU6uVS/S2IxtEXOrlW9ZhUsQ332mUqBrQuFv5P8m35ZKRtCVHGGmxXivggcLwRl 7H1N+ur/yVIXY2KlIApiylhJ/WdjasvGV45YWrSyXqtDrZ+PZQTN05wulykBIIS+hZcpkcHXNbv4 jxnRqeIuqgwHcZzE9ArHTuJgC0PYRxW7IIzL43IhI6Ztr8+nGA7pIYvBf6h0oJ1SHFbdZgRAXbfO iGY9RYaou2Auj2aBQ6b88bq/ZAyFs1drxi1jWNyUaGIqM2H2rjnzXg/yGdIidh/yudBPYc/v5YLN kfxMIVSAAMPb6bcMn8yMuNniFPnsypewvuj3pPU8U/e67g6ZHKbvBlyUXkAsUdlDSoISxXo8vwYl PKwxM4s4RTbH0b8ShUAlVn+Idp8KFKgyLWohUiSm3BdVnvx/pqAped1NR4h8IMQnyfcSwLCvQXmm g9uwheDv3HPHteUNq36CWOrRq2jeI6PyZhAe7QyWwKmDncWBw8bw7DAHYD3XxCFncxyET8ZPImlr FNMNTkjMoAeDPDbOpCtbIkRfvED3gQd0y4NmBi0YEQc3YW13saHIJMWynko0FI/EA/JfJz+h42T+ jXfhRQgZzWA9nEy0/302dOoM0dL3TCm5PRu5DAvd52sa8OMmJ2j70MUpuO8bc+TaGSIWdOmRAQML RpMhqkxrQCAXmlE2osVDM+/YmIrJA55J2l+pIkwdVOHseHEngHR9St9QjQA/y5cg4A9OYu31KE20 kx2CU9jsb6TeAEADTvot9F3nNibyX92rQvqxdMyp/lWdmDjnvnsTRoAcbLZRMY5vmqKGkKCxTNCc dpJ2SgqDHzK1j7bG9+4nEwduV3YQSm9sl+2xx03Lr597rD6YORl4ikwbM3x64hGLxXegHPaZfLjh Sq9WC6iJ+2fkLEzbd6WsIRAahSvaxNOsWkLry303zQPaOKCS63UtkpVDAIS1Cvm83PvZnOnjJvNi H4gLxfF+m0my+JCwWThE33z05ZgAblfBkIZi9ZnYX2Mnj8beqwX9XMw3+sBDp6/9EDNnipjv47Gi ML2yxjo5ZCg16iMkuzFmbXAuaG2HWtbZnhy83XfEUlwPfThHVFaIgfUjMPVKWh3No7eeDHyOl+ls lskGabZSRlQhpZYDMGfiYl78CbW8ynlOHv6zIgIm865KcqMryXTIVrs6CoXccJoQHmhrqZsuE155 mqfOaOX1UyY5EAE0f/PDqKiGb+zFuMEZpzqQnw11R8MCktz2jNgqLGoKRRysO6KK+69x+AdrbN9y mUnPas8AbPgkluZ8plrdWVyAfhWwFYfjff1zg1P7VA9qLKab1viz3on4t8Ivs+wcEVNxocN/36xY 2/EsrGyM9i6LabmU7uy5pIT6z2CwnNkbudWp37xjE67lqMZPOHPpLOI5t4Wxjnzy/8zPkkXjZvqm QkQFkSSgYrtO+ER9Sg7QoRA2lSi1XIhZXusMXMW8POreN5wMX0qKR3hILfWvnXndBKwgzi+g6Wyc a8Z4B9tvMQ4pMzK4qC5aiD9i+NkUBE+aUXPseqo5IQ01ktHXoBmq/hHDa/7/edjNgidMj2QyTcn2 jtxzMqG9TCtKiEbzMQ5mEwDVzoFnjfTW8XDuvtRU2I0vpX2ogxypGvhg4XKxaCl3cwM2VBaXIcfK CHCga2IigzZdXGM0YsX7wHkR9cfPcYfsvZnJ9veCTW5taCPLXqPEQZdn+4qCqzU7A0cNR3EwUak/ y+YTNvHMa9cLBMRe/grSuAEBuTgBUZHTI9QU/odPKjHbhf4peeFMmFQfldXGAHWHd3rJVMgWaYkZ JDMoTxjVX2cxVLzMTaDjriyAiVty4/EBWjrQzb9sI1QAw51JP5yHIRo6I4S6fb5i8bH9WFuOektK NGRQNn2fGRpaDKR1FpDOq5NBLOS4x6uhWEdQOjsFyJ6NCuRBrrfgQ9hlBSAkVZPbUCojOdUOL6/N eanx32gZIu82fMIwp2A53a+gZx3QnWl0MihMDUVFFMAFVOHDBl92orBxUrlNlvZVaBzXq7u5hTgh Gp3kg+w8iMrz2t/Iw67u7tAmSMh0fiOW34XLkUtVzNW9MrXKqTZHQdrphaWEaaXu7py3e+ToU/KH G64dMyIaYISt9/5KqDsJYXvZETHXL2DDbAJwy1RzPO65T7BFraorcKXWMcZwoTKYC4s+VWVYXZEh RAjh9BUeiZ9ZMQ54N9kaMDvhjD76ZcFPtYm0POGdbIOgqQa4OKHZJ3OXYo7jJoB61GJSFtQ8m+ec IvW+QRa7LfE85cR+WoCccu913RmYaUkKu9WcdzN8GikiqIe1jYYGmWyMFlzayMcvet6k7Rxwjh2A hgM0X8l3nCF84Qxh1dKdsvOMJpOQG+g3kUxyBa1u1VnwaVrGosDXQ2/1lt/cFGKya/bJ/nHxWg9Y 7VbGthBBXY18j5jMUPtmZfmtBiguQ8yAbSBNPAe3aUAdVZuuJ6/JojcYMmkLtTfpwVtbzqnpCPCF 3Y+14uTMRGXVKh3rPgLemPKGl6Hlv9C6trVEMuIqlbYLuCBFZsNu63D11huVwSz9uPtK2D83ljnj rzUAnl9Okt2ZETs+2SiJ3y6wlHDSDWWK92HHeuT3XpXdQJ/jFbVIPGzaa6t4i6TFgquCIXAOHyEg Dm0RUV/mUtvBFKxmsuqoVEUFCfIwvjAjupr32d4f145wHJPFSIIB4QDBz8kAqCbScjVJh98mN+cW KUjousCE7r5R7/vOOMlBNzJbOIfOgQWUmnJ3b0bqo+aAFNjSX/wztChqzL3QNUVL4/07CopmGX7+ QsJb9pgJ7CSKevIcRi8CeRmvfDuuVlKir03qnWGrZZUtspN7++iRDU8xAEgqMEAcy0eW6Qh6bZAp XWygI4+nS7oTv+ztb3b0BQHyclddcSlB8AQtyWoRbSSZxsdNCTPR1PFGy4B5Ju50gRjEVDhBz0mG J7tdoid+dI4drgYS301jusUvK5FYCqhzmia+pDOmVdes0Pr5vMfjxdYVmMxvHxDl0CirDSA+BUvW G7BZkCmuWAEkYPhriz7u21zk3JLpmaXECr/tOvFVNHzESM3nyZneTHdbTVLWtin5muEyMv8OXECl Z01MlWA15erYmsgAEDMZLbw5XIBOCHlsWEEZUfmmFnncxuYbRzegCb1T8xIZ+/8zPwcNIjATC3zY M+3SoRYmCYsoVmjYf+kRTEnxDU3MlGwCnabh3IQFnxmjuYp0wgussZL+5si7lMpzWLbcrlcPRCGL AKGNVtG0dTt6U+E6MyPTAYHWSrRH4+NqRD05pWdWtWWxXJ/8UYSFasopQxVHX4IuFPGgNhStOh6r 0xbNmMzZsvDeO8edA0jzdchCJPnYiBKzwzTlvFazGzt4OYj8SGDLKA1RM2MhVatxSmvb5Kes5Dm0 p37iAZTy7UEik5qqosiUU+oNwMBfYq4R228lXXWtjc3Rgx3qN3PPVxJdDCCvy5U6FyPKJfEWqHMA Vo5JhRPh0L/FhjokcDFEpuoEUZZyLGWSimdbE+vASKyKoSulBGnT0KX1CNJPy3iKtBCDn+de+Y40 TP+iPN6gyqO0d1POkNx6W1UTW/PlJ6IInSSAh7B/ad0UEC+1Cd6UUNUK/UHBhMQRHzfuH0d3JXh4 lzTHSfg/ODbtJRVPaIzUcAL2EvMjKAxzqrHUH00o78QTkjYezDd1Bu9vNoEDPO90q4Xf95oVysFZ x5UDnxGZ5A1SOU/B8VJ6no/o9XwUBgI91ZAxm3AJfXBTNuQaaETVL2Xgy9Ew5hTZV85GvYopZMwX KGDjVi0AJXPlPUNQ8cCRsJtWGJTOSDhi6kfUCGSq+g1/gwQgq8XGozNaJxMefWvrr89/HfDK9HdR AwUeR0qjLKTF5lZjG4cCU1CuNHCloNHD4Wo1snSzX0zLr+ntdvbvzUvhFBr14TpQdcknAqOV2ECp qFCNNVp22WRVfVrjMHyfpJ4tUY6gWLmWSBZKAj6y8z5w5PDUjIBSyQaZofHokdF8wlDkkYHF7EcB Gj6MG2lYNQt1Nw17DHPqUTV85CsLbebNPRNCAeErBWRd8keij5jevQmuwAxOm/LQRPg8Q2UXDOmX 3OHu+RB5r3osTFyGi59iVzhVrWhJPOUIGmYv3eygFK/NxQ3VUkSWVbcOn2DtAAhUH0ndXNHtsoqF o9hxCIN7OYKUsPmRh0sAF3orNtkt7pylExS7sKFB79uTQfv4EVYux4Mmc7FiduqI+BIc3IEmZjIP 2Z5uDuBga8sH+Rsmh+QDlA87lsBwzbrBD/cYAzVwlj4cRbHT9pjjb132w7xzVTo5HI7iiIoPEU8K f3oTM2H17Um/OI590jw5Z6ivewQq9Xew8DStC1TrcNXy46msIiKs9D2S/fQCJD5IciAGvoBIoxEr XNTfHrZ1xCvy0V6302jpzte4WpPkD8SumfAL8YZwArPUwnIg/aWtwv0tYIRdK3JudS3vVsBXm7cA PAnvzvtP2wIi70MnbOTm1DxnSaBfAfq3+NuTvlIcWd8RoKFrYT+7WjLeR7vwJ6w6QQUJMRX9B6e2 iiGZ0rCuOUHVvhVm4Vlai2uRNpCnMcKpRlNA8JjGGAZDqwXewlNvbgSTiegqpfLVKL+3g2c1Y1na zIojnTc9fkAz9uviDe5/iBIxf3Ngm43T8bPgrA/R8FoU7wE1zvC2dmc8zChmyMDcuJ/IavLYJakO NeVeiaYY8BE0D8Uup5LG6lNyRxNd4MW97HLBpxqU9WVz7tYguFAdENatvpM5WYk1VOLj90eiyuMM rr3z5o05Qf3217hKx76mVnzHxtKWXp08I64fBNedJDmN5orjyNbEym+UiluInpGz3N7UKEl2f+Kh rnEagLrISJRyGbrIOzYjwC/z8aoRW4p3n4af/Zl17jC4aVDBjX4Eln2Fm7XkVNv++HBnsuoiQCCX kijwVf637MyuheOn4PxH6oTn/VM51KYvgbCiiwYVk+C6WHXWAJHrKyYPc3lprbeB39OPO6MPZ7Be DmD83YYu7XMxDQYtJadogP+qRMJxg0ri0LjQE++0q10nb7pNECYZ3/7cIcCDGJtxvitjKOvk12zo ecCSLkXVWP9jnlnCMfeBdxthwTj4thThMEMqYn0jAxXmpWY9MjCJPa/kPk1Z2ziYL4/+pufNvzzY To2N9yewgBz1D78f3weQwIi8xuuzhvLawtTDXyJNUO07CtwJ7EUGUofrSx7qLQ3+R0KEYK46FAY4 WyQW058iXyWEOS6P++Yaeku41F/vGiNI3Zy6RtkutCqta0mXlo6GcHOFHRwn5jMAHfMFpA06Oumz m5ftpGWsBiLwXe/eq6vGwI95f4mrNrb+FjNZnnDtmph51ogMg5GKG+HiKtqzDKJ7tXyGCV+maOcm m5wD+o12219+jwgOUhybcQt9JpBRwxqM6jsjuRTgtqdM9Nf2PMx9v1iZfD0i5TcSfd4b6pQJthoO kEPRDLgEbCp0qDhcU9m76G/VChsXUXfSH32IXdItRKjGf9GHNhOZfKBbUwNENwnw7b4q368od+gB uD8zGibVF8bxLHPxUR2MbX7gEmadOQbPdl0zsNa9rWGUptPCkkj56B2XrsPwZNOympqhDeuUVD21 sbWRJn+pNXwMCXDz9612+Vyhj5NSbnPDoYiRXlBcIBRKMWy7WbRdxwifNqUTGV7hsN10/pZFh5ac MQt6KTCFyORHO7dhWxk78Hibhe6r9dYazIjX6iz/3HXdBy0lrPeE8aSJJTZ5C2XLO6tiyd3dm7Bn QIXjii0AufIkPA6uGYIjLoIBLGNMCSO6e+2aqCe8w+UATkH7shyMt3QfM3ksx1oVfQK48Xb4kAwQ pCQEfO/FNYOqFSDWzRW+gglrt5FVST8M1ZErB51wUWg6k6dRu9UKHHAnZGZzx5U5pgqTIBfKD8Gx O5FfBbSxZkzQVf8AQ8Jb8Q0xexAO+mMxzn9KnJ+/9JMnqggTbp/ANMMfJh5JudavkvGocrtLTx2r qcVRiA5hHsyqU1EuvGCq6F9BdgTCxMu3E8nCAMVdHBGxZjQtEI/18Z6cpngyORRE60D63Rbgufbn E3nZi73652mAt7ul3vCsjmLL/lpdclQY15IVpUkDu1G96ZdgFKS/jGlKKwkGUmtk6yhOvZu2rAIv 2yXQNIU36DRNDhIXtemEtZkU8qPUt0B/t38l0VbOtfCV90QI7aAHgcBKllMaJMlXn4IKMX8WEm+p Yj8c059l3z9MuzFHkCLJLDBHx5CC8hLOzbnmg+zEhfUwvlBjB/eABNdEdGAnQXhyDhvXIMep0T89 ujvXFmpjOufeILm7ZkEmAKcEf8gdgvE33f+Kd3vYNqittxA2ELEi3DMZrp5HSWtxo1pmk7Qerwsb bVdfJTIXgTpj1RAHlq5UcK9Xo/N1VRzjOzqw2yu0i8CaAZJJJg9fF1v7lV6zramI/liI311gHGns bwGNft/YJExRKi1F3nFRkhA9AtK0mBBgpLIBNNM626lbjMSPY62iQoUUx2ZLI9Sp9Ri/1fE/hJn4 2OudSwRdh1qEGKwYPYm3phbBxz0Pw20HWezDGMIYXX0Wa57y/zLwf3Fxmfpy37QQInMeryBO8Sda zZ4H7IE4zviSVKS+dtVJRLVwVkQa59JxW6PdVXQGMtWGw7O5G+nsZBJdNBHvrvujMG99Q/40azUB E/6FyhF3M/CvFmpjl1YgjIE9UHCkOuZySfDhzp1Zn/UncS0cg5Uoy+8n9wbszn71ZwS6/H0Mb+cT ZYdKt7LlFLSBbTN7vkfINf5OBbzPmEOjfmRoz+xUZqhjd+w+YFMFYkloE81GBIaKNhJl/JwDBJ2y 0e0rtvYnHNixgamqzPYIIi8Bpd5/rLEoAU8eJNwgG4PQDi2udJKLtwAYEO/SAC1cWqYEE4fmmp+o SX5pm7mqgXqDnP60Ifp9W8ZD3AyxBwalVxR+n4rw/XrPkB7Oyj7SKB601jnXZjTGL0u641rFauQJ qDz6AK7LujhyV2I1xmL5XnynXUz/ZAkuyQBWTGr7i4209eWKVLy1rYuX+QvfpBAxX8roaTIJ68kb I1FaTtBuRNsA188gd/OG3pSi6DGcR1gip4nKc+wd6Ira/RtjZXwZ7oZ4LQU0GFsVDmChKCdWnPoy vUmbaSYxlWhF1ppdKjY2aoe5P1oSmVfkzzdbBFW4D7FTEY12kXNkrglrWX72tg6vkq4zRWetGJPc XGiaX+HVPPVIe5teajd67YkfPshSE5BXgtEiFd34hZTeVLDtpBCY9fneyaTvU/Q5B9YQHZ3k2Bj6 nXpNN/pX4SvDuVnyr15eGAYQmNUK4A4TC0wJ+OIYrHkijgmiRzolcvIg2MxN3oj546BgvYlMHJFL XqZ2LzQ0gIKfwtXWgB73TnPMP7OkuQP97yakLFHEDW6WoWtFJy2zOikEsdN4jJbo5xZnHf8nIbuu Q1erbywTCoGOuIT+LGo0eDl9es6+uqRLL7nnuzrF/c0TeBLGwk2VQU9DyVdPynSNVVLTMBwbxi9Q K0Sab0mC1nXhufLfahg0yYe4bOm7aUZHoBSp0K1T970BtUNo8V43QQuPQ0sWm0E1IMlFyrN0yP60 TtUuuXxslcIz03/+Z0Wvfs6P4VR02AsovE3rqzNW6xV0DZyLpeLbBEaQQ1EdFzAQk93m4MJ6ga7V SO2YAn+iXW1HTz+d3FVUeTv+jKA9Qge8vyQu6ZT9o/tuqB1MJI5oLQUsuzTpcMNHN0JUTEHxiRc6 E7DiyO0+UYZN5EhZ1B6hHYTuMF8c0Yq8+CXvVT/j1vP/GgehoSXnXcIfh3H8pO4J3c4X2P0K7qMq Y4QnVI57lL4SHv7KWbCjyQ7GgYk75eHn1m9RJrDiJe1c79Q1wFIJNx3aTyT62kYs9RgH7yZulg3U 565crkh1eg0A4ploArg4ZRHflv3KKeQgBLIrEI5wnCjMWx9AbV6ZPkYc5SPhQfHZrx3Zxa7lekvp aObbbDArZ8f2/h6muRSeTrCaRXdTJuREaIpO5Xibd/fFfOyegDvQ34r/rXfjhROMERT6zwpJW7/j hD+Cd+Zvu5BQ8l/scFL2QX637NxFRU20usMePWSQo/XK74pQx/pyTfzmOzb26MlvVAx9OZlUmNLL d02IyHE98Sd4b6bKPks/r8aAdNZYRH8yRSQT6I9/1j8hUvWSbb1VEP8Dq765isX80DI3Rau6X5PK W4H43uoP/Ua3wWXV3xCebnh6cUj7esIrIS3x78Y7iiUvcakPz+8egN1esZavTtJzPehw1KbBxUSZ IfHecxVxCDfqtPoWGh2ykzEHkL08gK3wxCxWjS1CA2njjaY8728Ri/CGH7elJeWenyB6O63e0+jJ C87SZxdkqbBYEbK0Xae8ItNCvtDKvd+A+rdDtB56RgsqkwRdf8GTQSjjbwAtXxnYgzL/QWqObYRZ P/BGto6SnufnfNj3KsmlYeelVjJBqDp3od0TWpwUvZ4HjRlzIPfLXCKSDEZU8nEoD3bhVK14qX5Z e4oI9eZrPmZCdTV6SL2TLiA0qrVH5ogdTdqOh3tDkPRUqyI7Loa+MZkP1PkjC/J11ozloQz5ysG8 51zO4ctwcIoT9idIInk+3edEHoPVDIlXmnZVM1GvM2DFYXyX3q3YqaeqAv8wn+m/nKM9xTvTnv7a hdxJM24ZiavTbdT0RZhAe5tNE8S39BjcGZp+6B9aRgRNCQzLpCVYc2NeCL+DtKb3wjeaQe2bqmDp lK0SYAmciuYrJGpPtugtkzx1JhXUUMToP3DIr3NAReSY5pEEeguppM0cqSSC1uZcETe0kN8vpDTN GBfQzSAytONBIqAtzprkKtM80fx9nL0oBvsiUOW/DV9+xZCbSrbcANcyjPbXEU574O4IkgWfbI0/ is2+pUxBmQBDGih3o6MWO+mVeMv4R2bZUpmAq3zD7R3l4+cylZ4T1rUqdK0aY6UmLhrTPBV1LVmg uBPzLEjuzYcAnGKW246N+HYE3AHf4LBptfS7dfWjNOP0NeIb90bDIi1isBi1T6UiOuVh2P98hYhV F4Bm7Y+1Hr4zAR2LXfA0n2PL5BlYZaisbNnLSkX8/Nxq89BlGd+syhxPCb8d9WGv7bzHJyku1/hz eEQY9cX6PJqNuVGXhS5fQLqZpBLfV5l8POTBdTQSBhRKD/gNeYsHSB/quJoeZ0mZYbMgthkkXJPU 4xRdp9RcjRudy9ybWkuHMvut0nNABfBUrl9tSHVbvN+iThBe0bdPcEW8txuALaAxKl5m5R6dgvKp hljJLo7GIWEdjkJ1KA6zAjqBLO4S/PsSI728oz1L6pbfBV2VGToXgTj6G2/R9Zvc7TT8z4vMek6M UzvuYR5buIec2caLCE7l6hWYPpRVb25kNmMN/elo7aT0cjO2ylTSr1HOoPkfc55FrCI36iUAsOzL dxh3WZEZdvk8ulfh7RkwLWHdvyFZuUYl3hpovcBfoUIwUzf88fCBwGMpViREtjKIHPpmR0SFdH/s N0pLLxfSWoBnLpUBpqsZCjDNp+PaEaCJWujnCCg3i6v3I+YfirvSA+dXbpAzwgVAQFtB4zOI8NIR /qCXswA+YFlwxj06kGbJtou8SkntvT/u6NyJCsgPStpqjdYr0CKYjEpeYkLzLHuj1Q3FRmLtTiMg MXK6d8Nrj2Y/10KuWpYwRRByTm6ion0MQ2aEYnRWFLc9ylQYMp+vsTGCV5AkkqeXjNJ8NDZmf8HE vTtyjqh0G98XB+IpY9u/n4JheszySu9lHqM5jvn+cCYaM7+uS4h4aIaBY3uSfphLBgtglU/7DWzx l9SU3QgOpLD7TO2uLa8LDmrsXse4D59MgKChu+dT+CuceCOmb/NpCjS7P81yU0trRdSPG3tzvbpT Pr/EN+OfWNrxP+HsvdAPOTeU+d+D+G2j+Tfbh0KRGENlPXi1osmHF38Hw/xbwFeh0WZrMO+b21LA Wg1UrM1kVCwlmKE58nVlYzVNeK0ytm129n5FC/ieDRig/r+sNcNuyorWoEaV7EKAuZ0fFOQFqeKz fTC2ff2HZZ4Jo+Amrwl7SVFw8FKb/d/JxCWc3liN2cDRlO0UuGBk68ukecHCc7MlFdMprsVIrOwn TUjZWgy37adi242WOiDrLC5tC6qYmTtGWyx6PJrXhlBRon9Hw/VFktSE2y3/23CU5D8Rty+jxiDW XKDOLGy/IzHapu4gra6sfCpC1NuQK6Rorzaw6SFrq1Wstjl2kZp9wjOtMRGdH2yvREfIfmimTqPO W2AWXEm2LBRbG5mq2EVQ+JkwlXQG4rKhVZ1dqT6AKx2cE+j5W3rwdGnRWcoWP/p9jjkX+8gfPKqo h2a30JFYXGlc8Ac5kQGGaeHnUKMR1yUTpm5wTuy+c8hUSNxe0/GBYoOBQufJ3oFrqc0mcW2M0oK3 ING03UayX7k5uGtNOFMxLFNuv+nH0HI/0EVMdsXZaxBON0ImNn4Mq2dJU0v28t4nZydi1TnRXa9D V5OKNMeAwirV6+EJvHb56GFgQ1ojrw99Cr0h7rQLTsDU+u4tZepkUUPI1ZFQZKUWhSaqrZKeg/ql uEpqyUUPxO+g011xSiH8HdagGy8iU7sBQ7ixNLa7RL5IBxzshCi7EDK6MkYDNNm+n6uCqbwFmXjW 5QthGyNyKf1+1m1adJWXhGKT34IDiG3NppwcvqHjKXYboDRAVYYweo4DFiTRzZUuCLbVdFAi4Mzq nS76IS3lgCdNhgmtdFvZJMa5QoIkNuEOPMEk33SCSn0P8w4Zaztfk+UStKfjSzJqK4p9CaQonYmh 3gmZZjz3H3ANjhFxuS7Msdtl544Y6KG0O+Ai3H6AioqxbwDq9qWCnyxCN+TmnmopCRGn7wPWXfZl 0j8MnviRC3ssUC/pCDpRFmPvfQEstd33d7Hm6fN6/hB0+hbPv/lOlPo/jY9f5KY47m/7CAY0Y3Ef U+gRPEqXD6+RCon9LBCWtIPAIjJeGuegtnvh3JH1oGs90qOAFuwDA9H6UEUM3J7FQgcRsbkvujNR C2QydSxTHibKdOM8NSoFnVvk29a95BPJDsCdzGw50Rk4G0aeEx9AiT7LZQoolkNdWAv1L9xiKsqb 8J8FH6LKZoQJ1xGSM+lOynkCeJSSeEGOxuAttgp5bYrMPW74wvEu/FAgZMUbh6G/Uv69sg7XEU85 um0eeiGk58Z7z3//fytbUc1/E/RHtOFbpds6AYrnZoAhTw8eRI2QeaVoH47aIKixRzWYyrzfWNvS A71M2yBwhnx1bLXlRD9t7bn4XOAg8nwEnpn9vpnjFYOYkU7y6pmyTY76RCvf/c5m5dGsm70sJbsC UPXsrA+ak1GnGudBMmmhsvTm40B5MU9ToBnkjDcK95XqA77bAe/v4PqiOA0mwrdLnVfrCV6+3fdS tF07roTat6CA6zNLkMK2FPlmS84gQRDejYKXMjZylXefXuxb8m9MRezwOfhEIS2eKgOXG0j4qWQr mswkDeQHtkjcvUUa8dzFDUSh44MDDuH/XkwvDT860257mxQ1RI//bQCqtPAT5wVNlS3ZepF2CYiH MEDjMh5ZNBHyx44dF2SPeLyGDW0VPFUmqatU6FBcbwT2Ud/Aq8Na3MZzDUWBoWfxTpWzC9Gs38PK AQN0yIwcIwOLv625ZSddV+5rs0AvIBtBfX0lyO3O+2Tz5U507Ovxw1ETLDFMF348hlab2UGSocq/ bnZoQCluuNT6WyrKLswc3+orCU0ncuMGpYsjVlzRzXvzgTErkIWdFiBGgQYInsnOkBnurksecYfe FH3hddE1EXd35P5gtzFONQDtb5QrLSyHjinxA6Wp2+30Itnm4XdT7TTyog8Foh3lMXePpQYL/dMa JMCAI4gnZs5Wu7stUwRc8OJrxiXQ0MBynS4o5cWzHq4gvErm5C5FeQm7xPW4Ds4YR1SDzSi37hyp aykRgeAZn+pVjjechvETXfuXwDORZLyGVpcuvlSuuKtJgdENFtlYIWxP0NF24utPwpUJG72gaJoI 8PQ3TdKbjE9T56uI95y2rwdb3RjQE1tYXDrajrZuxIWsjvsImUTGPeerXatRW47qqAzbv3PznWAK 79tWxjjnFFDkgJskSwQ0sdJHBhJCdpvWveFITVit4Wm5BzPbjCdvZ5phgmXqmqWzSJJNGWg60IDE Ri1xODj8YT0D6tviLxSCuxRg5ZvNyYEP6n4YaXz/P9z1dyRBUZM2VuAxDmOzDYzBDclu+jLpp7x/ PaKFC3NryzokPRcCA6Q74yIOBtNbK28JBsio93pUXeSESGAKPWsz7F9YtHtxw3P7B1mKYo1PbG0F +BAppLK7l8NDSAhMlRkjSeOq3fpk+dApQ6od0k3DIWYLWg8K1UI4vkoyLu47u4I0kMyJpYc8a/7V k40myIjCQCpcHMpj3V71oJ+dcXho+pjdXhQGdfdp+UFxmOs4Mafhp9DwyF+6F9WTSypHIoCLXmub N1s9Vnt4Bx6+m8CfdBC65mwOdppNyOtMYOtsw/YM/JTEdPg9k1zIVrzculv8EPukv0Jd1SpQFtzC ZUhPR0UT6zVcbVxgL1xlCEvuaaJNCbNi+V/CQzeyVsl0cfmYJpMpto3/YF0D8JU5HNM/56pupAZq zkvYRdeUKH8mYHmd2RJJgl2nuyeUa60NVVqvExzgzWV53GN/26PwyMQKxBgc6ievSZI9UefWrGTn 2I4Ff9TBQmwn0BvCtIocY35i3ZUvNJ6hgbl30QzU7A6f1RMGxs0l358V/9qN0kEJt/TbD+fSPJ67 3ZZqUBZoYG9BjBsHE2FHMYoV1znBF2dtmgFurGo6iH9i7ghVbKkSsJcPnOhW+YKGIrjm1q4V8vVy 4FzuJ6hfYjv9TWZUWN1Rl7HZ/qVZp6JGttUJqAr0tCOfJS1UYZpAzk8LnbegMWA4KBicsXusAK01 Z8nAPqWamJxZyKennsx2xSuaJ/3HiWQJ8bE7jjGUcH21IL5niexVQjKTZRw0LMk1/lZHmT4PQ1GW pcGB5p4j38JsJWKwrLyH+jJ45TgINShbepHu0fM42K4i1ivygy75cnPeK9tTUA5LuxIUwP49sODG ErwATF/cEmZPl5HiiikJOBxnQA7TJysT3VxMvTTTQezkQebnasj+Uvue2Zzb2/p1rdhent4ZDsD+ zaFCCMWkpjOSQQMq66cZUuNXNNJ7chTK0QziKzSM7LWfCQLFrFitK1k3XJ60+BAiAglsyD2CGTfE JpBsu0xZiVPjD3JHHMnyb3lLN3zCEWm1btlymQ78fCFRwF0qngXLhmH0XkLOwXJKiJwEPSwCn0XE vJweiogIw3tJWX8ffUFn+9I1JxlHsDgd1YEdHbojh0QtQclxVgTFTgK+ZbFn9U2rd3IIvx/JcRsW VIOvPKvtJ2rG/d6Wg8KUdttJQXJ2UsGgXxRCSN+dMUDnsG+icTbZGwQ+RMYsUeJ1YDn9aSSVsetX m4ATUWrwy3MW3tM3qpEgh9o900fihRWX4QyIP6P53fbc524/2mtDAzqbA9b32hWz4YgmqvzLjtdb mRQ2y4KC1xazyCD4Q23bXUg4AEspfesKt+i7POmZICImvz63HbRFe243fRDs+MKgqAXrPSogbtMW 4/qALRzm9J7q1lWyelbvcxzADOo0TBVB5CCdaIEP69iHarT7G0DOUOLWa1NR7CRFruCk84PLipE4 XZ7SIrlQZh48ycae7+Y92ExnrHFjedHijpmdI7l3HRoGWtQ/wOYW8zHLOgbPGCqb5LgcPEvChMH6 17LQSrqnCGyhZt+dyqy3/rzdtRxgecOdq4aX8GJ2QTa8h0KCrKGZXJE9NJct7cmVu3/GHC41gki7 WlHolXQJafUN4khx/YdrJ3EuDjs8bU3Ps5zHLqDi/REvjM6eLZxXReIVvtrO3RNmkqaQB/PmpBH4 5aolvHmg9Vtv8YvguiEC7Da5vg+ep5Vw/4ixRrReOIPUUwc7zd8SZqjUgDQo0WNao25hV1l223MF LznISJKuOiNuygIl2npaSMcwtEIrTYZ45upHzVk+4MS6DZhuaSYKOgcI38959rnSu/sGzMfryJNB 2Hl2voLuYnZz/diMFWlf5be3Rys5Tto97kG8j2uqFuez54Yzd52mFvSP1xs5SXJSrP9POeH1l1tr 283SUoPUmRrMulUacx1NmxilsDp+iJ/dLD8TkO8Fr/s++1qCV/FXS6dcCptCvkas1gBqZRsdPt12 e0VWiozSUR9G4EyjAhQc0BmVktXPwz/DeYOogiAWuXAvTaiUZGvcYheMcYl7XYYyw8pJaB9jdZGV Ptv0Ci+BqxKtHHFhi5VsHpl0R7R6cwX6ogKjt+sU9X2/YOSLfKBjgG2uajq+CExgdmdAUutri3FP eP4NkZOEbbbD4PBk0mWC0+Hw2m5xTqpS8MRsCqPWterRYYU9zCwr0vcrLHIGUKRAaRbpTdWmooVo G3UmYVYp1Rz/5B0anwIWfqndco8uC4M8spIgEuKMYn5bGHCVagNbU7xJDEoqThY5a+FyIPh7QuFJ Fskj+AAnYhj3Sa82kGoSDcySv51fqzxV+FJmwV5ckMNNVGnxcv48CHKQvvSv4589uxceQUE1VCe/ lHzKwOJVoiRWpFLc8v5Ok1qI9357mpJ6adSHljqXxNl90qn+Ty44vA9rUwmky7oqyDSlFUkSBi2+ WuaGKQ7b/xoCP1qZUTtKNO1xfD03Sf4f7G7UWYEJCBx8OTOyDoRwhQY2vI+gHOiwZXWBkmPJ84ar iebzFCBJp64UVx8t3Ue6GPby8SVjgdT3X+dHmUJ9k/BhrkLHbwe8WNjKaHuAulqZu97sR8Ev7nRA 4iw5AsGcu7GAkzaHIL2zLC/1hUVtBWjuweJF9g3z9lICuPXMosYYmvrmjgBX+FAbqDkqRYVDbTL6 b3CzGh2pw8vqRzrA3Hkyf9m9NfU9fGVkjy3NEXoVebNkGXP+/qZ4CeYvOx4526HC2PXaR9hlvm9D PQdJWL7b/ybVE9fIesREECX994pjByz9kD1xNKf2gMyGW6TUdaCn4jbIkQOjj9x26oeqkd0aZM75 +W9b6gsPhUwm9mtrCJx+lImMySd2QLapbf8ZxnZ0ANXTgYBA7OteTLhRpqJkJ2TgYtMPUKz3LdTP XLP5TldmVOcN2CY6thBUU9SKMUbs2Epz6PqbTlzf9dlbIwxmYy/qBozm8RHpkNqfGLpfUxzy0Q+I /lUmrFPOk9WL/PjepQm4ITR3I7AYHyj09QIry2fIfaai2TRZRdRoKTJC9cpzZs4AMINifd7E+rT2 qI4oPI/w7511q8CMQ/NFMutGjJPmwi6afdPDJ8HOdgYA27LGeuFqV93phcoGUZ3uwIuSSdE7HL/7 hah/H1oeNWnUdrod7ax5bnUUnvudiUXCjbEvYixUSCJsrpRUOUTlPKuhOnjV9CLS86YRA00Eag14 r+xKvFFgEXyo1aFAHixXomuGqd3dGCY9C/xcvKxZlNuUTvlrvDdOkkY7ue2MWst8PCqEkereAasQ 9NIsoaJneW1zgde8iDZ2139hwZ/zMCYUiMEYQ6fgxfrGUKUUXiXzVnNbtZz699NkoM26MCEQTqdg OWMYrrFAwywkXxSrZCcfpEzv20C719AAScdSQpiQSaPjFcS0hcsRXLm6sy2w6SzD0VXUzCWcQSUK EJjUPq6r5GIPPqSoLe7SN+axyGGqFl5OIgTVoAqoVrB/qa8ClckzRbI34b+PMsMN/V6BTVZtrb4r SXfVpIZ769/OPIW34UFjbxhXmEiSR9ACEcqnr1oHGKHLlAjPmOkGkFFs/vdq7cRGwx8j64lY8z/n xGF3ifdZxTGNpv5Fdzj0k+GZ3Qzo+xqwGHP8xqXhAKyHlkHdnNu/666fskoJZvWfF8illN27pNb1 oC2yT6H6koZnySyhMyaI/+kknxZZtdkUxxT9zHQtshOMatSuF6aHAEc2uMB0MlbY+++tgPizH2EA XOsfGx7SkbKcU1hpK5R6Q3XgR+EEfPgjmzpwbhEemsuvNQc0lecXFTxiAMNGVXRnMLMbWPcqniT0 MNlMaAIEq8bOSomMXSNgAjnAggIfgUfZ/obzQXdAdKkysJs+w3H2iS7kYOE7UCFtIrM/OcZi+6uw ydN8+LG4IGjNUnlXT/5BijisIKAqjpDZAQShyofxUJyo8b7aRSfi8YkIZVnAu/4lnThMcO1Ax1vF tH52w+fnxPtD4G/P6++emRQ+Rm0pk+cu/BjthDsWhGLJ9KOw82taAu2gINqWi5eAxtYNLBhByS0Y jXSiiYpNJqsF3tbnZLmc8vxRzM91OHnHH2Cj/T7Zn4UeaWKxzJbiNAXNtgtwHrb9gNBLP1sFV5fu zzAvj+PViqKVLk3/1HlJJAy2Su8hoWKeWvNirGMXJOSPmpzrKV05qyoNgDIz/5h92U9o1u8BNurF wvFZf+OVGsiWIZ1fYAUlKhZ1LQGUaGXAqT9xapdpf90/5OX/y/pgtWFcMQM7maevwUvRmZu7lV6j 0KVKmH47absFhYJ5gzvxcDfRCooYMbBb65mLlIY8XDtl49XgUbeyVeH2c8aO3Hj7eUqzpNsEyGxm /vw/6iFsDAw5KP28Q8N1kOsqjUqv3gW6SBRK55nkeH8hfzv6deptvov7+8o21h7hGQwkaHVXc2V3 bPzEgJyornJU87sgiKddYMUPfcq5SB9bl0xzd7C5zH/bYXXTNMz648fOrKByz+2FCrfuCNX+aaYI UQQFOQtfnVw1DDD3TeGZSC+LV6+os2udeyoUar+TmBOnSdOnyIJ76paG93InYl1djlVrN07GnCPy 6TBmX+hqLr3IlYsW+xbTFjQLTyBQfxEa09d4nUp2JqJNbi/irBzQHs6d47UvWsj5/79oEKwvw4g+ OllMH3v9OMh/7ibjMGMdKh61FaIBKumaL/XmNukMDVL2BaSS6jR9Ylszv0286KCy82DMgMREH3yW 9toZZQZcLgBOHDaYjP3SRRa045ma9zZZypold7BZD+tADRkFkR1MxSb91G1hiot3G1qQrOxR0sAU QekdEskxZg5l3yeKKqCMXTufFLX/Rjy+6mpcnaqXj972VgoVIx4EBJiEflOa61PgNjQHZSFtBNdk OV6jLKZ3KZsiaxC3Zayy0DpD4IVvukCVa1ZlCzEFsjkMAX84R01RII236y1rupk3PSaBMtVQudG0 S3uGS0YTZnSoinWDUGfdhj7ibnMTUZ1u5ITUYnenwPqm/Ynv2IY6CvHysIKz2Z/HNlIMSsCnGIoU +hQsbjf+zLGFvr+YoMPfCzYT27dBIwpHVL8DPxqHnLN2OYGeTb1xlqF9R42xSVyGOJjlv1mhjlZd TBugBxllOgH6QBR1H3Y0JtRjfnpXgRWpePOVPem6CGvslNhtXT9x/LKyLmole7HdwekBG7hd8V0E ZRyVW5McrLO1K8B0KV4cbdqE++0Hod5G2RFRHegaEaC7/+kDwMERFXX9Bhlrk7jBSUny2aEtunnT xALjTj/ex++LCZUEA81iSAk2W7jXBdjyRkQ30MdIlFUGv58xVW8e6ObD/PfQcIMPs2YCviqBChWl v05oe3CLw58mNF42vfccx1mkdIvej9m97GYgFgYifeHXYFr1yP8ilRTQRdGbxghWMkQzfAba2uhF /wIWWhaql3Uq7JJ8Kg7vNSY8/zX/nWCdDdnpBd0i/rkdL0XF3b5LzA4oI3Ncd+iZGBOdLTLmc7eb vsylEufJBhz2ZiDvzJeBQqgSzpVamhnSmePldQvMfB+Qw7NVNTSV0bSHeGO4MgVbwS3a+ScR7ST3 xRB68Y0DGFHApFoh89LJpCPGFo9lVRFdYDboWzig/VmnzWoVHMvbjLQPvv2pOcVbaXKym+n9YBVJ RHQg0c/hL/QI0hZbqgG/0cDD4zAbvZnfOZcoNZDUrBs6y7+zjxlE9TBNXICkO4m5V4MuB8etFg2B E1eoYOU6Pbqf8SzLpGJX1wg2H6lnMf9OT7Ux8taVAptujXimu6pENwnPCjHtyEmUgvHGfPe549SC dYa6Gygh03WwcEcgDtKkIf/oP/Hl1AEkW16vj/84ZKk62okTRYZzBPPOt9uEk7kYmfu6c7MHxRhk Opq1WTKK/jMV6mCWcJCDh0N8QKr7VluFeo0/RhG4hvkXNLi5PBRNo/N7hF0bfTM2tq5FFz6pj8vX xor9Er1dhBweB2nCapNuZUl93x4I7HRyXIBVLY9BVPKnhCMTqc5RyVycdjltlLkTKiew4ZlIVqJk 2X6vsPyobB1A3hxs3wkicRQFzayjE2APblgooTpTyv64Xv4uXdzhFdQjyhpXibQkwPFDBfFAla4p h7gLRL21G6TO0c1w7Qe8ZZpRiE2t1yY6NWTz42GrLPeLxJfcDzC69kOJNsDzQyxybFfqc6JeS0+G pccfzml91YBqCjxeWL/ZMlUH4voduoYPgkmrfzbezQz8nVkO4sK/Jz8Elgdo/nTJsbWvELE3OKs7 fjlH6VVw8k7pd/Nm7pvqe+6RigSv1doH1cvVmAAZUiTp6oR/I6fiQSOOY4/ThGTmQNmk06ELVjVf wxCbRwjslXkBVkGa5zdYheIqbkG9qmc0UXHQWDRNYgjJBlPQv1EcvS0gn9PBHqwqyXy38xtLDRcg sooECutWlvrnXmJU4vf3I5ViU+4J6h/JvfwGsSs2zDyOB0znAb0UI1/PjDJwkkCwhtJ1wWh3D6Z/ xpPvXYUg+QJVaQ7H1b3hGlGdBWTWhVrgo1nK/Ho8aLgHxt5DaJwcGu6ZhPzGDnjuKpurlnE7Otcr 2it93nFEDY/w+nCMC0BFombO4opk89DrPise27fSu1nZtUNzjOs4ePa9NHrPmiqU0NPuNKl6T4t+ pwCtmPP2IuwmFOJLcOkfuw6HWqnGaFXtVnb27miSO/n0OPkUCVCpUTu4F5WgKjdQBZm0GCeU3BYP iccP3Hj3BE/5xKBFe8sQ3l4ccARvqLYBgICc8Jgv2eBDy/vgPg03cp482pLmp+73BhU8hwW3TUmu P5Tsy7qLc35EHWt8dRx0LbX1flo5YYhoiTy0HEjWtKFK8tfx4kAnravMCOKtAbO3f+Lk/SMwuE4L 0IDAXoE/jePJ0Ck5NuIXJYkkflSqhqicbs4vjH9iL0kS84tlusCa9oLdC0HJYfdZ8osOYsIDTD1W +I7Lfgg/7cgihQkRQs8zNejSGUsC9rLKqswT3KIAJxDzupof1DcqlPIG9+ntA0QOjsK+2qGNcal2 DvqkJC6oAiv+vRANME8WO3k/ab0zQsXFGEnbG+hpxLuuVyhifkwW+ZQKGrPe39gmXg7SmNXNF7g8 TFkM02HC8N+65qtf5YBMJPAwqqfnP5MS1SSvnR7RUC6TaGNr5insuBhJJ28+CS5QlVe0J/WNxUsp bAHl7y6mdd549VRtOb3yVFIyAp5+2l8UN9ZI7FsodrKu+fhr5XfIvepvLUz7CPFHHP9DSwlmNIHb xlgALwzB8Ahf30upDXp2Eo1Nwidm6Z8eLm5ZzbgQ+sk8l2fRTKUHVfZ6cMQyHKyZRnGbTOhqgDk5 ullShYyV6MDULybmz/qWOM7NiIry780Z4O0H6vTqOfHz3op+/73sLDZJ0oqhnwuW+Nk6bP8MNWqB n/Roj112uOKT5n4x5WO1e/Re+v1QIm9qMVMivBTgIw91wYon+Sm0+3izf6opy1QXry8y4q7Q3Rva 6X2QJ9UksyladMz16GGibquejoB5TZDPkePKn9goE/pRCHXZ9xRXFaR88lhwQ5NsSfUQP5Ag5uIe UPvl8e6SO/fxQfkgf7z9Zony8vxQYxRint9iu8P8V7gjyNUN3zHIk49jZwwPDJZsQWjmuw23RS34 AYBNwN+4j9iJ0d4hqxgwvCEljGHkKcp4kjCNiscnLq5d7f2oorOORBz0kEM64hKA8NNFZChlHlcG 7w2DRMtzDIZ9jjbksKxeA9FhpLjjJaqIloK++ElYQrLR1Je0yEbGmzrVDQGtLgJUJCNnBorbWjjU puLO6EoI8+Pb8ZweOOt41QOSBC5vn7tiKdnjog7CfCK8ZGzMq5ZPswDd+xKwN0rxne/dz3lZs7tT +U9Am7Vcc2XZ2Xtd2t0wnJzXMIfdn8fQhf8H3TRWEOyVg1g5RLYceSC1qEGWOhdbhWjk/utIWTbA RzfPGZi4s3TMXrkzk8+EZhytQK+v5eRHeym/JNr+cS1W7m+MkGnMENww4j9MqmLUrbepDaDWfs91 Pn105sKXziWtkxbmk3wr7hvr1ueim6bNZ7NHIvjk6cH/KbH0wXXHVcx+SG/Qekf9MsHVF7itnvYp 3PUW2KWlQrSuwa6Fu2HwFOhSpyYBcnXkPhPT0gJRGPDkaXV5KVhpMAkGZvnb9BgbM1TsXO2uVf1Z 5Ge/vK2W5dIVz7FrGBqln1F50NmkLOJ9MY/BlejmU2d1+yJGxfmoGjuduMFWGOKiLpkuIaauFK0g EmwECd+FtmoSdIO50suI63DZstGBSMXKYcW9cBkKD9yrM9F2L+OsB8+bEcwlMA62OzO5236taWOm vi9X1sMeHpXrII6zjpT8uaHHuzjQJcnqbwFQzSX6rRZWXsPjVp/zCePrCPwZ3RrxQ3hGDduIedJv yQqWv4riU3pc49suTY7gwPcE1GTVp7MC9b9SxlO2dWtclvZ0JPeIWxqVCHUyke/O0vw0dqtNRLwm NATNbZxuPmCCU/DuH5oXdDaf7KE/4O0Wl9d8Q7Cbbkj/Zq6Xm168dMUcAgVHHgNtYiKlmXn2lE5F 26G1okp68P3AZOMutLMrLwuBcCzfMjfgACYQDxxotGnXTxCoCwZSRrB/un5DvCbWY8jQeVj8N1hn Kq0+uikR4A7y+240RfpMlRpUsXHoAHKGj78oo412g5qZAbHxHNHAp9vm6jPo57HESUB5BNLKZ+jZ CA4wC4vUmekdm4MVnspOXfqveEqWJWktyzfqKBBzfvnrG2I/ccrA2khQLDRhlL1jMoPAverxzq9V 8pgkQ7do9s1MN8CQZxPjsOfJ4d0gbZ6fQci5/wA2yEQ0hm0MmrNWOslyR1etC+IMN4SiVWn/Qmm6 RDhnFp78VeMDju/cXuHUgd7beQ4ip/ZacGwhemb6c8KGlyXXkonOE6Q3GbKDfkASiiRBBS8gLwlK NPzJhzF9YXRnhHKdkCX2BMsMFfpQtv/eh/yqtiRWouRouL/+F3dxprVLrOaelj/EFNNBor2jUZpp AzyQiJG4sldw6zaNEVgpkruiESTm/8jfHoz1uHUfoWZF2bJEKRTOD/1Bpwwsh5lMmUYT/HXrqfs2 h/92paDKkBzPMTdQ17Cj95k9iIjgVg6TqjmbHq2ujSsWJmrwVwhLOlOMqqktgTrD6XIAk5XtXWiS EhVHh77lHB/8zH8Ip3MHPg5cXbtrTQi9QB2cSZEt4RYgqCbXtaxEWwLlGVJa25A2kgfRjxSAVbiy YV9idn19js/P9Yli8ZRH3zfgJQbDTeqk5hwkYJy/xDl55/M8QEiKXFiDMopebSd0SeDiPeYikSTN voJnVl6CR8qvZrVC2zJWUH5v0Z9gmAXt3efdzkk2L1c+nDBvXh6+tO1R/wAGyn9829k1Hu0a8dkQ k8Yq0v5pILXMLKxMZPN8cmUT36xqyhZabWk6vWzQLy5LRn6GOZJNS3VA0lklYG5+lCbdyZCZu0Qz fBSpY67StUR+XoteUHQix2RjUaZgTUlrOtYOGR0wcLRwvsdcNxly8UPQNHl7vZyknomYjjFKsxaF QOwnSRuOEhKwRd+B1oD6FOCg2I64HhnR8HW8axHxCnWvjWrUaWmTQxJgRIr126GGm6i+EkMeSG1c YtEvs+g/XwSlhSnqsmVm3YI1ck3wd+BYhD/hzIdUQAumFLn8APi07ctD8WCPch1Xlp677Hqf+08n Z9QjASBCWw3BEY4WsdY+jT42e87UgC2IV79Ah6d4KCiFJH04V5tx+7wIIjNMd7vQFGSevMPtYm4s PKl+l81yhKH9oNwaUI7MLTulGuQfUvyEHZkyCVU9sYuYG2Fag9S9ZgXiaXEUKqopBo4gYXHi2zT2 8jw06EC/ERJfkhdmsqgnx94RFpv1n8bDeQlrA/GcjogmaIiRY0Ft15yK7ECrMQAXYsxbtvaUl53P S29xeMHxEH+O3FadZR2WsDEahWS5GAsDDlucPLx7/9wfL9TRV4hFypt/hyRVhIGxl2il/gn7FjcE Ss7wQPX3+c4aM1QQW2/2lRSoDBjTnbzeCwCqvyXqnw1faeoV3qDmvitAR1HaUjrmEyTqFHW38wdJ umBABG+svpFb6GwG9rxQW0FrqIM0jmuLEESBFUxzBwjjbgt7FUwDq9W1OWlllEtZg8RBlfJSgG3K hf90xcsJptdAZUPrOiPMJKiO3D3Nih6hrJRHx5dyrfeAEdOFwwY1686ofCh/OzPnHnB17Au7VeSY fPQYW5M0uJ+vBS+9XFdMiu9R44rQyqbfso8Iwu2F8LyUhlx19Wo+sEuZRuqlAsnT3RKHSlKzltvs ynfqEJ+gy2Tvf/n9lG25kibagNkNjrpwakvbg0/wdGVTJzgi8ipP5pKiSV/iuIwtdD1fbizTo4Iu RC0lgZTxmonbB6il5F/MvqvZJQwUIFZ+Klwn83FkDqVs8NbTkYKNdNWJYHWcBUMt1E1rDVaj25XM jFbBZJCvGS9Jh7pvlpg8fKz43PZ55n8h2rtxkOBj2sYKoC+sx2AU+ArKW5Ds5qx6wMOW9ZbhRl+L FmRygiCKwlVCLvvPT2uwZldVRj8oUjCvX4aJQ89X7WmcRrk8vzj8yT1E3sm0O1uCmWjTGMZb5z20 UcZp1aDF0OmLTpX0B0k7FHIMANs1yctgSDd9iavHXQRurOZldLkNvE35/sl9fu+JxtGVazEFyVeT rj85mTqfKI6LcbVh4qV2UyU0iiHmCAzq15fpwNnyJaNJMNYSFdY+4WMZv4qkzHwjCo0K4C1CFfUX wpng8ySxDfYfisWirf7Fksl5wemzvCuHY5LR96G30Y3pBi4GMcyX6v0JL30m35NIGlMTsOZRT1WR /E6e/gc2agi6EVzf6uzgemMYq+sIgA4GqPiEQlFQ68Uirdvpn2gpvc8BL4DGEoNIZQQksjOhHqgw 5ZFuZhwNkdIfmMp0RdRdmI6i/WqymzWlxznGxdIe+Z7s0vpgwFexAAAR0lOubEGpRqtLXAu9/Fgl su57eB+agYE31rzaqp+/AYb5htV5Juh8+zrIjvH4UBaXntiYlS+FVUDRX2U2SS0qRjtjj9C7Pv/a RlSlyK18kLxL8p2x5W+pQblIj6f5/Xoxp70uL3nbG0JZ+hKPHRcBaqq/9I07j9GeOfbp+q70l0jH vkYxf4UGyzNOiblq77bGbL2WKYWlobgsHtvZBwUz+Y6h8wIhmsuvlSq1JBVdZWBWxVk4NH1ypwER DD8iDQFJlT8eHkutJACsbQsnT9EY0//mB8FZpseA/YppzBpqmf7J9gcwVl+wys0/onwBa+m/2dH5 DPnofh54ufy62vy+oT3bVlAKNbqPT+KRbPYiIF6PuUreUxM2ZElr6keEilPhEMTs4a1rVZmTVwaR 6iTHYs12YwKPcXvskK1VnL92iVfhpS4kQF4dTynhpl9xVhyuI7Lkxap3JnPK/PZExDCC60RXdTI/ 2LMj217i9yta0FFmtKgK+c9VSC0Dlq0Jfns9ChaYiieRM1maPtoAXi892YxsNdirHv2R7YM21aOS JZ/wYgSo9f72mpvTrY4bV85KqpXY1RqmrFwPVi7HaSP+Pm4rfOmX6o3hjl/YydfPFeIZjSZHckF6 tjRd9o02WnshFmmHft7sWw+1vVNJWan8ZEbpzkcyEq3wjVsCmqAyDNaNtRKVxnBrWD9DiXF/hKZp miEW7pfH052ncLnLA9dcy61Kb00qf2+yNJ7J2mDzGqIz0R6Xgy0H9rjRfc2Vwt0jX5A4vaidgqYS GlJduLxyJ/EAhPbz1DieBXdz6DNWZMz9Ij0GAGN15UU1qaUBFcWCW93FjBiGeYHbohqsPtYD0Bvc VXvKez5zxcJAzmgXRy7XjhoMcDqBcNX/dBXij1Qa+wxz2BT6x7Vmsmj/tT9CNoPjwS0U+Q2W9SgI WNrnUU3TpkuuT4bcemttEwOYN+u64m7pjagURfvkElkfB8CVkk8cr6Q2r6PjIavhb1/BbiXv8C5Z MdDBjqQ1vYlkMRrrY4xI7lXNbXRKorrJuKXrNtDO9l7PGLSw8VlFPPz9qBX2zJqCMLSlVaAhuMrt LE06asS/Sh6Fkafeha3pgNFRHqUwuNoe1SmAoMfBghjDBggwtKt4d00oUtAFsFP+bQX7vAm18h/9 Ce8OkS8eIFt/tVwWfMwSF4H3m72ubHaH/pI2qwC0eYB7tWkYrRKAYO6UMRqv7P6EBXX4qZabwoj8 RwcqxXiuqGiH9+HGVnjke84jyxVeuE9tS2YXsgkNIUfwzdUKrQjOrqIXXnHMeTr7ZqpZgRmIF/qN Leh49nvW/bTPw4Z0jwf5qqphMQvXddomUeDPiaFQpPkvO/K6XWSMPX7DOJtNmciNplCF14qKh3ZC uE2ECIByTe+Doy0XYjLfvC/UlKD9KQwPFgmrqkbXZkSUwm0SRK1HQQWPYjYIn9KF+GeGcz4cBHf0 fD188dKAEfT3UISyLZR+utHKO2DEQ+OQC9HnQ4vSwttju7tl4tL5kSFUH8gPrwLGHh5jAP+VRd5G SMUP1zftjSaiYQL/Bq81goAD8qG5IsUv1Bb+7oO9NfLB7otimvl7h4rkNMrEegDxblsFloSDj5HN Zgr12Ma/4xBHh1HXZDVfki47XciKTyDgP5wFmzJhEZM4mC3uXjHQl8E2yz+dCpMkgA07rGnLBtM3 4JiAvp8choICMenlIM9Uq6U8KWlydTiCxb9/YxSMw5XAefloUUR9eETI4gkTAljLa9oTtUHVf3mw YpwI90qscJe+iw4SL3PuWq+pwjPl1aMgubwYrXOjPikkJRN8VNiwgsGjxghb0EGGIU7hKeAomvJG REn0Aonsv7Z7eCjDofjoiqGjCPhfzFLyacLsxWRM3McLW3u1s+aWPXSA7xzeLaZlVLYMczG6hG6E DsT97EOSmkSf9XVH54dRo/63AJhIvnNsMqJl0JnmkOneiF7vqc0GqGfgiM8TeFU1yD3oLfK7VcPd Fk5vqwIDOVousTeuFl9vcS6BaiKFx1/vGSywNPE/ipRf+GoHQT8gzNkNE8bxJW5xLWW2SLudYTuu xe+296X2ztP0YM+xllvym6gcB801LH8bRlREZzVJOHsQuphWRuBoPYkM6c7naN2+3D/aipBAp1qj 9gYnY4Ej9S02jiFVW4GROqL1w6RTM0c73qM47dHaErgKV8O7UAK4iJtdgZywknUuVeb//PxkXg4X P72qdUhfB5CipxECJg5oLctUQQpFmtZpIRCe6ZG69622dtc+GuLCD9EH3JXCC8/7pF3Ce6uYdL4Q qj4ms6o2f7ffdSc0lMsqSAhfzexmX2TRhMTTlS9N1iYDnIWFTT7CAC5PvpNhUG8gRKpf94NfiDJN kwFAhdtXHrotsyd8RtsPtzM88Xlpc4zZWkr0Lq6H2KPEZvz11r3yO8DiKBmo4Sxi61nmdhz40Pjr 95oMH6fdQ9W/ciorNDx+KBfrzNASRX/cxXZeyflEH03Tf+i5VNd5S+RFHuQJ8pQlfQxvs10leA8D iwUh3sVto2wVpobJF2wRCcTUKShbwbXyZn7vf3O565nmGuSIdQNUddjIshcTJi9ocsMM0CzbweHk E+uBGOtvMcANS+Z3U/9ySo2h4j50qXXjQEYqZF7mmY407ICnO95TCLZzpGv6XPsnh/gIEYQu98nj gixE7dkOFEURzyJ2GT5vSB7251pw5c0CzfZkdRRpWzDDmKLw+19kRIbD2r8Py6nwkFJZzjmSJWtu D/WlZBteRUFPWgEwe4COUano1v9WoAA8u+glmw1Q0hifgWMj/4TVQlXvDIzUfZs0cKu2JEVOj+k8 X9/s/jtHdByF3TpQTmtUJc/RSGxoQcrNzlRGpOKXvt8GkByWuk2kh6ner8yXjB6M8RWTD5iX7D2N JjkLP/n3zVpm/F4fFrIfGq1pQGU9Pr4Brlzt5jK0jG1zfVM/PysAh/1Lvu2ArERhRBk5bWqkirmJ /CaKpaTpYQR1qBiJi45Q8n2gc9WHud9dZa48KOXMEv5npkjujH71o7P2p5CumBNJakIY+cgFz0BP AhJVrbydjZLOI2cmueEVI5MU8D1sAwCP5wfq2uVqC7KIFW51OOi49Jw+MYYutfq5KRt3R6l+/Tui 7Y7JhDcJFWOWnACyA3ahN8K8w0BuL9wt9HslivhYsDEbgRTE3ulArzNIkoETY9ruuwxp6A2cMNxJ mfxP5FyLlk5EcpFUURbVugAslORZYHX86Z2ELoGqGdANRbmOOf7OC8QF2u1UQVcDLNg9R+N/rytT FA7JlQA0/A9+aw34lk5q27sje6Wjex9WVFZkeKGPy2lLRp59uUW8sCAQIw8VtPhN+Tty3GZ91aSY p6T2+/oshTlFHV+GgRlIXR4WgtGOjYDeMynG+B7S49GS7cXUtf3k0cqO+Aqz32C2LtrIkrFkcS20 H69qs9IU647Yim9bus2B+PXqAU1TbMWAgAd7E/KIcNN1T1QDCxbilUswEAs88f3i49mqYFa9ZWRz mnf031xZ7pA+xQWGhIvjqqNBee9aLoPNPFT1yZyq/+JfugnT8vB/9XHLmum/fqC6yfKSvvialvE5 SpMGaqKscLJb3ZPXw5waWM/Cky56h4NCPGU4EkJvqSKUugEZnHRLzqANIx9urzFoHPVBlKsJYSfF 0ZdDpC27tIJoyGXKl50bej2pdz0V8kshbm2ngCjBE9w+nTUjPf2fs3aWe2QjCFQTO0NlW1OOOfXY YaMltI8qNdm+kArYzprR4sVwEjdNsyJXZ0GG+i2JaLQU+m7BgG/uUEjQ7WHAWdjkTg7kC6CFLMuc Ahn9q35+F1JVHYEY1J4v3JsFb41a/Qj7d37ZZrwX7YEvAk5LleUwGvQPCOMf17x00o9uoZXyeOzR fHQ1vim4zYjyQKPaSqDj952i04n8cInusQjHlt9xamFlHTRUOzg+cqOIizHHOgEbNu2bJ3LBa0IH kZ5WyT2pgeX48VCPcXWnbEwZmBDnM1X3gmH0vWKx36bsFM8NWHfZQTMFjc0EX0AXdKYMKA8zaS9o gVx8crNcNV0npnsk/HPpIOj2d7BOV0tVosCor6KcRH/glW8BrNuoMLYM5NF5aDqaZtqUok3rFzIM AVQq5Fn3iRpbr/TV1PxCNcYlGuT34GM6xDIgnmH+T/cJKV5z6GaBN1H92ZV3FI5UZAcuDbT6kwM8 DCf476QZb8T8AAQ9CGQOFCpnvP225HUO5UsXI9mQsPUQjXm9npFHvrvTjZ7P9zCdYYpnD6QNyTDb gZxRzk0QXmWbMR+T62WWV3fY3aYDtG3A9ocNIXH+h/Mau4Qbvx/WYt3Gy1MMXdxI3t6LzPiQCyx6 IanVEIntfL55Tjf6JpX2E5vY0DJ0AFmiCBxMJcKByYsWUoieAOVGxwi3/4dHaQtqeVnL1nCJ1FBi XZ4T7KhpMqHv13zM0lbPIzHU7D+6znvnVtsBuJcBryrb5mUPYXaN/Mici2bLNaB8otCYkk3Bd3GA sN3l0RwJ8dznegVBrErcyW70S6ckj4J0/o1ODGFVyJ91augfiQI9a6FEN6xKYAaLayJoM6sCoa7g ZueJUxQrKbWh+9gM70paytA14ZQKaxbxs6YclpqrFlxxd60QduLzJy8LVsbHLGrRXNzs1YAIMPvS EVtxa27/2HpfwlcfX/BfN4BpL3fHcFWMm47JTs4Crg7IV56Xl0QxMiaQlwg2AWMUygkSGcqi4oel 1FSxpjIhAvfK/uDUIpnHa4eKFSed3P+l1h4v0rZAIZeBjD80F3sVXgSKCUKVfTebLuhXn7DBx93e H5CwhFrKYmLzv/gRq9/6fm7Buv0YCD/uoDRW6lYq6nhdyb0/1bFP38JKm1TsNs0S7eGQcoiXYu8d Rnafsj4sRZyyrRxU3CH8Cp/B3+vHalDa63ip+YHnRS+nKiocU3o1VMHv/CwoN4ZxaPlXNwngKt5h 3xFwr3j1W1rD2eGy6XdGfqC2Daae6pk0lgGLg4Opw9ziiX8I7GIzm4tgpTlmSlBIUGFdxhBW3u5J WziMal6u8NKpDyI9bgDus3jvGgcdZPEhFMA8RROarYm0Q0PCauljWPfdHDxh3aV7cu44SgvSUQUh hiuUSRCgkrdPE9aas9uSdUeHRhTpzXPDkcmAtoT8lyLSFi60k8AjFKP3MjGQoNfYAPhkps5wcDJn EonVd0DL4AZRBZkqk/7nxA9sHGSB9L/OaRC0qwEzf//Wati2ozGddDBc3RLBLlOOBQoQP2+CKVc/ dk3R1TPnkSsLwZCp7XKWovGB4QqvGiOURXe3rpozTA25ZUjLdBWI2+1Sx4VtoxVHAZjhb3sb0mUS jK4+255Pm7q9hPF+IvUbp2GBOzQ6LiFvEkD4h6unqdQ9ynp7IjNvuP5p39zL1bojN+mqoUzB6fZs L7CVfh9EUyQ3BLsEaxLiiO9gQkIPZFV5eb92bbA8RvzRfm0JTKlpA92yEpJekYZoOmost3+MzX7W 7ZwP8MChGdZMh/O/oev/+d56qtvpSZMDrPoruLmkgu/TPrQA+97HBaibJ7b3vKUcL7uuqSIiDPMK ZZGiBOGiy7zjGRSZQNe4/MH5B857Dk72BRDQDnDQSkaUD6t0198mCXS5dbqceTRGBeHppswj/a32 NkuihuA/9UMKb+5hllaLu+3ZxybAobiz8BP1WOxs2Spj28s5usAC/zc8/jVfsyOzIepFfxMwsWYv 3qz+6s0rEp/7HQqSXQ/5m9+N4ezgNW6qhWnOzgsVKtsJ7AQ4Too6fSTax15LfY0hKSSc6heTrMxq laBvq5WyVVo6r3r9ptox0TET74rTNV9l6dhomDRitQMStztS8JUk+2fJa6JoT+vfbuRSVf+rgpae sKz+MwKK6yuKVVW+0kKMFoxc0KF5yAUTBucXtGMK3a5ws8Jd3DyNYE9ltW+3JGgoujPEqko8asIE csPAmNaI7wy6OnTYW827gKc5LFsGul/f+hlR2vRRW4FEu4dfOY+/tVaB1cEcii+CVwPg7sYpD9t8 8kfff4ufT2dfs5GdGXcM90TrFY34di4Rvk17uTMv4GxOtUx3LzvquVd6n+/uDcg80K52D7LHBTmB uUgVpK7M2PRAE+9Mb9muw1LnJ6GQGUcN0qj6w1ecfVoDReyCuTc0x5KhQSrMShPIBvd7yxk8eBm0 YshKKRuehxJ51ruti2eLrtPTp6pxE4yO2fmmvMwWleCDrM47zLNGeMgm0tmD/G+tB0/wgm9xrrxC Cp0sU4d+ZDLJT3cifnCEEdfqCIpJYsy+xfKf4shVlG7x7KlyS7sC9Pkxm6Impxb0AUSerhIFNDKG zduCOGg1gUi+XjxzZgU7MeMLdQjRPE2dUeI2P3v0fbAG2UhKwdk/1m/ZORXWhFyD/+546qmkeGj8 7NvEwvLd8mOrlamvTLEt/BSHz9BlaitiwFdeKKku+eCuE2MuEAmDGZn297JZCiw679txittZmE2h vR1vpCpHweKwMdPjRC6ckXemQfi4Onb+gl8Tiq0C8MGYLGcuVw/RwOGYlD+n+wQbboUKf3g2CF85 gMHlQcetqnC+jR4PjD9PwvtPhTEON71MXRRabrsRKuC0nFqqns0Av98yegkgkNceUEzjOPafcGr/ R7098ZGLIXN3Cjv5m74mcJfG3OYcWUpJwGj7C3oH6hsYutAuSFqBJ7DOGmRmekHyVqZrPrUzdKsx BPd32/RE2QcToZO/g95YpvdWVoGi9Nj5n3+T0M1DlX5bsW1a9AZFrltbiaTmjQRM5XXtWfnQN+Q3 xdr454HinaCYCaguTkUtCblzCfIz46O+V/2gUS804gM6mTpWwmyTAxNAtbEFJBQWVbNIO4nbGBSo rlE8NstRPMSwvLSK1fiDe1PYBxborncjeneFFGtR0SsV4Wiq9CLZr4Hd2h6KYAJM6sy7r6qtoIZz tXqCZbr2UTm0tl6K9ypRj4UnmnlT+ihtOJvcMclxdgduanP1y8PTasI/y/csZ7qtU/RrSfm86Bb7 cHMifLtoO54X1FWt0Q7LGL+jj+aDnE4fedOVXD5WLy/5kRvg+FLQaya7NuusI9N09M4awgkRRyov QGfUD8bGRfbMO+I3NGt8mOTn3SvNFhnId2txPQlt9mPR6m1fVoxx/ncyJPhHp8BDiP/X29ke/h43 YeO2rpLUGxKKz/yQtOOz5/DC6VY03vp63BYXD55UKGdRpm2uWdBCuYAbI2cnSrwU1fECM/aJ6au5 bQBc31/caRq6jDyvycv8MiPe4t0NqJeLsoPHPsWRbq/VK26hYSCl7eU0A/1IFAQp2v9YnTWNIk3/ Uilpx4RML8GDHWKLTbJAfxKAJ4nFocD7d3vPgvWwx34hX5DQJHyXWxQ9K5axyejCTFl04JUmdtUL r8WHLYnuheX6O2UodEqTOMkEWjqaA0NDi/YoOJ28HtZdAzUVYDo49TGgzGXZZZ+WKw9YU+0hjvbg tLVAea3p6XrtCECAiPPuJlgI9HXdzQS13I4/NBKvEt08ujEspJZg7uazAdsx6Y3xQku4ib4X07q0 iILovygauNqBlp5MwauGpN+P+IYkhj1hcCe93RyKgsrjHOwY7Ze8SPr3Pz5rUleEZZ6KPO902wJt LacO9aNNL6YNn6IWxgTJYRRv2CcrWoSFpjxVtuhuJ3px95TzKrlaAFyAfgdLo90O5U5za52pxbzw YHYSp1YOzchSCw6lxJ1mSpz+YJFdy55Zrd8qbVcxdWhf4KKHolIiabiNucm7Yt6WPTI3wrZPRXRK BseN59KyEYhyKPbUBrB1en9BuY5Or59faVpC0o3MgGKJnL9Ch74Mj452T4EYDIMWiuiml/qsp/9G ujsAkQzD8fX36ylwb/xph7vOHiWgI18sr7TaF+Ycvxy5194wjV5KrRvmWaBUNFG0emm8PSAnb8g3 beKVRiAkSUg4RKc3uckagXI4Ddp21AMTKsjgFA2TVk/YH9CDESCqEznkwm5VGDnG2FrMVtLo5hg7 VPNVT5vojudOOo/9m6tEbFuUa1DlxCpqg+AL6yfaoqDbzbRQTlLaI3TO93tboOQDAv9CsJtgQmNY lfG4Jjc1stWk2xUrXDSc60JW+mt9Hd6NN5Vt2Q5QbS+Fm0+Z0SJXaHBsGQWiSIg8DVBrR0bTLltS /YAprKLBqbnoos4u3OjQetyKdnFVstVDhb83rBbX8FTMYI8YiTaVzpV/vJlkHBmF4RlBZ+qyfdbp XeDLbGE91c69h3DYfJX2z4jtzGsPgyW7Wqug2VnY4h2+sWsTocb9cuqhYHzX5XY6ZD7P1PKgSRcK Z/k1ptj8BicSgChaBNxitmkBhYIhCVZc1vTm7R86QL7gb/zigfOtGnmPZ79x/TizaYmPMigow+nw ZM92gTjSILa1JtgB1VjqKJknvVBVrdG0cIOpRpnDv0baE1MYwTui9AL2aVKCiollSbN/YLoCDpZE e1F6meWYXaKhWz/Aig0SQdJ2Lc3pnOx5XbAfp1mY2gBPIthoLCOm4TUbceh8PyWjPuY1QumOc3CI eQPTuGIx3RFc54glZpZoWV5/CSUec8k93lg6SVv3CO/NUoFOLuAREPxlXYSCxVk6Qvp4hjkMxPJi 7itnE0pinBlSUmaK4m7YsnjA0T13aPRUFvXfyUJlJZknvXFDc5tPGXpbCi3aK6enpWxlH3YBV7RR eT3jBzuBiZ3fIbDWnT70w1G+A/yirPaUKBW4qMbZCimR7ApsYs1qtZDMOo6qwXYLCuhQctYW3ccH t0Y7IjPC/H+l7rURqI/DzwwpVB1M2xtQlznqK5ammbMYbpNLwqwfo433ERDhFVgywJJR4ShbGVVy 2zlE2UUIq+EgbS3T8Fom3PDhYo92HUPx3pr/EgADO5/Hy4rip9Q68QQpU5itDhHU6k1KHvExYpLr WgZ3Ldfu+huGmTi/hONhfYGA2uFc29YTzTsJyNc3tCv6u/sS4q2Gy2mjpdaOrs7ITRj4/H8Xwxbz +w9apWpQczWUHHVO36/EBy1hYjyTHXiC7kuguQmSdT8cxa08l2Ykot1v/UFd0vBSMC6howo2Waqp Hj2JikAnx2mmuIWGOPrumd0VB+NORG416wmLtQ6Jm/9M0XhxklEo80vfBYPbnHuQtUbh0bO5f3D9 FDVKZeXyZo3BGN/Hb9/Z6ohu+O3u+VusrnXH2FNddx1XSxCDfPrzuRIJ0C3FBt7dWCXVpjRUhzpI FNSUOiVnI+qjN3cp5hj9hhu67aGJ3zRaM7ujAKwxESkl8NfEL9fw6JKwlwNwS2rPqxxzHNr2Qwp5 cSP4om9qBeBGpbK3ReGqSD1n+OGGNqJm7qFlGYfhPqL7QOQewK0/bKLlA9D7CGQpIra27yjuzimX AUAR1njmrBRQAVa5k9OHou/raRqSGADpZzhIUxs8TtNedOOwRn/1ci+QirxZvEkN6gXwo++Rw10W VuCdNyfhaTltVmi4afl1C6w6cwkyAf28LAt1a7DOx4C13jUGBEipLIJrvgH4ILeYJq7ZL+XAU3XG 1DiNRgqNWtNOOS22yqVmwC1GSRwogtA1dyERZWzl6TqAyY0YIDgj15mQ4OMrDyCJThD7Bd/TKB0m WWjHGp9l46XYDS90NFtQFYu+a6/HpN/MS63ZlliHw8pPQeFtSb93yIkStEix4UduhDVXa1Lq3Tk6 ETrx7TCi7MdmSSG9U2Ru/4HyG/WWQH3IdyjsrpWXhZMwcTGynic0axqt0dTfZuS388PjRR/4zlJ8 tWKOtukA8B4vKtkx65URKvQSFSMKdMhqdz8b3bJGYz52g12blqkLwPcJY2K0Jj4dgsxv7qhQPKr9 mf8vxZwTfxG/pWqOY1deruIzi9gxZEiMWnvC3bwAD5SFoSfGb4KS19LauKHRIoaPHUFBfcrsFmJw PVcEuoTeR2wznFN3PgkagynIwnsmoVP9aAw8QIkDFmKb1p1tXTibMW0gwXyx9AQGccaEl4ZtC3lC cvodsKYSyli/vqieZ1TgglcHIXNRAaXwH9R/3gPiJUD6E0MhmlHn0hqb0fOwO/boTBKxQMIiFbDx OL9q8t3jWBYhbtnYhI9gd49DLJr6/A5pjvd4sGqYZ0TVA94xiJwsPgQ97/uTBvWv/n3E+tQrHgNc 8pajaIgb8QKHhJYl56U6pFSrIM6bJruQsZSk45sseClcNml0Zn00OJrTK/nmwbM4pPF45k0TZIab GixgvuTs3s0UfL6JkprjMVRqRLP/zuqX+9Hps9mNDRBRDTApZP54FCyz/i/frfK0Un23WwnJEC8T r6qFDRY5N6iCFyb+hqtIq9sCXivpraoHKVlhY1XwVCz+K3t7ICGNbnhTFwjlGenO1Mm3FtqB6yre F112w28nqroFwK+/3GAbOsef9HNFwNi16d5gxzEERukK/+2eI/zbtnbJoWynnob+Z2o0ScWTU2l+ f3gP3Q+budo315RSRTEdGIr1Q/pWVosoFP6b38JedPEDYwoFm4D9Ro/iCPfI/6DinFm230k1JmAQ zE0lIrPc4jwkMZot9WjSaU2bnubu9MSMByClpeC6LOMkHWl74QG4p+G0M5Xt8LkOQXUmWXXSYaRS FNuoVsN7HHa22O62gcsYiewSxN+1xVBEtVJvRgm3Jw7cj0puSQ/7jCM6WrdvNqRrw9w1iPa6XL29 dnh64sthmwKtLb1oluxzxYRjIxH71FqHv9OT1kiNeqgiOCeps260z/Edy/KQ/EQi4DSUZRS3547j ud9Et+7Kx26gpYxr2wQimtaOuQADj6Y7M705ZLThY86bX4nxbpoWXw7Rvs6eOtps5dFGDbj66XjC MrUiE0VhEh1/ltHH4t6f+kejZ/ecCz/TxP1cwyfYIhS/5CdoLrGk4dQH30mQlm6FEKGt7OrX8Jxu V3C30iP2nw3hvRknLJ4UcuZoAN6/4XnDxucW2Qh5yVTReQHWIGjWIRA/lkBLkDJ7ig6f6ZNC/Q0o C4B15XqdtAuNCrRio56QvlML5/gO0oriynEuvmgJmamZai3rm/H4iui96mWsi3kWninATLBN0DLL /XTpejvFnA2A4whfIzEnQx+a5z15ngHuu4yhQmx5ZkwILcFNt1cbvWJoD8fD8L0MVk1Tgtvs3ru3 W+PvjBBK5fIbmyBAud15trjbAA5h7BevRFVWdc6oMenbnuH/OT9BfHCTbHzRUCBMWTI67M+lR9HN PKKuSOnP5jmzQdem7cXcTLeKaqSFDQdOjUwvFcoOAxUhvoW/BYXhO39mzJ1niuu2fKKAWX88IEfI ibUwfPWn0bOEEQ6aEzRZAzGaZIgCcTGeZLZ37bBL2d5NmzenbMDeTL7TrqLgpD9xOc+02/Qxv6St UOwHuZ+WfQop+hIQ+dlgkRG4nLRDiXTuslR8FMNjsC0ATIcJ2Y3bd+NYx8g1LkSWMN5LiFnKZMkq +0I2LnU6YlfeaJchM8vTbHLRYzz81+LbUSme5kV6cCNJGTEKxi/zG8tBt7PykCr87GCOIBnfiV2B XXbFT7LLFzXLgrfxbB9DhjSL2mSRWsTiFFESJwXJv+F1MSRRdxnGcdMdsa1azUkkIgmfZXAfHBV2 5tXOuofY1OHFN8pzhjRWLOiSlVbJevHdwPc4kc7YKswLpnaTXvdhwuoHk7EuKBtN8hRGN9p26htq WOJYEfVYg2HAyBu0DeQtpqaSl5mT6vKXeRynaJL3XqNC1VsqdEnBVCPBIjK3wQ1cZVK9Y0kZq6WK 0mpQJBNZ1mWsuOTu75peRI4QhwsYj8I7JgdNWEJq4f+o17y/p64+HqQ+6uGQ3S8Z32GhpqGB2gGc 2tCoge6tET1JiWpUfpg4ne56LYmZgLi86BkhjthcfrWhk15CGxT6HRdfNSBdEXf2BNl2utEZCxzY 1lXG4Di1J4PlFC7R7/IGFEFBKCtNs5qiGlVUKjEz0a7B+f1dcrrPSCIvorZzVTq7ywHc3lOsnATV 0C16r9E9pvZ437BQE5RjuLT4I9iPGs9+58CSaNYzUW4DTWroHgqQ4785n4/Qg1NuRkzczHALW32M jwEDcnPiNjf7NBJ5PmoKW3/TuSDUJ5NeKzcmaPHC4AsX0Mm4ML0PJeI6g4wYKrwO3YbqMxhM4AC6 Z6KqaloOfK8C6weUhT4uDhhH0GRiHSTZLg2X0nLTwBPS+xL7vBrporaVacgjgDRXLGAh+5cZkYAx WYiNXHUNldIWlb0qkdhKsV/r9HIQ1beaEaKykfA7iJ3R19XZ7GaNAao/WKGXA3BwD1INd9KGs2B/ +JWTGQ+NwBnLuRpPw4UCoAQ3FCTCUvidYAYNUmEOF+IHnu1pOBfSiETLCdJbM3hBj04VZ1E2HDxO If87aUQ/FEqT6SF+Oo5pHwKs7nEgFX+Y4SVm8e4MTAk+Ke6KAe2O5buYNR9rCUayZpakp+3oooaO zQQKwCzfVvcnzfmx0l2B4cfB2qSHO+2b3lhqHULF6SSvLmjkOW1zb9E7jJCDFd43O3x8S7hBRt7M xQieG3jiFaFiRjTr7bNJc8GrobemTqmED7BWfn7BXPwgBCqM/S7US6xQDX9rxgxoJF3YWf3AiDu+ J4I3niNM3ZWndxq/DL9FYRHJeNeVpG3nEc9VT7Lqh44tZX/7htHF31QCNxVYt85p/yml96ajKOnj DFNT43lgKZ/E03nzmuNeNUgDD+mN8Y2QLX2sxHAlc7etpH0NpL9T/vWZipBx6acSqGMx0HK6wgZr s43bV74ciwwhexA1o+agndzM6PU+/GNd/QdKDGN47LWLgaCzUIFEqPgWZW6lYNY2wkfncMJuLIXR +7PTRH/IRCo4i07fZunf7RIGZ/xopVeaMfvSpaUf+b3faW+6KnMbxa5GHaBwh5HLzgGbWI80/J9b h0RsDZOz6EVPd3MMi2V6SSR7oByiZmB0qspXZeJ7ViL5+TrHFjsDAYkzMMMLImaC0o3xTCMZF3/4 1S4VoSr1ZvABvkzjHvfI47jp+5deRLFLR3Sr3Ibh5XsRDBPwhN6rlHIfY+zpu7hOE5xe5dJasQ6V JDNe8/yznItDV2oRjjwSWeY8t/ZsHhlqE43ukd7uRLSHfS8CGSQ= `protect end_protected
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := cyclone3; constant CFG_MEMTECH : integer := cyclone3; constant CFG_PADTECH : integer := cyclone3; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := cyclone3; constant CFG_CLKMUL : integer := (5); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 1 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#0d0007#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 1; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- CAN 2.0 interface constant CFG_CAN : integer := 0; constant CFG_CAN_NUM : integer := 1; constant CFG_CANIO : integer := 16#0#; constant CFG_CANIRQ : integer := 0; constant CFG_CANSEPIRQ: integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 1; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 1; constant CFG_SPICTRL_FT : integer := 0; -- SPI to AHB bridge constant CFG_SPI2AHB : integer := 0; constant CFG_SPI2AHB_APB : integer := 0; constant CFG_SPI2AHB_ADDRH : integer := 16#0#; constant CFG_SPI2AHB_ADDRL : integer := 16#0#; constant CFG_SPI2AHB_MASKH : integer := 16#0#; constant CFG_SPI2AHB_MASKL : integer := 16#0#; constant CFG_SPI2AHB_RESEN : integer := 0; constant CFG_SPI2AHB_FILTER : integer := 2; constant CFG_SPI2AHB_CPOL : integer := 0; constant CFG_SPI2AHB_CPHA : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (16); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#fe#; constant CFG_GRGPIO_WIDTH : integer := (32); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fa IS PORT( a,b,Cin : IN std_logic; S,Cout : OUT std_logic ); END ENTITY; ARCHITECTURE behavior OF fa IS BEGIN S <= a XOR b XOR Cin; Cout <= (a AND b) OR ((a XOR b) AND Cin); END ARCHITECTURE behavior;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; use IEEE.MATH_REAL.ALL; entity fm_modulator is Port ( clk, clk_modulator : in STD_LOGIC; data : in signed (8 downto 0); fm_out : out STD_LOGIC); end fm_modulator; architecture Behavioral of fm_modulator is constant input_clk : real := 256.0; -- MHz constant accumulator_size : real := 2**32.0; constant fm_frequency : real := 94.7; -- MHz constant center_freq : signed(31 downto 0) := to_signed(integer(accumulator_size*fm_frequency/input_clk),32); component phase_adder port ( clk: in std_logic; a,b : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)); end component; signal ph_shift_data,ph_shift: signed (31 downto 0); signal sum : std_logic_vector (31 downto 0); begin process (clk) begin if rising_edge(clk) then ph_shift_data <= resize(data & x"000",32); -- right shift data 12 times so the full range is about +-10000000, which should equal a freq. dev. of +-75kHz ph_shift <= center_freq + ph_shift_data; end if; end process; fast_adder : phase_adder port map ( -- the adder IP is required to run this sum at 320MHz clk => clk_modulator, a => std_logic_vector(ph_shift), b => sum, s => sum ); fm_out <= sum(31); end Behavioral;
entity repro is end; architecture behav of repro is function f return natural is begin return 5; end f; constant cst : natural := f; type rec1 is record r : bit_vector (1 to cst); end record; type rec is record v : bit_vector; r : rec1; end record; procedure assign (signal s : out rec; val : rec) is begin s <= val; end assign; begin end behav;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & '0'; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state = '0' AND state_d1 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 50 ns; PRC_RD_EN <= prc_re_i AFTER 50 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; ----------------------------------------------------- RESET_EN <= reset_en_i; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN state_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN state_d1 <= state; END IF; END PROCESS; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_i = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_i = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND EMPTY = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(EMPTY = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & '0'; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state = '0' AND state_d1 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 50 ns; PRC_RD_EN <= prc_re_i AFTER 50 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; ----------------------------------------------------- RESET_EN <= reset_en_i; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN state_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN state_d1 <= state; END IF; END PROCESS; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_i = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_i = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND EMPTY = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(EMPTY = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
architecture rtl of fifo is begin my_signal <= '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal <= '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal <= (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end architecture rtl;
-- $Id: nexys3_fusp_dummy.vhd 433 2011-11-27 22:04:39Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: nexys3_dummy - syn -- Description: nexys3 minimal target (base; serport loopback) -- -- Dependencies: - -- To test: tb_nexys3 -- Target Devices: generic -- Tool versions: xst 13.1; ghdl 0.29 -- -- Revision History: -- Date Rev Version Comment -- 2011-11-26 433 1.1 use nxcramlib -- 2011-11-25 432 1.0 Initial version (derived from nexys2_fusp_dummy) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.nxcramlib.all; entity nexys3_fusp_dummy is -- NEXYS 3 dummy (base+fusp; loopback) -- implements nexys3_fusp_aif port ( I_CLK100 : in slbit; -- 100 MHz board clock I_RXD : in slbit; -- receive data (board view) O_TXD : out slbit; -- transmit data (board view) I_SWI : in slv8; -- n3 switches I_BTN : in slv5; -- n3 buttons O_LED : out slv8; -- n3 leds O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low) O_SEG_N : out slv8; -- 7 segment disp: segments (act.low) O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) O_MEM_WE_N : out slbit; -- cram: write enable (act.low) O_MEM_OE_N : out slbit; -- cram: output enable (act.low) O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) O_MEM_CLK : out slbit; -- cram: clock O_MEM_CRE : out slbit; -- cram: command register enable I_MEM_WAIT : in slbit; -- cram: mem wait O_MEM_ADDR : out slv23; -- cram: address lines IO_MEM_DATA : inout slv16; -- cram: data lines O_PPCM_CE_N : out slbit; -- ppcm: ... O_PPCM_RST_N : out slbit; -- ppcm: ... O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n I_FUSP_RXD : in slbit; -- fusp: rs232 rx O_FUSP_TXD : out slbit -- fusp: rs232 tx ); end nexys3_fusp_dummy; architecture syn of nexys3_fusp_dummy is begin O_TXD <= I_RXD; -- loop back O_FUSP_TXD <= I_FUSP_RXD; O_FUSP_RTS_N <= I_FUSP_CTS_N; CRAM : nx_cram_dummy -- connect CRAM to protection dummy port map ( O_MEM_CE_N => O_MEM_CE_N, O_MEM_BE_N => O_MEM_BE_N, O_MEM_WE_N => O_MEM_WE_N, O_MEM_OE_N => O_MEM_OE_N, O_MEM_ADV_N => O_MEM_ADV_N, O_MEM_CLK => O_MEM_CLK, O_MEM_CRE => O_MEM_CRE, I_MEM_WAIT => I_MEM_WAIT, O_MEM_ADDR => O_MEM_ADDR, IO_MEM_DATA => IO_MEM_DATA ); O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled O_PPCM_RST_N <= '1'; -- end syn;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity pr_axis_loopback is generic ( DATAWIDTH : integer := 64 ); port ( s_axis_data_tdata : in std_logic_vector(DATAWIDTH-1 downto 0); s_axis_data_tkeep : in std_logic_vector(DATAWIDTH/8 - 1 downto 0); s_axis_data_tready : out std_logic; s_axis_data_tlast : in std_logic; s_axis_data_tvalid : in std_logic; m_axis_data_tdata : out std_logic_vector(DATAWIDTH-1 downto 0); m_axis_data_tkeep : out std_logic_vector(DATAWIDTH/8 - 1 downto 0); m_axis_data_tready : in std_logic; m_axis_data_tlast : out std_logic; m_axis_data_tvalid : out std_logic; -- Global Clock Signal clk : in std_logic ); end pr_axis_loopback; architecture rtl of pr_axis_loopback is component axis_buffer is generic ( DATAWIDTH : integer := DATAWIDTH; BUFFER_SIZE : integer := 1 ); port ( s_axis_data_tdata : in std_logic_vector(DATAWIDTH - 1 downto 0); s_axis_data_tkeep : in std_logic_vector(DATAWIDTH/8 - 1 downto 0); s_axis_data_tready : out std_logic; s_axis_data_tlast : in std_logic; s_axis_data_tvalid : in std_logic; m_axis_data_tdata : out std_logic_vector(DATAWIDTH - 1 downto 0); m_axis_data_tkeep : out std_logic_vector(DATAWIDTH/8 - 1 downto 0); m_axis_data_tready : in std_logic; m_axis_data_tlast : out std_logic; m_axis_data_tvalid : out std_logic; -- Global Clock Signal clk : in std_logic ); end component; begin loopback: component axis_buffer port map ( s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tkeep => s_axis_data_tkeep, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => s_axis_data_tlast, s_axis_data_tvalid => s_axis_data_tvalid, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tkeep => m_axis_data_tkeep, m_axis_data_tready => m_axis_data_tready, m_axis_data_tlast => m_axis_data_tlast, m_axis_data_tvalid => m_axis_data_tvalid, clk => clk ); end architecture;
architecture RTL of ENTITY_NAME is begin process begin SEL_LABEL : some target := some expression when some condition else some expression when some condition else some expression; SEL_LABEL : some target := some expression when some condition; some target := some expression when some condition else some expression when some condition else some expression; some target := some expression when some condition; end process; end architecture RTL;
---------------------------------------------------------------------------------- -- Company: ITESM -- Engineer: Miguel Gonzalez A01203712 -- -- Create Date: 10:34:28 09/09/2015 -- Design Name: -- Module Name: Challenge1 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Challenge 1, 4 Code input, a select in 0 means Binary to Gray -- select in 1 means Gray to Binary. -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Challenge1 is generic (n : Integer := 4); Port ( Code : in STD_LOGIC_VECTOR (n-1 downto 0); Seg : out STD_LOGIC_VECTOR (7 downto 0); Sel : in STD_LOGIC; Disp : out STD_LOGIC_VECTOR (3 downto 0)); end Challenge1; architecture Behavioral of Challenge1 is --embbeded signals signal res_binary : STD_LOGIC_VECTOR (n-1 downto 0); signal res_gray : STD_LOGIC_VECTOR (n-1 downto 0); signal res_conversion : STD_LOGIC_VECTOR (n-1 downto 0); begin BinaryToGray: process(Code) begin res_gray(n-1) <= Code(n-1); res_gray(n-2 downto 0) <= Code(n-2 downto 0) xor Code(n-1 downto 1); end process BinaryToGray; GrayToBinary: process(Code, res_binary) VARIABLE aux: STD_LOGIC_VECTOR (n-1 downto 0); begin aux(n-1) := Code(n-1); gen: for i in n-2 downto 0 loop aux(i) := aux(i+1) xor Code(i); end loop; res_binary (n-1 downto 0) <= aux(n-1 downto 0); end process GrayToBinary; -- Multiplexor res_conversion <= res_gray when sel = '0' else res_binary; decodercase: process(res_conversion) VARIABLE bufer: STD_LOGIC_VECTOR (7 downto 0); begin case(res_conversion) is when "0000" => bufer:= "11000000"; when "0001" => bufer:= "11111001"; when "0010" => bufer:= "10100100"; when "0011" => bufer:= "10110000"; when "0100" => bufer:= "10011001"; when "0101" => bufer:= "10010010"; when "0110" => bufer:= "10000010"; when "0111" => bufer:= "11111000"; when "1000" => bufer:= "10000000"; when "1001" => bufer:= "10011000"; when "1010" => bufer:= "10001000"; when "1011" => bufer:= "10000011"; when "1100" => bufer:= "11000110"; when "1101" => bufer:= "10100001"; when "1110" => bufer:= "10000110"; when "1111" => bufer:= "10001110"; when others => bufer:= "10111111"; end case; Seg <= bufer; end process decodercase; Disp <= x"E"; end Behavioral;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+64+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (32-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- coverage off mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; -- coverage off write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin -- coverage off CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- coverage on -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+64+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (32-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- coverage off mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; -- coverage off write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin -- coverage off CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- coverage on -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+64+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (32-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- coverage off mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; -- coverage off write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin -- coverage off CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- coverage on -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+64+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (32-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- coverage off mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; -- coverage off write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin -- coverage off CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- coverage on -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
------------------------------------------------------------------------------- -- Title : Register File -- Project : ------------------------------------------------------------------------------- -- File : reg_file.vhd -- Author : Calle <calle@Alukiste> -- Created : 2012-03-11 -- Platform : -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: -- Multiple 16-bit registers at the internal parallel data bus with address -- decoding. ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.reg_file_pkg.all; ------------------------------------------------------------------------------- entity reg_file is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#; -- Base address of the registers REG_ADDR_BIT : natural := 0 -- number of bits not to compare in -- address. Gives 2**n registers ); port ( bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; reg_o : out reg_file_type(2**REG_ADDR_BIT-1 downto 0); reg_i : in reg_file_type(2**REG_ADDR_BIT-1 downto 0); clk : in std_logic); end reg_file; ------------------------------------------------------------------------------- architecture str of reg_file is constant BASE_ADDRESS_VECTOR : std_logic_vector(14 downto 0) := std_logic_vector(to_unsigned(BASE_ADDRESS, 15)); ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal reg : reg_file_type(2**REG_ADDR_BIT-1 downto 0) := (others => (others => '0')); signal data_out : std_logic_vector(15 downto 0) := (others => '0'); begin -- str ----------------------------------------------------------------------------- -- Transfer data from bus to register ----------------------------------------------------------------------------- reg_o <= reg; process (clk) variable index : integer := 0; begin -- process if rising_edge(clk) then index := to_integer(unsigned(bus_i.addr(REG_ADDR_BIT-1 downto 0))); if bus_i.addr(14 downto REG_ADDR_BIT) = BASE_ADDRESS_VECTOR(14 downto REG_ADDR_BIT) then if bus_i.we = '1' then reg(index) <= bus_i.data; end if; end if; end if; end process; ----------------------------------------------------------------------------- -- Output mux -- (output (others => '0') if we are not selected) ----------------------------------------------------------------------------- bus_o.data <= data_out; process (clk) variable index : integer := 0; begin -- process if rising_edge(clk) then index := to_integer(unsigned(bus_i.addr(REG_ADDR_BIT-1 downto 0))); if (bus_i.addr(14 downto REG_ADDR_BIT) = BASE_ADDRESS_VECTOR(14 downto REG_ADDR_BIT)) and bus_i.re = '1' then data_out <= reg_i(index); else data_out <= (others => '0'); end if; end if; -- is there a problem with using a varibale in a assignment of a signal?? --bus_o.data <= (others => '0') when bus_i.re = '0' else reg_i(index); end process; end str; -------------------------------------------------------------------------------
-- ------------------------------------------------------------- -- -- Generated Configuration for inst_shadow_ok_5_e -- -- Generated -- by: wig -- on: Tue Nov 21 12:18:38 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_shadow_ok_5_e-c.vhd,v 1.1 2006/11/22 10:40:09 wig Exp $ -- $Date: 2006/11/22 10:40:09 $ -- $Log: inst_shadow_ok_5_e-c.vhd,v $ -- Revision 1.1 2006/11/22 10:40:09 wig -- Detect missing directories and flag that as error. -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.99 2006/11/02 15:37:48 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.47 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration inst_shadow_ok_5_rtl_conf / inst_shadow_ok_5_e -- configuration inst_shadow_ok_5_rtl_conf of inst_shadow_ok_5_e is for rtl -- Generated Configuration end for; end inst_shadow_ok_5_rtl_conf; -- -- End of Generated Configuration inst_shadow_ok_5_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------