content
stringlengths
1
1.04M
library ieee; use ieee.std_logic_1164.all; entity Control is port( Opcode: in std_logic_vector(5 downto 0); RegDst, Branch, MemRead, MemtoReg, MemWrite, ALUSrc, RegWrite, Jump: out std_logic; ALUOp: out std_logic_vector(1 downto 0) ); end Control; architecture Structural of Control is begin process(Opcode) begin case Opcode is when "000000" => --add/sub RegDst <= '1'; Branch <= '0'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '0'; ALUSrc <= '0'; RegWrite <= '1'; Jump <= '0'; ALUOp <= "10"; when "100011" => --lw RegDst <= '0'; Branch <= '0'; MemRead <= '1'; MemtoReg <= '1'; MemWrite <= '0'; ALUSrc <= '1'; RegWrite <= '1'; Jump <= '0'; ALUOp <= "00"; when "000100" => --beq RegDst <= '0'; Branch <= '1'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '0'; ALUSrc <= '0'; RegWrite <= '0'; Jump <= '0'; ALUOp <= "01"; when "000010" => --j RegDst <= '0'; Branch <= '0'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '0'; ALUSrc <= '0'; RegWrite <= '0'; Jump <= '1'; ALUOp <= "00"; when "101011" => --sw RegDst <= '0'; Branch <= '0'; MemRead <= '0'; MemtoReg <= '0'; MemWrite <= '1'; ALUSrc <= '1'; RegWrite <= '0'; Jump <= '0'; ALUOp <= "00"; when others => null; end case; end process; end Structural;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book library ieee_proposed; use ieee_proposed.electrical_systems.all; entity fm_radio is end entity fm_radio; -- end not in book architecture top_level of fm_radio is terminal left_decoded, left_filtered : electrical; terminal right_decoded, right_filtered : electrical; -- ... begin left_pilot_filter : configuration work.notch_filter_down_to_device_level port map ( input => left_decoded, output => left_filtered, vdd => vdd, vss => vss, gnd => gnd ); -- ... end architecture top_level;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book library ieee_proposed; use ieee_proposed.electrical_systems.all; entity fm_radio is end entity fm_radio; -- end not in book architecture top_level of fm_radio is terminal left_decoded, left_filtered : electrical; terminal right_decoded, right_filtered : electrical; -- ... begin left_pilot_filter : configuration work.notch_filter_down_to_device_level port map ( input => left_decoded, output => left_filtered, vdd => vdd, vss => vss, gnd => gnd ); -- ... end architecture top_level;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book library ieee_proposed; use ieee_proposed.electrical_systems.all; entity fm_radio is end entity fm_radio; -- end not in book architecture top_level of fm_radio is terminal left_decoded, left_filtered : electrical; terminal right_decoded, right_filtered : electrical; -- ... begin left_pilot_filter : configuration work.notch_filter_down_to_device_level port map ( input => left_decoded, output => left_filtered, vdd => vdd, vss => vss, gnd => gnd ); -- ... end architecture top_level;
package pack is function resolved (x : bit_vector) return bit; subtype rbit is resolved bit; end package; use work.pack.all; entity sub is port ( x : buffer rbit ); end entity; architecture test of sub is begin x <= '1'; x <= '0'; -- Error end architecture; entity buffer1 is end entity; use work.pack.all; architecture test of buffer1 is signal x : rbit; begin uut: entity work.sub port map ( x ); end architecture;
---------------------------------------------------------------------------- -- This file is a part of the LEON VHDL model -- Copyright (C) 1999 European Space Agency (ESA) -- -- This library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2 of the License, or (at your option) any later version. -- -- See the file COPYING.LGPL for the full details of the license. ----------------------------------------------------------------------------- -- Entity: target -- File: target.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: LEON target configuration package ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; package target is type targettechs is (gen, virtex, atc35, atc25, fs90, umc18); -- synthesis configuration type syn_config_type is record targettech : targettechs; infer_ram : boolean; -- infer cache and dsu ram automatically infer_regf : boolean; -- infer regfile automatically infer_rom : boolean; -- infer boot prom automatically infer_pads : boolean; -- infer pads automatically infer_mult : boolean; -- infer multiplier automatically gatedclk : boolean; -- select clocking strategy rftype : integer; -- regfile implementation option end record; -- processor configuration type multypes is (none, iterative, m32x8, m16x16, m32x16, m32x32); type divtypes is (none, radix2); type iu_config_type is record nwindows : integer; -- # register windows (2 - 32) multiplier : multypes; -- multiplier type divider : divtypes; -- divider type mac : boolean; -- multiply/accumulate fpuen : integer range 0 to 1; -- FPU enable (integer due to synopsys limitations....sigh!) cpen : boolean; -- co-processor enable fastjump : boolean; -- enable fast jump address generation icchold : boolean; -- enable fast branch logic lddelay : integer range 1 to 2; -- # load delay cycles (1-2) fastdecode : boolean; -- optimise instruction decoding (FPGA only) watchpoints : integer range 0 to 4; -- # hardware watchpoints (0-4) impl : integer range 0 to 15; -- IU implementation ID version : integer range 0 to 15; -- IU version ID end record; -- FPU configuration type fpucoretype is (meiko, lth); -- FPU core type type fpuiftype is (none, serial, parallel); -- FPU interface type type fpu_config_type is record core : fpucoretype; -- FPU core type interface : fpuiftype; -- FPU inteface type fregs : integer; -- 32 for serial interface, 0 for parallel version : integer range 0 to 7; -- FPU version ID end record; -- co-processor configuration type cptype is (none, cpc); -- CP type type cp_config_type is record cp : cptype; -- Co-processor type version : integer range 0 to 7; -- CP version ID -- add your CP-specific configuration options here!! end record; -- cache configuration type dsnoop_type is (none, slow, fast); -- snoop implementation type constant PROC_CACHE_MAX : integer := 4; -- maximum cacheability ranges constant PROC_CACHE_ADDR_MSB : integer := 3; -- MSB address bits to decode cacheability subtype proc_cache_addr_type is std_logic_vector(PROC_CACHE_ADDR_MSB-1 downto 0); type proc_cache_config_type is record firstaddr : proc_cache_addr_type; lastaddr : proc_cache_addr_type; end record; type proc_cache_config_vector is array (Natural Range <> ) of proc_cache_config_type; constant proc_cache_config_void : proc_cache_config_type := ((others => '0'), (others => '0')); type cache_config_type is record icachesize : integer; -- size of I-cache in Kbytes ilinesize : integer; -- # words per I-cache line dcachesize : integer; -- size of D-cache in Kbytes dlinesize : integer; -- # words per D-cache line dsnoop : dsnoop_type; -- data-cache snooping cachetable : proc_cache_config_vector(0 to PROC_CACHE_MAX-1); end record; -- memory controller configuration type mctrl_config_type is record bus8en : boolean; -- enable 8-bit bus operation bus16en : boolean; -- enable 16-bit bus operation rawaddr : boolean; -- enable unlatched address option ramsel5 : boolean; -- enable 5th ram select end record; type boottype is (memory, prom, dual); type boot_config_type is record boot : boottype; -- select boot source ramrws : integer range 0 to 3; -- ram read waitstates ramwws : integer range 0 to 3; -- ram write waitstates sysclk : integer; -- cpu clock baud : positive; -- UART baud rate extbaud : boolean; -- use external baud rate setting pabits : positive; -- internal boot-prom address bits end record; -- PCI configuration type pcitype is (none, insilicon, esa, actel); -- PCI core type type pci_config_type is record pcicore : pcitype; -- PCI core type ahbmasters : integer; -- number of ahb master interfaces ahbslaves : integer; -- number of ahb slave interfaces arbiter : boolean; -- enable PCI arbiter fixpri : boolean; -- use fixed arbitration priority prilevels : integer; -- number of priority levels in arbiter pcimasters : integer; -- number of PCI masters to be handled by arbiter vendorid : integer; -- PCI vendor ID deviceid : integer; -- PCI device ID subsysid : integer; -- PCI subsystem ID revisionid : integer; -- PCI revision ID classcode : integer; -- PCI class code pmepads : boolean; -- enable power down pads p66pad : boolean; -- enable PCI66 pad end record; -- debug configuration type debug_config_type is record enable : boolean; -- enable debug port uart : boolean; -- enable fast uart data to console iureg : boolean; -- enable tracing of iu register writes fpureg : boolean; -- enable tracing of fpu register writes nohalt : boolean; -- dont halt on error pclow : integer; -- set to 2 for synthesis, 0 for debug dsuenable : boolean; -- enable DSU dsutrace : boolean; -- enable trace buffer dsumixed : boolean; -- enable mixed-mode trace buffer dsudpram : boolean; -- use dual-port ram for trace buffer tracelines : integer range 64 to 1024; -- # trace lines (needs 16 bytes/line) end record; -- AMBA configuration types constant AHB_MST_MAX : integer := 4; -- maximum AHB masters constant AHB_SLV_MAX : integer := 7; -- maximum AHB slaves constant AHB_SLV_ADDR_MSB : integer := 4; -- MSB address bits to decode slaves subtype ahb_range_addr_type is std_logic_vector(AHB_SLV_ADDR_MSB-1 downto 0); type ahb_slv_config_type is record firstaddr : ahb_range_addr_type; lastaddr : ahb_range_addr_type; index : integer range 0 to AHB_SLV_MAX-1; split : boolean; enable : boolean; end record; type ahb_slv_config_vector is array (Natural Range <> ) of ahb_slv_config_type; constant ahb_slv_config_void : ahb_slv_config_type := ((others => '0'), (others => '0'), 0, false, false); type ahb_config_type is record masters : integer range 1 to AHB_MST_MAX; defmst : integer range 0 to AHB_MST_MAX-1; split : boolean; -- add support for SPLIT reponse slvtable : ahb_slv_config_vector(0 to AHB_SLV_MAX-1); testmod : boolean; -- add AHB test module (not for synthesis!) end record; constant APB_SLV_MAX : integer := 16; -- maximum APB slaves constant APB_SLV_ADDR_BITS : integer := 10; -- address bits to decode APB slaves subtype apb_range_addr_type is std_logic_vector(APB_SLV_ADDR_BITS-1 downto 0); type apb_slv_config_type is record firstaddr : apb_range_addr_type; lastaddr : apb_range_addr_type; index : integer; enable : boolean; end record; type apb_slv_config_vector is array (Natural Range <> ) of apb_slv_config_type; constant apb_slv_config_void : apb_slv_config_type := ((others => '0'), (others => '0'), 0, false); type apb_config_type is record table : apb_slv_config_vector(0 to APB_SLV_MAX-1); end record; type irq_filter_type is (lvl0, lvl1, edge0, edge1); type irq_filter_vec is array (0 to 31) of irq_filter_type; type irq2type is record enable : boolean; -- enable chained interrupt controller channels : integer; -- number of additional interrupts (1 - 32) filter : irq_filter_vec; -- irq filter definitions end record; type peri_config_type is record cfgreg : boolean; -- enable LEON configuration register ahbstat : boolean; -- enable AHB status register wprot : boolean; -- enable RAM write-protection unit wdog : boolean; -- enable watchdog irq2cfg : irq2type; -- chained interrupt controller config end record; -- complete configuration record type type config_type is record synthesis : syn_config_type; iu : iu_config_type; fpu : fpu_config_type; cp : cp_config_type; cache : cache_config_type; ahb : ahb_config_type; apb : apb_config_type; mctrl : mctrl_config_type; boot : boot_config_type; debug : debug_config_type; pci : pci_config_type; peri : peri_config_type; end record; ---------------------------------------------------------------------------- -- Synthesis configurations ---------------------------------------------------------------------------- constant syn_atc25 : syn_config_type := ( targettech => atc25, infer_pads => false, infer_ram => false, infer_regf => false, infer_rom => true, infer_mult => false, gatedclk => false, rftype => 1); constant syn_atc35 : syn_config_type := ( targettech => atc35, infer_pads => false, infer_ram => false, infer_regf => false, infer_rom => true, infer_mult => false, gatedclk => false, rftype => 1); constant syn_gen : syn_config_type := ( targettech => gen, infer_pads => true, infer_ram => true, infer_regf => true, infer_rom => true, infer_mult => true, gatedclk => false, rftype => 1); constant syn_virtex : syn_config_type := ( targettech => virtex, infer_pads => true, infer_ram => false, infer_regf => false, infer_rom => true, infer_mult => true, gatedclk => false, rftype => 1); constant syn_virtex_blockprom : syn_config_type := ( targettech => virtex, infer_pads => true, infer_ram => false, infer_regf => false, infer_rom => false, infer_mult => true, gatedclk => false, rftype => 1); constant syn_systel_asic : syn_config_type := ( targettech => atc25, infer_pads => false, infer_ram => false, infer_regf => false, infer_rom => true, infer_mult => false, gatedclk => false, rftype => 1); constant syn_fs90 : syn_config_type := ( targettech => fs90, infer_pads => false, infer_ram => false, infer_regf => false, infer_rom => true, infer_mult => false, gatedclk => false, rftype => 1); constant syn_umc18 : syn_config_type := ( targettech => umc18, infer_pads => false, infer_ram => false, infer_regf => false, infer_rom => true, -- infer_multgates => false, infer_mult => false, gatedclk => false, rftype => 1); ---------------------------------------------------------------------------- -- IU configurations ---------------------------------------------------------------------------- constant iu_std : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => false, fpuen => 0, cpen => false, fastjump => true, icchold => false, lddelay => 1, fastdecode => false, watchpoints => 0, impl => 0, version => 0); constant iu_std_mac : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => true, fpuen => 0, cpen => false, fastjump => true, icchold => false, lddelay => 1, fastdecode => false, watchpoints => 0, impl => 0, version => 0); constant iu_fpu : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => false, fpuen => 1, cpen => false, fastjump => false, icchold => false, lddelay => 1, fastdecode => false, watchpoints => 0, impl => 0, version => 0); constant iu_fpga : iu_config_type := ( nwindows => 8, multiplier => none, divider => none, mac => false, fpuen => 0, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 0, impl => 0, version => 0); constant iu_fpga_dsu : iu_config_type := ( nwindows => 8, multiplier => none, divider => none, mac => false, fpuen => 0, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 4, impl => 0, version => 0); constant iu_fpga_v8 : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => false, fpuen => 0, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 0, impl => 0, version => 0); constant iu_fpga_v8_dsu : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => false, fpuen => 0, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 4, impl => 0, version => 0); constant iu_fpga_v8_fpu : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => false, fpuen => 1, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 0, impl => 0, version => 0); constant iu_fpga_v8_fpu_dsu : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => false, fpuen => 1, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 4, impl => 0, version => 0); constant iu_fpga_v8_mac : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => true, fpuen => 0, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 0, impl => 0, version => 0); constant iu_fpga_v8_small : iu_config_type := ( nwindows => 8, multiplier => iterative, divider => radix2, mac => false, fpuen => 0, cpen => false, fastjump => true, icchold => true, lddelay => 1, fastdecode => true, watchpoints => 0, impl => 0, version => 0); constant iu_atc25 : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => true, fpuen => 0, cpen => false, fastjump => true, icchold => false, lddelay => 1, fastdecode => false, watchpoints => 4, impl => 0, version => 0); constant iu_atc25_fpu : iu_config_type := ( nwindows => 8, multiplier => m16x16, divider => radix2, mac => true, fpuen => 1, cpen => false, fastjump => true, icchold => false, lddelay => 1, fastdecode => false, watchpoints => 4, impl => 0, version => 0); ---------------------------------------------------------------------------- -- FPU configurations ---------------------------------------------------------------------------- constant fpu_none : fpu_config_type := (core => meiko, interface => none, fregs => 0, version => 0); constant fpu_meiko_ser: fpu_config_type := (core => meiko, interface => serial, fregs => 32, version => 0); constant fpu_lth_ser: fpu_config_type := (core => lth, interface => serial, fregs => 32, version => 0); constant fpu_meiko_par : fpu_config_type := (core => meiko, interface => parallel, fregs => 0, version => 0); ---------------------------------------------------------------------------- -- CP configurations ---------------------------------------------------------------------------- constant cp_none : cp_config_type := (cp => none, version => 0); constant cp_cpc : cp_config_type := (cp => cpc, version => 0); ---------------------------------------------------------------------------- -- cache configurations ---------------------------------------------------------------------------- -- standard cacheability config constant cachetbl_std : proc_cache_config_vector(0 to PROC_CACHE_MAX-1) := ( -- first last function address[31:29] ("000", "000"), -- PROM area 0x0- 0x0 ("010", "011"), -- RAM area 0x2- 0x3 others => proc_cache_config_void); constant cache_1k1k : cache_config_type := ( icachesize => 1, ilinesize => 4, dcachesize => 1, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_2k1k : cache_config_type := ( icachesize => 2, ilinesize => 4, dcachesize => 1, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_2k2k : cache_config_type := ( icachesize => 2, ilinesize => 4, dcachesize => 2, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_2k2k_snoop : cache_config_type := ( icachesize => 2, ilinesize => 4, dcachesize => 2, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_2kl8_2kl4 : cache_config_type := ( icachesize => 2, ilinesize => 8, dcachesize => 2, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_4k2k : cache_config_type := ( icachesize => 4, ilinesize => 8, dcachesize => 2, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_1k4k : cache_config_type := ( icachesize => 1, ilinesize => 4, dcachesize => 4, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_4k4k : cache_config_type := ( icachesize => 4, ilinesize => 4, dcachesize => 4, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_4k2k_snoop_slow : cache_config_type := ( icachesize => 4, ilinesize => 4, dcachesize => 2, dlinesize => 4, dsnoop => slow, cachetable => cachetbl_std); constant cache_4k4k_snoop_slow : cache_config_type := ( icachesize => 4, ilinesize => 4, dcachesize => 4, dlinesize => 4, dsnoop => slow, cachetable => cachetbl_std); constant cache_4k4k_snoop_fast : cache_config_type := ( icachesize => 4, ilinesize => 4, dcachesize => 4, dlinesize => 4, dsnoop => fast, cachetable => cachetbl_std); constant cache_8k8k : cache_config_type := ( icachesize => 8, ilinesize => 8, dcachesize => 8, dlinesize => 4, dsnoop => none, cachetable => cachetbl_std); constant cache_8k8k_snoop : cache_config_type := ( icachesize => 8, ilinesize => 8, dcachesize => 8, dlinesize => 4, dsnoop => slow, cachetable => cachetbl_std); ---------------------------------------------------------------------------- -- Memory controller configurations ---------------------------------------------------------------------------- constant mctrl_std : mctrl_config_type := ( bus8en => true, bus16en => true, rawaddr => false, ramsel5 => true); constant mctrl_mem32 : mctrl_config_type := ( bus8en => false, bus16en => false, rawaddr => false, ramsel5 => true); constant mctrl_mem16 : mctrl_config_type := ( bus8en => false, bus16en => true, rawaddr => false, ramsel5 => true); ---------------------------------------------------------------------------- -- boot configurations ---------------------------------------------------------------------------- constant boot_mem : boot_config_type := (boot => memory, ramrws => 0, ramwws => 0, sysclk => 1000000, baud => 19200, extbaud => false, pabits => 8); constant boot_mem_25 : boot_config_type := (boot => memory, ramrws => 0, ramwws => 0, sysclk => 24576000, baud => 38400, extbaud => false, pabits => 8); constant boot_pmon : boot_config_type := (boot => prom, ramrws => 0, ramwws => 0, sysclk => 24576000, baud => 38400, extbaud=> false, pabits => 8); constant boot_pmon_10 : boot_config_type := (boot => prom, ramrws => 0, ramwws => 0, sysclk => 1000000, baud => 38400, extbaud=> false, pabits => 8); constant boot_rdbmon : boot_config_type := (boot => prom, ramrws => 0, ramwws => 0, sysclk => 24576000, baud => 38400, extbaud=> false, pabits => 11); constant boot_prom_xess16 : boot_config_type := (boot => prom, ramrws => 0, ramwws => 0, sysclk => 25000000, baud => 38400, extbaud=> false, pabits => 8); ---------------------------------------------------------------------------- -- PCI configurations ---------------------------------------------------------------------------- -- NOTE: 0x16E3 is ESA vendor ID - do NOT use without authorisation!! -- NOTE: 0x1438 is ATMEL vendor ID - do NOT use without authorisation!! constant pci_none : pci_config_type := ( pcicore => none, ahbmasters => 0, ahbslaves => 0, arbiter => false, fixpri => false, prilevels => 4, pcimasters => 4, vendorid => 16#16E3#, deviceid => 16#0BAD#, subsysid => 16#0ACE#, revisionid => 16#01#, classcode =>16#00000B#, pmepads => false, p66pad => false); constant pci_insilicon : pci_config_type := ( pcicore => insilicon, ahbmasters => 2, ahbslaves => 1, arbiter => true, fixpri => false, prilevels => 4, pcimasters => 4, vendorid => 16#16E3#, deviceid => 16#0BAD#, subsysid => 16#0ACE#, revisionid => 16#01#, classcode =>16#00000B#, pmepads => false, p66pad => false); constant pci_actelif : pci_config_type := ( pcicore => actel, ahbmasters => 1, ahbslaves => 1, arbiter => false, fixpri => false, prilevels => 4, pcimasters => 4, vendorid => 16#16E3#, deviceid => 16#0001#, subsysid => 16#0000#, revisionid => 16#01#, classcode =>16#0B5000#, pmepads => false, p66pad => false); constant pci_esaif : pci_config_type := ( pcicore => esa, ahbmasters => 1, ahbslaves => 1, arbiter => true, fixpri => false, prilevels => 4, pcimasters => 4, vendorid => 16#16E3#, deviceid => 16#0BAD#, subsysid => 16#0ACE#, revisionid => 16#01#, classcode =>16#00000B#, pmepads => false, p66pad => false); -- In-Silicon PCI core in ATMEL configuration constant pci_atmel : pci_config_type := ( pcicore => insilicon, ahbmasters => 2, ahbslaves => 1, arbiter => true, fixpri => false, prilevels => 4, pcimasters => 4, vendorid => 16#1438#, deviceid => 16#0BAD#, subsysid => 16#0ACE#, revisionid => 16#01#, classcode =>16#00000B#, pmepads => false, p66pad => false); ---------------------------------------------------------------------------- -- Peripherals configurations ---------------------------------------------------------------------------- constant irq2none : irq2type := ( enable => false, channels => 32, filter => (others => lvl0)); constant irq2chan4 : irq2type := ( enable => true, channels => 4, filter => (lvl0, lvl1, edge0, edge1, others => lvl0)); constant peri_std : peri_config_type := ( cfgreg => true, ahbstat => true, wprot => true, wdog => true, irq2cfg => irq2none); constant peri_fpga : peri_config_type := ( cfgreg => true, ahbstat => false, wprot => false, wdog => false, irq2cfg => irq2none); constant peri_irq2 : peri_config_type := ( cfgreg => true, ahbstat => false, wprot => false, wdog => false, irq2cfg => irq2chan4); ---------------------------------------------------------------------------- -- Debug configurations ---------------------------------------------------------------------------- constant debug_none : debug_config_type := ( enable => false, uart => false, iureg => false, fpureg => false, nohalt => false, pclow => 2, dsuenable => false, dsutrace => false, dsumixed => false, dsudpram => false, tracelines => 128); constant debug_disas : debug_config_type := ( enable => true, uart => false, iureg => false, fpureg => false, nohalt => false, pclow => 2, dsuenable => false, dsutrace => false, dsumixed => false, dsudpram => false, tracelines => 128); constant debug_dsu : debug_config_type := ( enable => true, uart => false, iureg => false, fpureg => false, nohalt => false, pclow => 2, dsuenable => true, dsutrace => true, dsumixed => true, dsudpram => true, tracelines => 128); constant debug_atc25 : debug_config_type := ( enable => true, uart => false, iureg => false, fpureg => false, nohalt => false, pclow => 2, dsuenable => true, dsutrace => true, dsumixed => true, dsudpram => true, tracelines => 256); constant debug_msp : debug_config_type := ( enable => true, uart => false, iureg => false, fpureg => false, nohalt => true, pclow => 2, dsuenable => true, dsutrace => true, dsumixed => true, dsudpram => true, tracelines => 256); constant debug_uart : debug_config_type := ( enable => true, uart => true, iureg => false, fpureg => false, nohalt => false, pclow => 0, dsuenable => false, dsutrace => false, dsumixed => false, dsudpram => false, tracelines => 128); constant debug_fpu : debug_config_type := ( enable => true, uart => true, iureg => false, fpureg => true, nohalt => false, pclow => 2, dsuenable => false, dsutrace => false, dsumixed => false, dsudpram => false, tracelines => 128); constant debug_all : debug_config_type := ( enable => true, uart => true, iureg => true, fpureg => true, nohalt => false, pclow => 0, dsuenable => false, dsutrace => false, dsumixed => false, dsudpram => false, tracelines => 128); ---------------------------------------------------------------------------- -- Amba AHB configurations ---------------------------------------------------------------------------- -- standard slave config constant ahbslvcfg_std : ahb_slv_config_vector(0 to AHB_SLV_MAX-1) := ( -- first last index split enable function HADDR[31:28] ("0000", "0111", 0, false, true), -- memory controller, 0x0- 0x7 ("1000", "1000", 1, false, true), -- APB bridge, 256 MB 0x8- 0x8 others => ahb_slv_config_void); -- DSU config constant ahbslvcfg_dsu : ahb_slv_config_vector(0 to AHB_SLV_MAX-1) := ( -- first last index split enable function HADDR[31:28] ("0000", "0111", 0, false, true), -- memory controller, 0x0- 0x7 ("1000", "1000", 1, false, true), -- APB bridge, 128 MB 0x8- 0x8 ("1001", "1001", 2, false, true), -- DSU 128 MB 0x9- 0x9 ("1010", "1010", 4, false, false),-- TEST module 0xA- 0xA others => ahb_slv_config_void); -- PCI slave config constant ahbslvcfg_pci : ahb_slv_config_vector(0 to AHB_SLV_MAX-1) := ( -- first last index split enable function HADDR[31:28] ("0000", "0111", 0, false, true), -- memory controller, 0x0- 0x7 ("1000", "1000", 1, false, true), -- APB bridge, 128 MB 0x8- 0x8 ("1001", "1001", 2, false, false),-- DSU 128 MB 0x9- 0x9 ("1100", "1111", 3, false, true), -- PCI initiator 0xC- 0xF others => ahb_slv_config_void); -- PCI+DSU slave config constant ahbslvcfg_pci_dsu : ahb_slv_config_vector(0 to AHB_SLV_MAX-1) := ( -- first last index split enable function HADDR[31:28] ("0000", "0111", 0, false, true), -- memory controller, 0x0- 0x7 ("1000", "1000", 1, false, true), -- APB bridge, 128 MB 0x8- 0x8 ("1001", "1001", 2, false, true),-- DSU 128 MB 0x9- 0x9 ("1100", "1111", 3, false, true), -- PCI initiator 0xC- 0xF others => ahb_slv_config_void); -- AHB test+DSU slave config constant ahbslvcfg_test : ahb_slv_config_vector(0 to AHB_SLV_MAX-1) := ( -- first last index split enable function HADDR[31:28] ("0000", "0111", 0, false, true), -- memory controller, 0x0- 0x7 ("1000", "1000", 1, false, true), -- APB bridge, 128 MB 0x8- 0x8 ("1001", "1001", 2, false, true), -- DSU 128 MB 0x9- 0x9 ("1010", "1010", 4, true , true),-- TEST module 0xA- 0xA others => ahb_slv_config_void); -- standard config record constant ahb_std : ahb_config_type := ( masters => 2, defmst => 0, -- masters increased by 1 3.04.02 LA split => false, slvtable => ahbslvcfg_std, testmod => false); -- FPGA config record constant ahb_fpga : ahb_config_type := (masters => 2, defmst => 0, split => false, slvtable => ahbslvcfg_std, testmod => false); -- Insilicon PCI core config record (uses two AHB master interfaces) constant ahb_is_pci : ahb_config_type := ( masters => 4, defmst => 0, split => false, slvtable => ahbslvcfg_pci, testmod => false); -- Insilicon PCI core config record (uses two AHB master interfaces) constant ahb_is_pci_dsu : ahb_config_type := ( masters => 5, defmst => 0, split => false, slvtable => ahbslvcfg_pci_dsu, testmod => false); -- ESTEC PCI core config record (uses one AHB master insteface) constant ahb_esa_pci : ahb_config_type := ( masters => 3, defmst => 0, split => false, slvtable => ahbslvcfg_pci, testmod => false); -- AHB DSU config constant ahb_dsu : ahb_config_type := ( masters => 3, defmst => 0, split => false, slvtable => ahbslvcfg_dsu, testmod => false); -- AHB TEST config constant ahb_test : ahb_config_type := ( masters => 4, defmst => 0, split => true, slvtable => ahbslvcfg_test, testmod => true); ---------------------------------------------------------------------------- -- Amba APB configurations ---------------------------------------------------------------------------- -- standard config constant apbslvcfg_std : apb_slv_config_vector(0 to APB_SLV_MAX-1) := ( -- first last index enable function PADDR[9:0] ( "0000000000", "0000001000", 0, true), -- memory controller, 0x00 - 0x08 ( "0000001100", "0000010000", 1, true), -- AHB status reg., 0x0C - 0x10 ( "0000010100", "0000011000", 2, true), -- cache controller, 0x14 - 0x18 ( "0000011100", "0000100000", 3, true), -- write protection, 0x1C - 0x20 ( "0000100100", "0000100100", 4, true), -- config register, 0x24 - 0x24 ( "0001000000", "0001101100", 5, true), -- timers, 0x40 - 0x6C ( "0001110000", "0001111100", 6, true), -- uart1, 0x70 - 0x7C ( "0010000000", "0010001100", 7, true), -- uart2, 0x80 - 0x8C ( "0010010000", "0010011100", 8, true), -- interrupt ctrl 0x90 - 0x9C ( "0010100000", "0010101100", 9, true), -- I/O port 0xA0 - 0xAC ( "0010110000", "0010111100", 10, false),-- 2nd interrupt ctrl 0xB0 - 0xBC ( "0011000000", "0011001100", 11, false),-- DSU uart 0xC0 - 0xCC ( "1000000000", "1000011000", 12, true), -- ddm 0x200 - 0x218 --( "0100000000", "0111111100", 12, false),-- PCI configuration 0x100- 0x1FC --( "1000000000", "1011111100", 13, false),-- PCI arbiter 0x200- 0x2FC others => apb_slv_config_void); -- standard config with secondary interrupt controller constant apbslvcfg_irq2 : apb_slv_config_vector(0 to APB_SLV_MAX-1) := ( -- first last index enable function PADDR[9:0] ( "0000000000", "0000001000", 0, true), -- memory controller, 0x00 - 0x08 ( "0000001100", "0000010000", 1, true), -- AHB status reg., 0x0C - 0x10 ( "0000010100", "0000011000", 2, true), -- cache controller, 0x14 - 0x18 ( "0000011100", "0000100000", 3, true), -- write protection, 0x1C - 0x20 ( "0000100100", "0000100100", 4, true), -- config register, 0x24 - 0x24 ( "0001000000", "0001101100", 5, true), -- timers, 0x40 - 0x6C ( "0001110000", "0001111100", 6, true), -- uart1, 0x70 - 0x7C ( "0010000000", "0010001100", 7, true), -- uart2, 0x80 - 0x8C ( "0010010000", "0010011100", 8, true), -- interrupt ctrl 0x90 - 0x9C ( "0010100000", "0010101100", 9, true), -- I/O port 0xA0 - 0xAC ( "0010110000", "0010111100", 10, true), -- 2nd interrupt ctrl 0xB0 - 0xBC ( "0011000000", "0011001100", 11, false),-- DSU uart 0xC0 - 0xCC ( "0100000000", "0111111100", 12, false),-- PCI configuration 0x100- 0x1FC ( "1000000000", "1011111100", 13, false),-- PCI arbiter 0x200- 0x2FC others => apb_slv_config_void); -- PCI config constant apbslvcfg_pci : apb_slv_config_vector(0 to APB_SLV_MAX-1) := ( -- first last index enable function PADDR[9:0] ( "0000000000", "0000001000", 0, true), -- memory controller, 0x00 - 0x08 ( "0000001100", "0000010000", 1, true), -- AHB status reg., 0x0C - 0x10 ( "0000010100", "0000011000", 2, true), -- cache controller, 0x14 - 0x18 ( "0000011100", "0000100000", 3, true), -- write protection, 0x1C - 0x20 ( "0000100100", "0000100100", 4, true), -- config register, 0x24 - 0x24 ( "0001000000", "0001101100", 5, true), -- timers, 0x40 - 0x6C ( "0001110000", "0001111100", 6, true), -- uart1, 0x70 - 0x7C ( "0010000000", "0010001100", 7, true), -- uart2, 0x80 - 0x8C ( "0010010000", "0010011100", 8, true), -- interrupt ctrl 0x90 - 0x9C ( "0010100000", "0010101100", 9, true), -- I/O port 0xA0 - 0xAC ( "0010110000", "0010111100", 10, false),-- 2nd interrupt ctrl 0xB0 - 0xBC ( "0011000000", "0011001100", 11, false),-- DSU uart 0xC0 - 0xCC ( "0100000000", "0111111100", 12, true), -- PCI configuration 0x100- 0x1FC ( "1000000000", "1011111100", 13, true), -- PCI arbiter 0x200- 0x2FC others => apb_slv_config_void); -- DSU config constant apbslvcfg_dsu : apb_slv_config_vector(0 to APB_SLV_MAX-1) := ( -- first last index enable function PADDR[9:0] ( "0000000000", "0000001000", 0, true), -- memory controller, 0x00 - 0x08 ( "0000001100", "0000010000", 1, true), -- AHB status reg., 0x0C - 0x10 ( "0000010100", "0000011000", 2, true), -- cache controller, 0x14 - 0x18 ( "0000011100", "0000100000", 3, true), -- write protection, 0x1C - 0x20 ( "0000100100", "0000100100", 4, true), -- config register, 0x24 - 0x24 ( "0001000000", "0001101100", 5, true), -- timers, 0x40 - 0x6C ( "0001110000", "0001111100", 6, true), -- uart1, 0x70 - 0x7C ( "0010000000", "0010001100", 7, true), -- uart2, 0x80 - 0x8C ( "0010010000", "0010011100", 8, true), -- interrupt ctrl 0x90 - 0x9C ( "0010100000", "0010101100", 9, true), -- I/O port 0xA0 - 0xAC ( "0010110000", "0010111100", 10, false),-- 2nd interrupt ctrl 0xB0 - 0xBC ( "0011000000", "0011001100", 11, true), -- DSU uart 0xC0 - 0xCC ( "1000000000", "1000011000", 12, true), -- ddm 0x200 - 0x218 --( "0100000000", "0111111100", 12, false),-- PCI configuration 0x100- 0x1FC --( "1000000000", "1011111100", 13, false),-- PCI arbiter 0x200- 0x2FC others => apb_slv_config_void); -- DSU + PCIconfig constant apbslvcfg_dsu_pci : apb_slv_config_vector(0 to APB_SLV_MAX-1) := ( -- first last index enable function PADDR[9:0] ( "0000000000", "0000001000", 0, true), -- memory controller, 0x00 - 0x08 ( "0000001100", "0000010000", 1, true), -- AHB status reg., 0x0C - 0x10 ( "0000010100", "0000011000", 2, true), -- cache controller, 0x14 - 0x18 ( "0000011100", "0000100000", 3, true), -- write protection, 0x1C - 0x20 ( "0000100100", "0000100100", 4, true), -- config register, 0x24 - 0x24 ( "0001000000", "0001101100", 5, true), -- timers, 0x40 - 0x6C ( "0001110000", "0001111100", 6, true), -- uart1, 0x70 - 0x7C ( "0010000000", "0010001100", 7, true), -- uart2, 0x80 - 0x8C ( "0010010000", "0010011100", 8, true), -- interrupt ctrl 0x90 - 0x9C ( "0010100000", "0010101100", 9, true), -- I/O port 0xA0 - 0xAC ( "0010110000", "0010111100", 10, false),-- 2nd interrupt ctrl 0xB0 - 0xBC ( "0011000000", "0011001100", 11, true), -- DSU uart 0xC0 - 0xCC ( "0100000000", "0111111100", 12, true), -- PCI configuration 0x100- 0x1FC ( "1000000000", "1011111100", 13, true), -- PCI arbiter 0x200- 0x2FC others => apb_slv_config_void); constant apb_std : apb_config_type := (table => apbslvcfg_std); constant apb_irq2 : apb_config_type := (table => apbslvcfg_irq2); constant apb_pci : apb_config_type := (table => apbslvcfg_pci); constant apb_dsu : apb_config_type := (table => apbslvcfg_dsu); constant apb_dsu_pci : apb_config_type := (table => apbslvcfg_dsu_pci); ---------------------------------------------------------------------------- -- Pre-defined LEON configurations ---------------------------------------------------------------------------- -- Any FPGA, 2 + 2 Kbyte cache constant fpga_2k2k : config_type := ( synthesis => syn_gen, iu => iu_fpga, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_mem_25, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- Any FPGA, 2 + 2 Kbyte cache constant fpga_2k2k_dsu : config_type := ( synthesis => syn_gen, iu => iu_fpga, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_mem_25, debug => debug_dsu, pci => pci_none, peri => peri_fpga); -- Any FPGA, 2 + 2 Kbyte cache, mul/div constant fpga_2k2k_v8 : config_type := ( synthesis => syn_gen, iu => iu_fpga_v8, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- Any FPGA, 2 + 2 Kbyte cache, secondary irq controller (test only) constant fpga_2k2k_irq2 : config_type := ( synthesis => syn_gen, iu => iu_fpga, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_irq2, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_irq2); -- Any FPGA, 2 + 2 Kbyte cache, inferred boot-prom constant fpga_2k2k_softprom : config_type := ( synthesis => syn_gen, iu => iu_fpga, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_pmon, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- Any FPGA, 2 + 2 Kbyte cache, inferred boot-prom, mul/div constant fpga_2k2k_v8_softprom : config_type := ( synthesis => syn_gen, iu => iu_fpga_v8, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_pmon, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- Any FPGA, 4 + 4 Kbyte cache, mul/div, fpu constant fpga_4k4k_v8_fpu : config_type := ( synthesis => syn_gen, iu => iu_fpga_v8_fpu, fpu => fpu_meiko_ser, cp => cp_none, cache => cache_4k4k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- Any FPGA, 4 + 4 Kbyte cache, inferred boot-prom, mul/div, fpu constant fpga_4k4k_v8_fpu_softprom : config_type := ( synthesis => syn_gen, iu => iu_fpga_v8_fpu, fpu => fpu_meiko_ser, cp => cp_none, cache => cache_4k4k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_pmon, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- Any FPGA, 2 + 2 Kbyte cache, inferred boot-prom, mul/div, MAC constant fpga_2k2k_v8_mac_softprom : config_type := ( synthesis => syn_gen, iu => iu_fpga_v8_mac, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_pmon, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- VIRTEX, 2 + 2 Kbyte cache, hard boot-prom constant virtex_2k2k_blockprom : config_type := ( synthesis => syn_virtex_blockprom, iu => iu_fpga_v8_fpu, fpu => fpu_meiko_ser, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_pmon, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- VIRTEX, 2 + 1 Kbyte cache, hard boot-prom with rdbmon constant virtex_2k1k_rdbmon : config_type := ( synthesis => syn_virtex_blockprom, iu => iu_fpga, fpu => fpu_none, cp => cp_none, cache => cache_2k1k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_rdbmon, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- VIRTEX, 2 + 2 Kbyte cache, hard boot-prom, mul/div constant virtex_2k2k_v8_blockprom : config_type := ( synthesis => syn_virtex_blockprom, iu => iu_fpga_v8, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_fpga, apb => apb_std, mctrl => mctrl_std, boot => boot_pmon_10, debug => debug_disas, pci => pci_none, peri => peri_fpga); -- VIRTEX, 4 + 2 Kbyte cache, snoop, DSU constant virtex_4k2k_dsu : config_type := ( synthesis => syn_virtex, iu => iu_fpga_dsu, fpu => fpu_none, cp => cp_none, cache => cache_4k2k_snoop_slow, ahb => ahb_test, apb => apb_dsu, mctrl => mctrl_std, boot => boot_mem, debug => debug_dsu, pci => pci_none, peri => peri_fpga); -- VIRTEX, 4 + 2 Kbyte cache, snoop, DSU constant virtex_4k2k_v8_dsu : config_type := ( synthesis => syn_virtex, iu => iu_fpga_v8_dsu, fpu => fpu_none, cp => cp_none, cache => cache_4k2k_snoop_slow, ahb => ahb_dsu, apb => apb_dsu, mctrl => mctrl_std, boot => boot_mem, debug => debug_dsu, pci => pci_none, peri => peri_fpga); -- VIRTEX, 4 + 2 Kbyte cache, snoop, DSU, V8, FPU constant virtex_4k2k_v8_fpu_dsu : config_type := ( synthesis => syn_virtex, iu => iu_fpga_v8_fpu_dsu, fpu => fpu_meiko_ser, cp => cp_none, cache => cache_4k2k_snoop_slow, ahb => ahb_dsu, apb => apb_dsu, mctrl => mctrl_std, boot => boot_mem, debug => debug_dsu, pci => pci_none, peri => peri_fpga); -- synthesis targetting ATC25 asic lib constant gen_atc25 : config_type := ( synthesis => syn_atc25, iu => iu_atc25, fpu => fpu_none, cp => cp_none, cache => cache_8k8k, ahb => ahb_dsu, apb => apb_dsu, mctrl => mctrl_std, boot => boot_mem, debug => debug_atc25, pci => pci_none, peri => peri_std); constant gen_atc25_snoop : config_type := ( synthesis => syn_atc25, iu => iu_atc25, fpu => fpu_none, cp => cp_none, cache => cache_8k8k_snoop, ahb => ahb_dsu, apb => apb_dsu, mctrl => mctrl_std, boot => boot_mem, debug => debug_msp, pci => pci_none, peri => peri_std); -- synthesis targetting ATC25 asic lib, serial Meiko FPU constant gen_atc25_meiko : config_type := ( synthesis => syn_atc25, iu => iu_atc25_fpu, fpu => fpu_meiko_ser, cp => cp_none, cache => cache_8k8k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); -- synthesis targetting ATC25 asic lib, parallel FPU constant gen_atc25_fpc : config_type := ( synthesis => syn_atc25, iu => iu_atc25_fpu, fpu => fpu_meiko_par, cp => cp_none, cache => cache_8k8k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); -- synthesis targetting ATC25 asic lib + Insilicon PCI core constant gen_atc25_insilicon_pci : config_type := ( synthesis => syn_atc25, iu => iu_std, fpu => fpu_none, cp => cp_none, cache => cache_8k8k_snoop, ahb => ahb_is_pci, apb => apb_pci, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_atmel, peri => peri_std); -- synthesis targetting ATC25 asic lib, Meiko FPU, PCI constant gen_atc25_meiko_pci : config_type := ( synthesis => syn_atc25, iu => iu_atc25_fpu, fpu => fpu_meiko_ser, cp => cp_none, cache => cache_8k8k_snoop, ahb => ahb_is_pci_dsu, apb => apb_dsu_pci, mctrl => mctrl_std, boot => boot_mem, debug => debug_atc25, pci => pci_atmel, peri => peri_std); -- synthesis targetting ATC35 asic lib, synopsys constant gen_atc35 : config_type := ( synthesis => syn_atc35, iu => iu_std, fpu => fpu_none, cp => cp_none, cache => cache_4k4k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); -- Systel FPGA configuration constant systel_fpga : config_type := ( synthesis => syn_gen, iu => iu_fpga_v8, fpu => fpu_none, cp => cp_none, cache => cache_1k1k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); -- Systel ASIC configuration constant systel_asic : config_type := ( synthesis => syn_systel_asic, iu => iu_std, fpu => fpu_none, cp => cp_none, cache => cache_1k1k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); -- synthesis targetting UMC FS90A/B asic lib constant gen_fs90 : config_type := ( synthesis => syn_fs90, iu => iu_std, fpu => fpu_none, cp => cp_none, cache => cache_2k2k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); -- synthesis targetting UMC18 asic lib, synopsys + AMBIT constant gen_umc18 : config_type := ( synthesis => syn_umc18, iu => iu_std, fpu => fpu_none, cp => cp_none, cache => cache_4k4k, ahb => ahb_std, apb => apb_std, mctrl => mctrl_std, boot => boot_mem, debug => debug_disas, pci => pci_none, peri => peri_std); type leon_config_vector is array (Natural Range <> ) of config_type; constant leon_config_table : leon_config_vector(0 to 8) := ( fpga_2k2k, fpga_2k2k_v8, fpga_2k2k_irq2, fpga_2k2k_softprom, fpga_2k2k_v8_softprom, fpga_4k4k_v8_fpu, virtex_4k2k_dsu, virtex_4k2k_v8_dsu, gen_atc25_snoop ); constant def_cfgindex : integer := 7; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2312.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p01n01i02312ent IS END c07s02b07x00p01n01i02312ent; ARCHITECTURE c07s02b07x00p01n01i02312arch OF c07s02b07x00p01n01i02312ent IS BEGIN TESTING: PROCESS -- Local declarations. variable CHARV : CHARACTER := '0'; BEGIN CHARV := ABS CHARV; assert FALSE report "***FAILED TEST: c07s02b07x00p01n01i02312 - Unary operator abs is predefined for any numeric type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p01n01i02312arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2312.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p01n01i02312ent IS END c07s02b07x00p01n01i02312ent; ARCHITECTURE c07s02b07x00p01n01i02312arch OF c07s02b07x00p01n01i02312ent IS BEGIN TESTING: PROCESS -- Local declarations. variable CHARV : CHARACTER := '0'; BEGIN CHARV := ABS CHARV; assert FALSE report "***FAILED TEST: c07s02b07x00p01n01i02312 - Unary operator abs is predefined for any numeric type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p01n01i02312arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2312.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p01n01i02312ent IS END c07s02b07x00p01n01i02312ent; ARCHITECTURE c07s02b07x00p01n01i02312arch OF c07s02b07x00p01n01i02312ent IS BEGIN TESTING: PROCESS -- Local declarations. variable CHARV : CHARACTER := '0'; BEGIN CHARV := ABS CHARV; assert FALSE report "***FAILED TEST: c07s02b07x00p01n01i02312 - Unary operator abs is predefined for any numeric type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p01n01i02312arch;
-- ################################################################################################# -- # << NEO430 - High-Precision Timer >> # -- # ********************************************************************************************* # -- # This timer uses a configurable prescaler to increment an internal 16-bit counter. When the # -- # counter value reaches the programmable threshold an interrupt can be triggered. Optionally, # -- # the counter can be automatically reset when reaching the threshold value to restart counting. # -- # Configure THRES before enabling the timer to prevent false interrupt requests. # -- # ********************************************************************************************* # -- # BSD 3-Clause License # -- # # -- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -- # # -- # Redistribution and use in source and binary forms, with or without modification, are # -- # permitted provided that the following conditions are met: # -- # # -- # 1. Redistributions of source code must retain the above copyright notice, this list of # -- # conditions and the following disclaimer. # -- # # -- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -- # conditions and the following disclaimer in the documentation and/or other materials # -- # provided with the distribution. # -- # # -- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -- # endorse or promote products derived from this software without specific prior written # -- # permission. # -- # # -- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -- # OF THE POSSIBILITY OF SUCH DAMAGE. # -- # ********************************************************************************************* # -- # The NEO430 Processor - https://github.com/stnolting/neo430 # -- ################################################################################################# library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library neo430; use neo430.neo430_package.all; entity neo430_timer is port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- interrupt -- irq_o : out std_ulogic -- interrupt request ); end neo430_timer; architecture neo430_timer_rtl of neo430_timer is -- IO space: module base address -- constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit constant lo_abb_c : natural := index_size_f(timer_size_c); -- low address boundary bit -- control reg bits -- constant ctrl_en_c : natural := 0; -- r/w: timer enable constant ctrl_arst_c : natural := 1; -- r/w: auto reset on match constant ctrl_irq_en_c : natural := 2; -- r/w: interrupt enable constant ctrl_run_c : natural := 3; -- r/w: start/stop timer constant ctrl_prsc0_c : natural := 4; -- r/w: prescaler select bit 0 constant ctrl_prsc1_c : natural := 5; -- r/w: prescaler select bit 1 constant ctrl_prsc2_c : natural := 6; -- r/w: prescaler select bit 2 -- access control -- signal acc_en : std_ulogic; -- module access enable signal addr : std_ulogic_vector(15 downto 0); -- access address signal wr_en : std_ulogic; -- word write enable -- timer regs -- signal ctrl : std_ulogic_vector(06 downto 0); -- r/w: control register signal thres : std_ulogic_vector(15 downto 0); -- -/w: threshold register signal cnt : std_ulogic_vector(15 downto 0); -- r/-: counter register -- prescaler clock generator -- signal prsc_tick : std_ulogic; -- timer control -- signal match : std_ulogic; -- set if thres == cnt signal irq_fire : std_ulogic; signal irq_fire_ff : std_ulogic; begin -- Access Control ----------------------------------------------------------- -- ----------------------------------------------------------------------------- acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = timer_base_c(hi_abb_c downto lo_abb_c)) else '0'; addr <= timer_base_c(15 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 1) & '0'; -- word aligned wr_en <= acc_en and wren_i; -- Write access ------------------------------------------------------------- -- ----------------------------------------------------------------------------- wr_access: process(clk_i) begin if rising_edge(clk_i) then if (wr_en = '1') then if (addr = timer_thres_addr_c) then thres <= data_i; end if; if (addr = timer_ctrl_addr_c) then ctrl(ctrl_en_c) <= data_i(ctrl_en_c); ctrl(ctrl_arst_c) <= data_i(ctrl_arst_c); ctrl(ctrl_irq_en_c) <= data_i(ctrl_irq_en_c); ctrl(ctrl_run_c) <= data_i(ctrl_run_c); ctrl(ctrl_prsc0_c) <= data_i(ctrl_prsc0_c); ctrl(ctrl_prsc1_c) <= data_i(ctrl_prsc1_c); ctrl(ctrl_prsc2_c) <= data_i(ctrl_prsc2_c); end if; end if; end if; end process wr_access; -- enable external clock generator -- clkgen_en_o <= ctrl(ctrl_en_c); -- Counter update ----------------------------------------------------------- -- ----------------------------------------------------------------------------- timer_cnt_core: process(clk_i) begin if rising_edge(clk_i) then -- clock_enable buffer -- prsc_tick <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c)))); -- irq edge detector -- irq_fire_ff <= irq_fire; -- counter update -- if (ctrl(ctrl_en_c) = '0') then -- timer disabled cnt <= (others => '0'); elsif (ctrl(ctrl_run_c) = '1') then -- timer enabled, but is it started? if (match = '1') and (ctrl(ctrl_arst_c) = '1') then -- threshold match and auto reset? cnt <= (others => '0'); elsif (match = '0') and (prsc_tick = '1') then -- count++ cnt <= std_ulogic_vector(unsigned(cnt) + 1); end if; end if; end if; end process timer_cnt_core; -- match -- match <= '1' when (cnt = thres) else '0'; -- interrupt line -- irq_fire <= match and ctrl(ctrl_en_c) and ctrl(ctrl_irq_en_c); -- and ctrl(ctrl_run_c); -- edge detector -- irq_o <= irq_fire and (not irq_fire_ff); -- Read access -------------------------------------------------------------- -- ----------------------------------------------------------------------------- rd_access: process(clk_i) begin if rising_edge(clk_i) then data_o <= (others => '0'); if (rden_i = '1') and (acc_en = '1') then if (addr = timer_ctrl_addr_c) then data_o(ctrl_en_c) <= ctrl(ctrl_en_c); data_o(ctrl_arst_c) <= ctrl(ctrl_arst_c); data_o(ctrl_irq_en_c) <= ctrl(ctrl_irq_en_c); data_o(ctrl_run_c) <= ctrl(ctrl_run_c); data_o(ctrl_prsc0_c) <= ctrl(ctrl_prsc0_c); data_o(ctrl_prsc1_c) <= ctrl(ctrl_prsc1_c); data_o(ctrl_prsc2_c) <= ctrl(ctrl_prsc2_c); else--if (addr = timer_cnt_addr_c) then data_o <= cnt; -- else -- (addr = timer_thres_addr_c) then -- data_o <= thres; end if; end if; end if; end process rd_access; end neo430_timer_rtl;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity s298_nov is port( clock: in std_logic; input: in std_logic_vector(2 downto 0); output: out std_logic_vector(5 downto 0) ); end s298_nov; architecture behaviour of s298_nov is constant s00000000000000: std_logic_vector(7 downto 0) := "00000100"; constant s00000001100000: std_logic_vector(7 downto 0) := "00001100"; constant s10000001100000: std_logic_vector(7 downto 0) := "00001010"; constant s01000001100001: std_logic_vector(7 downto 0) := "00001110"; constant s11001001100011: std_logic_vector(7 downto 0) := "00000010"; constant s00100001100011: std_logic_vector(7 downto 0) := "00000110"; constant s10101001100010: std_logic_vector(7 downto 0) := "00011010"; constant s01101001100010: std_logic_vector(7 downto 0) := "00011110"; constant s11101001100011: std_logic_vector(7 downto 0) := "00010010"; constant s00010001100010: std_logic_vector(7 downto 0) := "01101101"; constant s10010100011010: std_logic_vector(7 downto 0) := "11010011"; constant s00001100000001: std_logic_vector(7 downto 0) := "01111000"; constant s00000000011000: std_logic_vector(7 downto 0) := "00000000"; constant s10000001100010: std_logic_vector(7 downto 0) := "00010110"; constant s01000001100011: std_logic_vector(7 downto 0) := "00011000"; constant s11001001100001: std_logic_vector(7 downto 0) := "00010000"; constant s00100001100000: std_logic_vector(7 downto 0) := "00001011"; constant s10100001100010: std_logic_vector(7 downto 0) := "00000011"; constant s01100001100001: std_logic_vector(7 downto 0) := "00101101"; constant s11101000100110: std_logic_vector(7 downto 0) := "00111001"; constant s00011000100110: std_logic_vector(7 downto 0) := "00111000"; constant s10011000100100: std_logic_vector(7 downto 0) := "00111101"; constant s00000000100100: std_logic_vector(7 downto 0) := "00100100"; constant s10000001100011: std_logic_vector(7 downto 0) := "00001111"; constant s01001001100011: std_logic_vector(7 downto 0) := "00000111"; constant s11000001100000: std_logic_vector(7 downto 0) := "00001001"; constant s00100001100010: std_logic_vector(7 downto 0) := "00000001"; constant s10100001100011: std_logic_vector(7 downto 0) := "00001101"; constant s01101001100000: std_logic_vector(7 downto 0) := "00000101"; constant s11101001100010: std_logic_vector(7 downto 0) := "00011011"; constant s00011001100001: std_logic_vector(7 downto 0) := "00010011"; constant s10010001100010: std_logic_vector(7 downto 0) := "01111011"; constant s00001100000010: std_logic_vector(7 downto 0) := "01111110"; constant s10001100011000: std_logic_vector(7 downto 0) := "11011011"; constant s01001100000001: std_logic_vector(7 downto 0) := "01110000"; constant s11000100011001: std_logic_vector(7 downto 0) := "11010010"; constant s00101100000000: std_logic_vector(7 downto 0) := "01110110"; constant s00101100000001: std_logic_vector(7 downto 0) := "01100100"; constant s00101100000010: std_logic_vector(7 downto 0) := "01110010"; constant s00101100000011: std_logic_vector(7 downto 0) := "11110100"; constant s11000100011000: std_logic_vector(7 downto 0) := "11011010"; constant s00100100000011: std_logic_vector(7 downto 0) := "11111100"; constant s00100100000010: std_logic_vector(7 downto 0) := "11110000"; constant s00100100000001: std_logic_vector(7 downto 0) := "11111000"; constant s00100100000000: std_logic_vector(7 downto 0) := "11110110"; constant s11000100011010: std_logic_vector(7 downto 0) := "11010111"; constant s11000100011011: std_logic_vector(7 downto 0) := "11011111"; constant s01001100000000: std_logic_vector(7 downto 0) := "11111110"; constant s11001100011000: std_logic_vector(7 downto 0) := "11010110"; constant s11001100011001: std_logic_vector(7 downto 0) := "11011110"; constant s11001100011010: std_logic_vector(7 downto 0) := "11000011"; constant s11001100011011: std_logic_vector(7 downto 0) := "11001011"; constant s01001100000010: std_logic_vector(7 downto 0) := "11110101"; constant s01001100000011: std_logic_vector(7 downto 0) := "11111101"; constant s10001100011001: std_logic_vector(7 downto 0) := "11000010"; constant s01000100000000: std_logic_vector(7 downto 0) := "11100100"; constant s01000100000001: std_logic_vector(7 downto 0) := "11101100"; constant s01000100000010: std_logic_vector(7 downto 0) := "10111100"; constant s01000100000011: std_logic_vector(7 downto 0) := "11111010"; constant s10001100011011: std_logic_vector(7 downto 0) := "11001010"; constant s10001100011010: std_logic_vector(7 downto 0) := "11000111"; constant s00001100000011: std_logic_vector(7 downto 0) := "11110010"; constant s10000100011000: std_logic_vector(7 downto 0) := "11001111"; constant s10000100011001: std_logic_vector(7 downto 0) := "11000110"; constant s10000100011010: std_logic_vector(7 downto 0) := "11001110"; constant s10000100011011: std_logic_vector(7 downto 0) := "11010001"; constant s00001100000000: std_logic_vector(7 downto 0) := "11111001"; constant s10010001100011: std_logic_vector(7 downto 0) := "01100101"; constant s10010001100001: std_logic_vector(7 downto 0) := "01111001"; constant s00001010010001: std_logic_vector(7 downto 0) := "11110001"; constant s10000000011010: std_logic_vector(7 downto 0) := "00011111"; constant s01000001100010: std_logic_vector(7 downto 0) := "00010111"; constant s11000001100010: std_logic_vector(7 downto 0) := "00011001"; constant s00100001100001: std_logic_vector(7 downto 0) := "00010001"; constant s11000001100011: std_logic_vector(7 downto 0) := "00011101"; constant s00101001100010: std_logic_vector(7 downto 0) := "00010101"; constant s00101001100011: std_logic_vector(7 downto 0) := "01001010"; constant s00101001100000: std_logic_vector(7 downto 0) := "01000010"; constant s00101001100001: std_logic_vector(7 downto 0) := "01001011"; constant s11000001100001: std_logic_vector(7 downto 0) := "01000011"; constant s01000001100000: std_logic_vector(7 downto 0) := "01001110"; constant s10000000011011: std_logic_vector(7 downto 0) := "01000110"; constant s01001001100001: std_logic_vector(7 downto 0) := "01001111"; constant s01001001100000: std_logic_vector(7 downto 0) := "01000111"; constant s11001001100000: std_logic_vector(7 downto 0) := "01001000"; constant s11001001100010: std_logic_vector(7 downto 0) := "01000000"; constant s01001001100010: std_logic_vector(7 downto 0) := "01001001"; constant s10000000011001: std_logic_vector(7 downto 0) := "01000001"; constant s10000000011000: std_logic_vector(7 downto 0) := "01001100"; constant s00001010010000: std_logic_vector(7 downto 0) := "11111111"; constant s10001000011000: std_logic_vector(7 downto 0) := "11110111"; constant s01001000011011: std_logic_vector(7 downto 0) := "01000100"; constant s01001000011010: std_logic_vector(7 downto 0) := "01001101"; constant s01001000011001: std_logic_vector(7 downto 0) := "01000101"; constant s01001000011000: std_logic_vector(7 downto 0) := "01011010"; constant s10001000011001: std_logic_vector(7 downto 0) := "11111011"; constant s01000000011011: std_logic_vector(7 downto 0) := "01010010"; constant s01000000011010: std_logic_vector(7 downto 0) := "01011011"; constant s01000000011001: std_logic_vector(7 downto 0) := "01010011"; constant s01000000011000: std_logic_vector(7 downto 0) := "01011110"; constant s10001000011011: std_logic_vector(7 downto 0) := "11110011"; constant s10001000011010: std_logic_vector(7 downto 0) := "11101000"; constant s00001010010011: std_logic_vector(7 downto 0) := "11100000"; constant s00001010010010: std_logic_vector(7 downto 0) := "11101110"; constant s00000010010000: std_logic_vector(7 downto 0) := "00001000"; constant s10000001100001: std_logic_vector(7 downto 0) := "01010110"; constant s10010001100000: std_logic_vector(7 downto 0) := "01111111"; constant s00011001100000: std_logic_vector(7 downto 0) := "01011111"; constant s10011001100001: std_logic_vector(7 downto 0) := "01010111"; constant s00000001100001: std_logic_vector(7 downto 0) := "01011000"; constant s10001001100000: std_logic_vector(7 downto 0) := "01110011"; constant s10001001100001: std_logic_vector(7 downto 0) := "01111101"; constant s10001001100010: std_logic_vector(7 downto 0) := "01110001"; constant s10001001100011: std_logic_vector(7 downto 0) := "01110111"; constant s00000001100010: std_logic_vector(7 downto 0) := "01010000"; constant s00000001100011: std_logic_vector(7 downto 0) := "01011001"; constant s10011001100000: std_logic_vector(7 downto 0) := "01010001"; constant s10011001100011: std_logic_vector(7 downto 0) := "01011100"; constant s10011001100010: std_logic_vector(7 downto 0) := "01010100"; constant s00011001100011: std_logic_vector(7 downto 0) := "01011101"; constant s00011001100010: std_logic_vector(7 downto 0) := "01010101"; constant s11101001100001: std_logic_vector(7 downto 0) := "01101010"; constant s00010001100001: std_logic_vector(7 downto 0) := "01110101"; constant s10011010010000: std_logic_vector(7 downto 0) := "10101011"; constant s00000010010011: std_logic_vector(7 downto 0) := "01100010"; constant s00000010010010: std_logic_vector(7 downto 0) := "01101011"; constant s00000010010001: std_logic_vector(7 downto 0) := "01100011"; constant s10011010010001: std_logic_vector(7 downto 0) := "10101010"; constant s10011010010010: std_logic_vector(7 downto 0) := "10101001"; constant s10011010010011: std_logic_vector(7 downto 0) := "10101111"; constant s00010001100000: std_logic_vector(7 downto 0) := "01111010"; constant s10010010010011: std_logic_vector(7 downto 0) := "10101101"; constant s10010010010010: std_logic_vector(7 downto 0) := "10001111"; constant s10010010010000: std_logic_vector(7 downto 0) := "10100011"; constant s10010010010001: std_logic_vector(7 downto 0) := "10101000"; constant s00010001100011: std_logic_vector(7 downto 0) := "01101100"; constant s10011100011000: std_logic_vector(7 downto 0) := "11011001"; constant s00000100000010: std_logic_vector(7 downto 0) := "11100110"; constant s00000100000011: std_logic_vector(7 downto 0) := "11101101"; constant s00000100000000: std_logic_vector(7 downto 0) := "11100101"; constant s00000100000001: std_logic_vector(7 downto 0) := "11101010"; constant s10011100011001: std_logic_vector(7 downto 0) := "11010000"; constant s10011100011011: std_logic_vector(7 downto 0) := "11011000"; constant s10011100011010: std_logic_vector(7 downto 0) := "11010101"; constant s11101001100000: std_logic_vector(7 downto 0) := "01101110"; constant s01101001100001: std_logic_vector(7 downto 0) := "01100110"; constant s11100001100001: std_logic_vector(7 downto 0) := "00111010"; constant s00011000100111: std_logic_vector(7 downto 0) := "00111111"; constant s10010000100111: std_logic_vector(7 downto 0) := "00101100"; constant s10010000100110: std_logic_vector(7 downto 0) := "00100000"; constant s10010000100101: std_logic_vector(7 downto 0) := "00100110"; constant s10010000100100: std_logic_vector(7 downto 0) := "00101000"; constant s00011000100100: std_logic_vector(7 downto 0) := "00111100"; constant s10011000100110: std_logic_vector(7 downto 0) := "00111110"; constant s00000000100101: std_logic_vector(7 downto 0) := "00101110"; constant s00000000100110: std_logic_vector(7 downto 0) := "00100010"; constant s00000000100111: std_logic_vector(7 downto 0) := "00101010"; constant s10011000100111: std_logic_vector(7 downto 0) := "00110101"; constant s10011000100101: std_logic_vector(7 downto 0) := "00110001"; constant s00011000100101: std_logic_vector(7 downto 0) := "00110111"; constant s11100001100000: std_logic_vector(7 downto 0) := "00101001"; constant s00010000100100: std_logic_vector(7 downto 0) := "00010100"; constant s00010000100101: std_logic_vector(7 downto 0) := "01110100"; constant s00010000100110: std_logic_vector(7 downto 0) := "10110100"; constant s10010100011000: std_logic_vector(7 downto 0) := "11011101"; constant s10010100011001: std_logic_vector(7 downto 0) := "11000001"; constant s10010100011011: std_logic_vector(7 downto 0) := "11001001"; constant s00010000100111: std_logic_vector(7 downto 0) := "00011100"; constant s11100001100010: std_logic_vector(7 downto 0) := "00100001"; constant s11100001100011: std_logic_vector(7 downto 0) := "00101011"; constant s01101001100011: std_logic_vector(7 downto 0) := "01101111"; constant s10100001100000: std_logic_vector(7 downto 0) := "01100111"; constant s01100001100011: std_logic_vector(7 downto 0) := "00101111"; constant s11101000100111: std_logic_vector(7 downto 0) := "00110011"; constant s11101000100100: std_logic_vector(7 downto 0) := "00110100"; constant s11101000100101: std_logic_vector(7 downto 0) := "00110000"; constant s01100001100010: std_logic_vector(7 downto 0) := "00100011"; constant s11100000100111: std_logic_vector(7 downto 0) := "00110110"; constant s11100000100110: std_logic_vector(7 downto 0) := "00110010"; constant s11100000100100: std_logic_vector(7 downto 0) := "00100101"; constant s11100000100101: std_logic_vector(7 downto 0) := "00111011"; constant s01100001100000: std_logic_vector(7 downto 0) := "00100111"; constant s10100001100001: std_logic_vector(7 downto 0) := "01101000"; constant s10101001100011: std_logic_vector(7 downto 0) := "01100000"; constant s10101001100000: std_logic_vector(7 downto 0) := "01101001"; constant s10101001100001: std_logic_vector(7 downto 0) := "01100001"; constant s10100100011000: std_logic_vector(7 downto 0) := "11000000"; constant s01100100000010: std_logic_vector(7 downto 0) := "11100010"; constant s11100100011011: std_logic_vector(7 downto 0) := "11001000"; constant s00011100000001: std_logic_vector(7 downto 0) := "11101001"; constant s00011100000000: std_logic_vector(7 downto 0) := "11100001"; constant s00011100000010: std_logic_vector(7 downto 0) := "11101111"; constant s00011100000011: std_logic_vector(7 downto 0) := "11100111"; constant s11100100011010: std_logic_vector(7 downto 0) := "11000101"; constant s00010100000000: std_logic_vector(7 downto 0) := "11101011"; constant s00010100000001: std_logic_vector(7 downto 0) := "11100011"; constant s00010100000010: std_logic_vector(7 downto 0) := "01111100"; constant s00010100000011: std_logic_vector(7 downto 0) := "11011100"; constant s11100100011001: std_logic_vector(7 downto 0) := "11001101"; constant s11100100011000: std_logic_vector(7 downto 0) := "11000100"; constant s01100100000011: std_logic_vector(7 downto 0) := "11010100"; constant s11101100011001: std_logic_vector(7 downto 0) := "11001100"; constant s11101100011000: std_logic_vector(7 downto 0) := "10000011"; constant s11101100011010: std_logic_vector(7 downto 0) := "10010011"; constant s11101100011011: std_logic_vector(7 downto 0) := "10001011"; constant s01100100000001: std_logic_vector(7 downto 0) := "10111000"; constant s01100100000000: std_logic_vector(7 downto 0) := "10110000"; constant s10100100011001: std_logic_vector(7 downto 0) := "10011011"; constant s01101100000000: std_logic_vector(7 downto 0) := "10111110"; constant s01101100000001: std_logic_vector(7 downto 0) := "10110110"; constant s01101100000011: std_logic_vector(7 downto 0) := "10111101"; constant s01101100000010: std_logic_vector(7 downto 0) := "10110101"; constant s10100100011011: std_logic_vector(7 downto 0) := "10000010"; constant s10100100011010: std_logic_vector(7 downto 0) := "10010010"; constant s10101100011000: std_logic_vector(7 downto 0) := "10001010"; constant s10101100011001: std_logic_vector(7 downto 0) := "10011010"; constant s10101100011010: std_logic_vector(7 downto 0) := "10000111"; constant s10101100011011: std_logic_vector(7 downto 0) := "10010111"; signal current_state, next_state: std_logic_vector(7 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "--------"; output <= "------"; case current_state is when s00000000000000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10000001100010; output <= "000000"; elsif std_match(input, "011") then next_state <= s10000001100011; output <= "000000"; elsif std_match(input, "010") then next_state <= s10000001100001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10000001100000; output <= "000000"; end if; when s00000001100000 => if std_match(input, "000") then next_state <= s10000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s10000001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s10000001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s10000001100011; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10000001100000 => if std_match(input, "010") then next_state <= s01000001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s01000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s01000001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s01000001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01000001100001 => if std_match(input, "001") then next_state <= s11001001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s11001001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s11001001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s11001001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s11001001100011 => if std_match(input, "000") then next_state <= s00100001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s00100001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s00100001100001; output <= "100001"; elsif std_match(input, "011") then next_state <= s00100001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00100001100011 => if std_match(input, "010") then next_state <= s10101001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s10101001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s10101001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s10101001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10101001100010 => if std_match(input, "000") then next_state <= s01101001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s01101001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s01101001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s01101001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01101001100010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s11101001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s11101001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s11101001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s11101001100001; output <= "100001"; end if; when s11101001100011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00010001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s00010001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00010001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s00010001100001; output <= "100001"; end if; when s00010001100010 => if std_match(input, "000") then next_state <= s10010100011010; output <= "100001"; elsif std_match(input, "010") then next_state <= s10010100011011; output <= "100001"; elsif std_match(input, "001") then next_state <= s10010100011000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10010100011001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "100001"; end if; when s10010100011010 => if std_match(input, "011") then next_state <= s00001100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s00001100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00001100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s00001100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s00001100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s10000100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10000100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10000100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s10000100011011; output <= "000000"; end if; when s00000000011000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "001") then next_state <= s10000001100010; output <= "010100"; elsif std_match(input, "011") then next_state <= s10000001100011; output <= "010100"; elsif std_match(input, "000") then next_state <= s10000001100000; output <= "010100"; elsif std_match(input, "010") then next_state <= s10000001100001; output <= "010100"; end if; when s10000001100010 => if std_match(input, "010") then next_state <= s01000001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s01000001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s01000001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s01000001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01000001100011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s11001001100001; output <= "100001"; elsif std_match(input, "011") then next_state <= s11001001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s11001001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s11001001100010; output <= "100001"; end if; when s11001001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00100001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s00100001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s00100001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00100001100010; output <= "100001"; end if; when s00100001100000 => if std_match(input, "001") then next_state <= s10100001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s10100001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s10100001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10100001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10100001100010 => if std_match(input, "011") then next_state <= s01100001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s01100001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s01100001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s01100001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01100001100001 => if std_match(input, "011") then next_state <= s11101000100110; output <= "100001"; elsif std_match(input, "001") then next_state <= s11101000100111; output <= "100001"; elsif std_match(input, "000") then next_state <= s11101000100101; output <= "100001"; elsif std_match(input, "010") then next_state <= s11101000100100; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; end if; when s11101000100110 => if std_match(input, "000") then next_state <= s00011000100110; output <= "100010"; elsif std_match(input, "010") then next_state <= s00011000100111; output <= "100010"; elsif std_match(input, "011") then next_state <= s00011000100101; output <= "100010"; elsif std_match(input, "001") then next_state <= s00011000100100; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; end if; when s00011000100110 => if std_match(input, "001") then next_state <= s10011000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s10011000100101; output <= "100010"; elsif std_match(input, "010") then next_state <= s10011000100111; output <= "100010"; elsif std_match(input, "000") then next_state <= s10011000100110; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; end if; when s10011000100100 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s00000000100111; output <= "100010"; elsif std_match(input, "001") then next_state <= s00000000100110; output <= "100010"; elsif std_match(input, "010") then next_state <= s00000000100101; output <= "100010"; elsif std_match(input, "000") then next_state <= s00000000100100; output <= "100010"; end if; when s00000000100100 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100010"; elsif std_match(input, "001") then next_state <= s10000001100010; output <= "100010"; elsif std_match(input, "011") then next_state <= s10000001100011; output <= "100010"; elsif std_match(input, "000") then next_state <= s10000001100000; output <= "100010"; elsif std_match(input, "010") then next_state <= s10000001100001; output <= "100010"; end if; when s10000001100011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s01001001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s01001001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s01001001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s01001001100001; output <= "100001"; end if; when s01001001100011 => if std_match(input, "011") then next_state <= s11000001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s11000001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s11000001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s11000001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s11000001100000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s00100001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s00100001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s00100001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s00100001100000; output <= "100001"; end if; when s00100001100010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s10100001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s10100001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s10100001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10100001100001; output <= "100001"; end if; when s10100001100011 => if std_match(input, "011") then next_state <= s01101001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s01101001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s01101001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s01101001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01101001100000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s11101001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s11101001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s11101001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s11101001100000; output <= "100001"; end if; when s11101001100010 => if std_match(input, "011") then next_state <= s00011001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s00011001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00011001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s00011001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00011001100001 => if std_match(input, "011") then next_state <= s10010001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s10010001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s10010001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s10010001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10010001100010 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "100001"; elsif std_match(input, "000") then next_state <= s00001100000010; output <= "100001"; elsif std_match(input, "010") then next_state <= s00001100000011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00001100000001; output <= "100001"; elsif std_match(input, "001") then next_state <= s00001100000000; output <= "100001"; end if; when s00001100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10001100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10001100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10001100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s10001100011010; output <= "000000"; end if; when s10001100011000 => if std_match(input, "010") then next_state <= s01001100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s01001100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s11000100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s11000100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11000100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s11000100011011; output <= "000000"; end if; when s11000100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00101100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00101100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s00101100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s00101100000011; output <= "010100"; end if; when s00101100000000 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10101100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10101100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10101100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s10101100011011; output <= "000000"; end if; when s00101100000001 => if std_match(input, "001") then next_state <= s10100100011011; output <= "000000"; elsif std_match(input, "011") then next_state <= s10100100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s10100100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10100100011000; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s00101100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10101100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s10101100011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s10101100011010; output <= "000000"; elsif std_match(input, "010") then next_state <= s10101100011011; output <= "000000"; end if; when s00101100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10100100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10100100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10100100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s10100100011010; output <= "000000"; end if; when s11000100011000 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00100100000011; output <= "010100"; elsif std_match(input, "001") then next_state <= s00100100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s00100100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s00100100000000; output <= "010100"; end if; when s00100100000011 => if std_match(input, "011") then next_state <= s10101100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10101100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10101100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s10101100011011; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s00100100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10100100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10100100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10100100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s10100100011010; output <= "000000"; end if; when s00100100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10101100011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s10101100011001; output <= "000000"; elsif std_match(input, "011") then next_state <= s10101100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s10101100011011; output <= "000000"; end if; when s00100100000000 => if std_match(input, "000") then next_state <= s10100100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10100100011001; output <= "000000"; elsif std_match(input, "011") then next_state <= s10100100011011; output <= "000000"; elsif std_match(input, "001") then next_state <= s10100100011010; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s11000100011010 => if std_match(input, "011") then next_state <= s00100100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s00100100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00100100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s00100100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s11000100011011 => if std_match(input, "010") then next_state <= s00101100000010; output <= "010100"; elsif std_match(input, "000") then next_state <= s00101100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s00101100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00101100000001; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s01001100000000 => if std_match(input, "000") then next_state <= s11001100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11001100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s11001100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s11001100011011; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s11001100011000 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00101100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s00101100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00101100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s00101100000011; output <= "010100"; end if; when s11001100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00100100000001; output <= "010100"; elsif std_match(input, "010") then next_state <= s00100100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00100100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s00100100000010; output <= "010100"; end if; when s11001100011010 => if std_match(input, "000") then next_state <= s00101100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s00101100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s00101100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s00101100000000; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s11001100011011 => if std_match(input, "001") then next_state <= s00100100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s00100100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00100100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s00100100000010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s01001100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11001100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s11001100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s11001100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11001100011001; output <= "000000"; end if; when s01001100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11000100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11000100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s11000100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s11000100011010; output <= "000000"; end if; when s10001100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s01000100000011; output <= "010100"; end if; when s01000100000000 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11000100011011; output <= "000000"; elsif std_match(input, "001") then next_state <= s11000100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s11000100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11000100011001; output <= "000000"; end if; when s01000100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11001100011011; output <= "000000"; elsif std_match(input, "011") then next_state <= s11001100011010; output <= "000000"; elsif std_match(input, "010") then next_state <= s11001100011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s11001100011001; output <= "000000"; end if; when s01000100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11000100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s11000100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11000100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s11000100011010; output <= "000000"; end if; when s01000100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11001100011001; output <= "000000"; elsif std_match(input, "011") then next_state <= s11001100011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s11001100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s11001100011010; output <= "000000"; end if; when s10001100011011 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000100000010; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000100000011; output <= "010100"; elsif std_match(input, "001") then next_state <= s01000100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000100000000; output <= "010100"; end if; when s10001100011010 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01001100000011; output <= "010100"; end if; when s00001100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10000100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10000100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10000100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s10000100011011; output <= "000000"; end if; when s10000100011000 => if std_match(input, "001") then next_state <= s01000100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000100000011; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000100000001; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s10000100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001100000011; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001100000001; output <= "010100"; elsif std_match(input, "010") then next_state <= s01001100000000; output <= "010100"; end if; when s10000100011010 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s01000100000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000100000011; output <= "010100"; end if; when s10000100011011 => if std_match(input, "011") then next_state <= s01001100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001100000001; output <= "010100"; elsif std_match(input, "010") then next_state <= s01001100000010; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s00001100000000 => if std_match(input, "011") then next_state <= s10001100011011; output <= "000000"; elsif std_match(input, "001") then next_state <= s10001100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s10001100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10001100011001; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s10010001100011 => if std_match(input, "001") then next_state <= s00001100000001; output <= "100001"; elsif std_match(input, "011") then next_state <= s00001100000000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00001100000010; output <= "100001"; elsif std_match(input, "000") then next_state <= s00001100000011; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "100001"; end if; when s10010001100001 => if std_match(input, "000") then next_state <= s00001010010001; output <= "100001"; elsif std_match(input, "010") then next_state <= s00001010010000; output <= "100001"; elsif std_match(input, "001") then next_state <= s00001010010011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00001010010010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000010010000; output <= "100001"; end if; when s00001010010001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "001100"; elsif std_match(input, "011") then next_state <= s10000000011010; output <= "001100"; elsif std_match(input, "001") then next_state <= s10000000011011; output <= "001100"; elsif std_match(input, "000") then next_state <= s10000000011001; output <= "001100"; elsif std_match(input, "010") then next_state <= s10000000011000; output <= "001100"; end if; when s10000000011010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000001100010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000001100011; output <= "010100"; elsif std_match(input, "001") then next_state <= s01000001100000; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000001100001; output <= "010100"; end if; when s01000001100010 => if std_match(input, "000") then next_state <= s11000001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s11000001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s11000001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s11000001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s11000001100010 => if std_match(input, "000") then next_state <= s00100001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s00100001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s00100001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s00100001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00100001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10101001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s10101001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s10101001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s10101001100000; output <= "100001"; end if; when s11000001100011 => if std_match(input, "010") then next_state <= s00101001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s00101001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00101001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s00101001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00101001100010 => if std_match(input, "001") then next_state <= s10101001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10101001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s10101001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s10101001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00101001100011 => if std_match(input, "011") then next_state <= s10100001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s10100001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10100001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s10100001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00101001100000 => if std_match(input, "001") then next_state <= s10101001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s10101001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s10101001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10101001100000; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00101001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s10100001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s10100001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s10100001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s10100001100001; output <= "100001"; end if; when s11000001100001 => if std_match(input, "001") then next_state <= s00101001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00101001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s00101001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s00101001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01000001100000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s11000001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s11000001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s11000001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s11000001100011; output <= "100001"; end if; when s10000000011011 => if std_match(input, "001") then next_state <= s01001001100001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001001100000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01001001100010; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001001100011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; end if; when s01001001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s11000001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s11000001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s11000001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s11000001100010; output <= "100001"; end if; when s01001001100000 => if std_match(input, "010") then next_state <= s11001001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s11001001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s11001001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s11001001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s11001001100000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s00101001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s00101001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s00101001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00101001100001; output <= "100001"; end if; when s11001001100010 => if std_match(input, "001") then next_state <= s00101001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s00101001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s00101001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s00101001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01001001100010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s11001001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s11001001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s11001001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s11001001100011; output <= "100001"; end if; when s10000000011001 => if std_match(input, "010") then next_state <= s01001001100000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001001100001; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001001100011; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001001100010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; end if; when s10000000011000 => if std_match(input, "000") then next_state <= s01000001100000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000001100001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000001100011; output <= "010100"; elsif std_match(input, "001") then next_state <= s01000001100010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; end if; when s00001010010000 => if std_match(input, "000") then next_state <= s10001000011000; output <= "001100"; elsif std_match(input, "010") then next_state <= s10001000011001; output <= "001100"; elsif std_match(input, "011") then next_state <= s10001000011011; output <= "001100"; elsif std_match(input, "001") then next_state <= s10001000011010; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "001100"; end if; when s10001000011000 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001000011011; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001000011010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01001000011001; output <= "010100"; elsif std_match(input, "000") then next_state <= s01001000011000; output <= "010100"; end if; when s01001000011011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "000") then next_state <= s11000001100011; output <= "010100"; elsif std_match(input, "010") then next_state <= s11000001100010; output <= "010100"; elsif std_match(input, "001") then next_state <= s11000001100001; output <= "010100"; elsif std_match(input, "011") then next_state <= s11000001100000; output <= "010100"; end if; when s01001000011010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "000") then next_state <= s11001001100010; output <= "010100"; elsif std_match(input, "010") then next_state <= s11001001100011; output <= "010100"; elsif std_match(input, "001") then next_state <= s11001001100000; output <= "010100"; elsif std_match(input, "011") then next_state <= s11001001100001; output <= "010100"; end if; when s01001000011001 => if std_match(input, "001") then next_state <= s11000001100011; output <= "010100"; elsif std_match(input, "011") then next_state <= s11000001100010; output <= "010100"; elsif std_match(input, "000") then next_state <= s11000001100001; output <= "010100"; elsif std_match(input, "010") then next_state <= s11000001100000; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; end if; when s01001000011000 => if std_match(input, "010") then next_state <= s11001001100001; output <= "010100"; elsif std_match(input, "000") then next_state <= s11001001100000; output <= "010100"; elsif std_match(input, "011") then next_state <= s11001001100011; output <= "010100"; elsif std_match(input, "001") then next_state <= s11001001100010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; end if; when s10001000011001 => if std_match(input, "001") then next_state <= s01000000011011; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000000011010; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000000011001; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000000011000; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "010100"; end if; when s01000000011011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "001") then next_state <= s11001001100001; output <= "010100"; elsif std_match(input, "011") then next_state <= s11001001100000; output <= "010100"; elsif std_match(input, "010") then next_state <= s11001001100010; output <= "010100"; elsif std_match(input, "000") then next_state <= s11001001100011; output <= "010100"; end if; when s01000000011010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "010") then next_state <= s11000001100011; output <= "010100"; elsif std_match(input, "000") then next_state <= s11000001100010; output <= "010100"; elsif std_match(input, "001") then next_state <= s11000001100000; output <= "010100"; elsif std_match(input, "011") then next_state <= s11000001100001; output <= "010100"; end if; when s01000000011001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "001") then next_state <= s11001001100011; output <= "010100"; elsif std_match(input, "011") then next_state <= s11001001100010; output <= "010100"; elsif std_match(input, "000") then next_state <= s11001001100001; output <= "010100"; elsif std_match(input, "010") then next_state <= s11001001100000; output <= "010100"; end if; when s01000000011000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "010100"; elsif std_match(input, "000") then next_state <= s11000001100000; output <= "010100"; elsif std_match(input, "010") then next_state <= s11000001100001; output <= "010100"; elsif std_match(input, "001") then next_state <= s11000001100010; output <= "010100"; elsif std_match(input, "011") then next_state <= s11000001100011; output <= "010100"; end if; when s10001000011011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01000000011010; output <= "010100"; elsif std_match(input, "000") then next_state <= s01000000011011; output <= "010100"; elsif std_match(input, "001") then next_state <= s01000000011001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01000000011000; output <= "010100"; end if; when s10001000011010 => if std_match(input, "000") then next_state <= s01001000011010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01001000011011; output <= "010100"; elsif std_match(input, "011") then next_state <= s01001000011001; output <= "010100"; elsif std_match(input, "001") then next_state <= s01001000011000; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "010100"; end if; when s00001010010011 => if std_match(input, "010") then next_state <= s10000000011010; output <= "001100"; elsif std_match(input, "000") then next_state <= s10000000011011; output <= "001100"; elsif std_match(input, "011") then next_state <= s10000000011000; output <= "001100"; elsif std_match(input, "001") then next_state <= s10000000011001; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "001100"; end if; when s00001010010010 => if std_match(input, "000") then next_state <= s10001000011010; output <= "001100"; elsif std_match(input, "010") then next_state <= s10001000011011; output <= "001100"; elsif std_match(input, "001") then next_state <= s10001000011000; output <= "001100"; elsif std_match(input, "011") then next_state <= s10001000011001; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "001100"; end if; when s00000010010000 => if std_match(input, "011") then next_state <= s10000001100011; output <= "001100"; elsif std_match(input, "001") then next_state <= s10000001100010; output <= "001100"; elsif std_match(input, "010") then next_state <= s10000001100001; output <= "001100"; elsif std_match(input, "000") then next_state <= s10000001100000; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "001100"; end if; when s10000001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s01001001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s01001001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s01001001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s01001001100000; output <= "100001"; end if; when s10010001100000 => if std_match(input, "010") then next_state <= s00001010010001; output <= "100001"; elsif std_match(input, "000") then next_state <= s00001010010000; output <= "100001"; elsif std_match(input, "011") then next_state <= s00001010010011; output <= "100001"; elsif std_match(input, "001") then next_state <= s00001010010010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000010010000; output <= "100001"; end if; when s00011001100000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s10011001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10011001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10011001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s10011001100010; output <= "100001"; end if; when s10011001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s00000001100001; output <= "100001"; elsif std_match(input, "011") then next_state <= s00000001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s00000001100011; output <= "100001"; end if; when s00000001100001 => if std_match(input, "010") then next_state <= s10001001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s10001001100001; output <= "100001"; elsif std_match(input, "011") then next_state <= s10001001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s10001001100011; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10001001100000 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "100001"; elsif std_match(input, "001") then next_state <= s01001000011010; output <= "100001"; elsif std_match(input, "011") then next_state <= s01001000011011; output <= "100001"; elsif std_match(input, "010") then next_state <= s01001000011001; output <= "100001"; elsif std_match(input, "000") then next_state <= s01001000011000; output <= "100001"; end if; when s10001001100001 => if std_match(input, "000") then next_state <= s01000000011001; output <= "100001"; elsif std_match(input, "010") then next_state <= s01000000011000; output <= "100001"; elsif std_match(input, "011") then next_state <= s01000000011010; output <= "100001"; elsif std_match(input, "001") then next_state <= s01000000011011; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "100001"; end if; when s10001001100010 => if std_match(input, "010") then next_state <= s01001000011011; output <= "100001"; elsif std_match(input, "000") then next_state <= s01001000011010; output <= "100001"; elsif std_match(input, "001") then next_state <= s01001000011000; output <= "100001"; elsif std_match(input, "011") then next_state <= s01001000011001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "100001"; end if; when s10001001100011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "100001"; elsif std_match(input, "000") then next_state <= s01000000011011; output <= "100001"; elsif std_match(input, "010") then next_state <= s01000000011010; output <= "100001"; elsif std_match(input, "011") then next_state <= s01000000011000; output <= "100001"; elsif std_match(input, "001") then next_state <= s01000000011001; output <= "100001"; end if; when s00000001100010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s10000001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s10000001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s10000001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s10000001100000; output <= "100001"; end if; when s00000001100011 => if std_match(input, "011") then next_state <= s10001001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s10001001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10001001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s10001001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10011001100000 => if std_match(input, "1-1") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s00000001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s00000001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s00000001100001; output <= "100001"; elsif std_match(input, "110") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "-00") then next_state <= s00000001100000; output <= "100001"; end if; when s10011001100011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00000001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s00000001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s00000001100001; output <= "100001"; elsif std_match(input, "011") then next_state <= s00000001100000; output <= "100001"; end if; when s10011001100010 => if std_match(input, "000") then next_state <= s00000001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s00000001100011; output <= "100001"; elsif std_match(input, "1-0") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "1-1") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s00000001100001; output <= "100001"; end if; when s00011001100011 => if std_match(input, "011") then next_state <= s10010001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s10010001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10010001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s10010001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00011001100010 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10011001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s10011001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s10011001100010; output <= "100001"; elsif std_match(input, "010") then next_state <= s10011001100011; output <= "100001"; end if; when s11101001100001 => if std_match(input, "000") then next_state <= s00010001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s00010001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s00010001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s00010001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s00010001100001 => if std_match(input, "1--") then next_state <= s00000010010000; output <= "100001"; elsif std_match(input, "010") then next_state <= s10011010010000; output <= "100001"; elsif std_match(input, "000") then next_state <= s10011010010001; output <= "100001"; elsif std_match(input, "011") then next_state <= s10011010010010; output <= "100001"; elsif std_match(input, "001") then next_state <= s10011010010011; output <= "100001"; end if; when s10011010010000 => if std_match(input, "011") then next_state <= s00000010010011; output <= "001100"; elsif std_match(input, "001") then next_state <= s00000010010010; output <= "001100"; elsif std_match(input, "1-1") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "1-0") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "010") then next_state <= s00000010010001; output <= "001100"; elsif std_match(input, "000") then next_state <= s00000010010000; output <= "001100"; end if; when s00000010010011 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "001100"; elsif std_match(input, "011") then next_state <= s10001001100000; output <= "001100"; elsif std_match(input, "001") then next_state <= s10001001100001; output <= "001100"; elsif std_match(input, "010") then next_state <= s10001001100010; output <= "001100"; elsif std_match(input, "000") then next_state <= s10001001100011; output <= "001100"; end if; when s00000010010010 => if std_match(input, "011") then next_state <= s10000001100001; output <= "001100"; elsif std_match(input, "001") then next_state <= s10000001100000; output <= "001100"; elsif std_match(input, "000") then next_state <= s10000001100010; output <= "001100"; elsif std_match(input, "010") then next_state <= s10000001100011; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "001100"; end if; when s00000010010001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "001100"; elsif std_match(input, "000") then next_state <= s10001001100001; output <= "001100"; elsif std_match(input, "010") then next_state <= s10001001100000; output <= "001100"; elsif std_match(input, "011") then next_state <= s10001001100010; output <= "001100"; elsif std_match(input, "001") then next_state <= s10001001100011; output <= "001100"; end if; when s10011010010001 => if std_match(input, "001") then next_state <= s00000010010011; output <= "001100"; elsif std_match(input, "011") then next_state <= s00000010010010; output <= "001100"; elsif std_match(input, "1-1") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "000") then next_state <= s00000010010001; output <= "001100"; elsif std_match(input, "100") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "-10") then next_state <= s00000010010000; output <= "001100"; end if; when s10011010010010 => if std_match(input, "000") then next_state <= s00000010010010; output <= "001100"; elsif std_match(input, "010") then next_state <= s00000010010011; output <= "001100"; elsif std_match(input, "1-0") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "111") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "011") then next_state <= s00000010010001; output <= "001100"; elsif std_match(input, "-01") then next_state <= s00000010010000; output <= "001100"; end if; when s10011010010011 => if std_match(input, "1--") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "011") then next_state <= s00000010010000; output <= "001100"; elsif std_match(input, "001") then next_state <= s00000010010001; output <= "001100"; elsif std_match(input, "000") then next_state <= s00000010010011; output <= "001100"; elsif std_match(input, "010") then next_state <= s00000010010010; output <= "001100"; end if; when s00010001100000 => if std_match(input, "011") then next_state <= s10010010010011; output <= "100001"; elsif std_match(input, "001") then next_state <= s10010010010010; output <= "100001"; elsif std_match(input, "000") then next_state <= s10010010010000; output <= "100001"; elsif std_match(input, "010") then next_state <= s10010010010001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000010010000; output <= "100001"; end if; when s10010010010011 => if std_match(input, "000") then next_state <= s00001100000011; output <= "001100"; elsif std_match(input, "010") then next_state <= s00001100000010; output <= "001100"; elsif std_match(input, "011") then next_state <= s00001100000000; output <= "001100"; elsif std_match(input, "001") then next_state <= s00001100000001; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "001100"; end if; when s10010010010010 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "001100"; elsif std_match(input, "001") then next_state <= s00001100000000; output <= "001100"; elsif std_match(input, "011") then next_state <= s00001100000001; output <= "001100"; elsif std_match(input, "000") then next_state <= s00001100000010; output <= "001100"; elsif std_match(input, "010") then next_state <= s00001100000011; output <= "001100"; end if; when s10010010010000 => if std_match(input, "010") then next_state <= s00001010010001; output <= "001100"; elsif std_match(input, "000") then next_state <= s00001010010000; output <= "001100"; elsif std_match(input, "011") then next_state <= s00001010010011; output <= "001100"; elsif std_match(input, "001") then next_state <= s00001010010010; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000010010000; output <= "001100"; end if; when s10010010010001 => if std_match(input, "010") then next_state <= s00001010010000; output <= "001100"; elsif std_match(input, "000") then next_state <= s00001010010001; output <= "001100"; elsif std_match(input, "011") then next_state <= s00001010010010; output <= "001100"; elsif std_match(input, "001") then next_state <= s00001010010011; output <= "001100"; elsif std_match(input, "1--") then next_state <= s00000010010000; output <= "001100"; end if; when s00010001100011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "100001"; elsif std_match(input, "011") then next_state <= s10011100011000; output <= "100001"; elsif std_match(input, "001") then next_state <= s10011100011001; output <= "100001"; elsif std_match(input, "000") then next_state <= s10011100011011; output <= "100001"; elsif std_match(input, "010") then next_state <= s10011100011010; output <= "100001"; end if; when s10011100011000 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00000100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s00000100000011; output <= "010100"; elsif std_match(input, "000") then next_state <= s00000100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00000100000001; output <= "010100"; end if; when s00000100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10000100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s10000100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s10000100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s10000100011000; output <= "000000"; end if; when s00000100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10001100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10001100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10001100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s10001100011010; output <= "000000"; end if; when s00000100000000 => if std_match(input, "001") then next_state <= s10000100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s10000100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s10000100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10000100011001; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s00000100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10001100011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s10001100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s10001100011011; output <= "000000"; elsif std_match(input, "011") then next_state <= s10001100011010; output <= "000000"; end if; when s10011100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00000100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s00000100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s00000100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00000100000001; output <= "010100"; end if; when s10011100011011 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00000100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00000100000001; output <= "010100"; elsif std_match(input, "010") then next_state <= s00000100000010; output <= "010100"; elsif std_match(input, "000") then next_state <= s00000100000011; output <= "010100"; end if; when s10011100011010 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00000100000011; output <= "010100"; elsif std_match(input, "000") then next_state <= s00000100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s00000100000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00000100000001; output <= "010100"; end if; when s11101001100000 => if std_match(input, "011") then next_state <= s00011001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s00011001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s00011001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s00011001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01101001100001 => if std_match(input, "000") then next_state <= s11100001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s11100001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s11100001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s11100001100011; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s11100001100001 => if std_match(input, "001") then next_state <= s00011000100111; output <= "100001"; elsif std_match(input, "011") then next_state <= s00011000100110; output <= "100001"; elsif std_match(input, "010") then next_state <= s00011000100100; output <= "100001"; elsif std_match(input, "000") then next_state <= s00011000100101; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; end if; when s00011000100111 => if std_match(input, "000") then next_state <= s10010000100111; output <= "100010"; elsif std_match(input, "010") then next_state <= s10010000100110; output <= "100010"; elsif std_match(input, "001") then next_state <= s10010000100101; output <= "100010"; elsif std_match(input, "011") then next_state <= s10010000100100; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; end if; when s10010000100111 => if std_match(input, "000") then next_state <= s00001100000011; output <= "100010"; elsif std_match(input, "010") then next_state <= s00001100000010; output <= "100010"; elsif std_match(input, "001") then next_state <= s00001100000001; output <= "100010"; elsif std_match(input, "011") then next_state <= s00001100000000; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "100010"; end if; when s10010000100110 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "100010"; elsif std_match(input, "000") then next_state <= s00001100000010; output <= "100010"; elsif std_match(input, "010") then next_state <= s00001100000011; output <= "100010"; elsif std_match(input, "001") then next_state <= s00001100000000; output <= "100010"; elsif std_match(input, "011") then next_state <= s00001100000001; output <= "100010"; end if; when s10010000100101 => if std_match(input, "010") then next_state <= s00001010010000; output <= "100010"; elsif std_match(input, "000") then next_state <= s00001010010001; output <= "100010"; elsif std_match(input, "001") then next_state <= s00001010010011; output <= "100010"; elsif std_match(input, "011") then next_state <= s00001010010010; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000010010000; output <= "100010"; end if; when s10010000100100 => if std_match(input, "1--") then next_state <= s00000010010000; output <= "100010"; elsif std_match(input, "000") then next_state <= s00001010010000; output <= "100010"; elsif std_match(input, "010") then next_state <= s00001010010001; output <= "100010"; elsif std_match(input, "011") then next_state <= s00001010010011; output <= "100010"; elsif std_match(input, "001") then next_state <= s00001010010010; output <= "100010"; end if; when s00011000100100 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "001") then next_state <= s10011000100110; output <= "100010"; elsif std_match(input, "011") then next_state <= s10011000100111; output <= "100010"; elsif std_match(input, "010") then next_state <= s10011000100101; output <= "100010"; elsif std_match(input, "000") then next_state <= s10011000100100; output <= "100010"; end if; when s10011000100110 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "001") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s00000000100101; output <= "100010"; elsif std_match(input, "000") then next_state <= s00000000100110; output <= "100010"; elsif std_match(input, "010") then next_state <= s00000000100111; output <= "100010"; end if; when s00000000100101 => if std_match(input, "001") then next_state <= s10001001100011; output <= "100010"; elsif std_match(input, "011") then next_state <= s10001001100010; output <= "100010"; elsif std_match(input, "010") then next_state <= s10001001100000; output <= "100010"; elsif std_match(input, "000") then next_state <= s10001001100001; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100010"; end if; when s00000000100110 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100010"; elsif std_match(input, "010") then next_state <= s10000001100011; output <= "100010"; elsif std_match(input, "000") then next_state <= s10000001100010; output <= "100010"; elsif std_match(input, "011") then next_state <= s10000001100001; output <= "100010"; elsif std_match(input, "001") then next_state <= s10000001100000; output <= "100010"; end if; when s00000000100111 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100010"; elsif std_match(input, "001") then next_state <= s10001001100001; output <= "100010"; elsif std_match(input, "011") then next_state <= s10001001100000; output <= "100010"; elsif std_match(input, "010") then next_state <= s10001001100010; output <= "100010"; elsif std_match(input, "000") then next_state <= s10001001100011; output <= "100010"; end if; when s10011000100111 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "000") then next_state <= s00000000100111; output <= "100010"; elsif std_match(input, "010") then next_state <= s00000000100110; output <= "100010"; elsif std_match(input, "001") then next_state <= s00000000100101; output <= "100010"; elsif std_match(input, "011") then next_state <= s00000000100100; output <= "100010"; end if; when s10011000100101 => if std_match(input, "011") then next_state <= s00000000100110; output <= "100010"; elsif std_match(input, "001") then next_state <= s00000000100111; output <= "100010"; elsif std_match(input, "1-1") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "1-0") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "000") then next_state <= s00000000100101; output <= "100010"; elsif std_match(input, "010") then next_state <= s00000000100100; output <= "100010"; end if; when s00011000100101 => if std_match(input, "000") then next_state <= s10010000100101; output <= "100010"; elsif std_match(input, "010") then next_state <= s10010000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s10010000100110; output <= "100010"; elsif std_match(input, "001") then next_state <= s10010000100111; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; end if; when s11100001100000 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; elsif std_match(input, "000") then next_state <= s00010000100100; output <= "100001"; elsif std_match(input, "010") then next_state <= s00010000100101; output <= "100001"; elsif std_match(input, "001") then next_state <= s00010000100110; output <= "100001"; elsif std_match(input, "011") then next_state <= s00010000100111; output <= "100001"; end if; when s00010000100100 => if std_match(input, "1--") then next_state <= s00000010010000; output <= "100010"; elsif std_match(input, "001") then next_state <= s10010010010010; output <= "100010"; elsif std_match(input, "011") then next_state <= s10010010010011; output <= "100010"; elsif std_match(input, "000") then next_state <= s10010010010000; output <= "100010"; elsif std_match(input, "010") then next_state <= s10010010010001; output <= "100010"; end if; when s00010000100101 => if std_match(input, "1--") then next_state <= s00000010010000; output <= "100010"; elsif std_match(input, "010") then next_state <= s10011010010000; output <= "100010"; elsif std_match(input, "000") then next_state <= s10011010010001; output <= "100010"; elsif std_match(input, "001") then next_state <= s10011010010011; output <= "100010"; elsif std_match(input, "011") then next_state <= s10011010010010; output <= "100010"; end if; when s00010000100110 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "100010"; elsif std_match(input, "001") then next_state <= s10010100011000; output <= "100010"; elsif std_match(input, "011") then next_state <= s10010100011001; output <= "100010"; elsif std_match(input, "010") then next_state <= s10010100011011; output <= "100010"; elsif std_match(input, "000") then next_state <= s10010100011010; output <= "100010"; end if; when s10010100011000 => if std_match(input, "010") then next_state <= s00001100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s00001100000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00001100000011; output <= "010100"; elsif std_match(input, "001") then next_state <= s00001100000010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s10010100011001 => if std_match(input, "010") then next_state <= s00001100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00001100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s00001100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s00001100000010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s10010100011011 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00001100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s00001100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s00001100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00001100000001; output <= "010100"; end if; when s00010000100111 => if std_match(input, "011") then next_state <= s10011100011000; output <= "100010"; elsif std_match(input, "001") then next_state <= s10011100011001; output <= "100010"; elsif std_match(input, "010") then next_state <= s10011100011010; output <= "100010"; elsif std_match(input, "000") then next_state <= s10011100011011; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "100010"; end if; when s11100001100010 => if std_match(input, "001") then next_state <= s00010000100100; output <= "100001"; elsif std_match(input, "011") then next_state <= s00010000100101; output <= "100001"; elsif std_match(input, "010") then next_state <= s00010000100111; output <= "100001"; elsif std_match(input, "000") then next_state <= s00010000100110; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; end if; when s11100001100011 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; elsif std_match(input, "001") then next_state <= s00011000100101; output <= "100001"; elsif std_match(input, "011") then next_state <= s00011000100100; output <= "100001"; elsif std_match(input, "000") then next_state <= s00011000100111; output <= "100001"; elsif std_match(input, "010") then next_state <= s00011000100110; output <= "100001"; end if; when s01101001100011 => if std_match(input, "000") then next_state <= s11100001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s11100001100010; output <= "100001"; elsif std_match(input, "011") then next_state <= s11100001100000; output <= "100001"; elsif std_match(input, "001") then next_state <= s11100001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10100001100000 => if std_match(input, "011") then next_state <= s01100001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s01100001100010; output <= "100001"; elsif std_match(input, "000") then next_state <= s01100001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s01100001100001; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s01100001100011 => if std_match(input, "010") then next_state <= s11101000100110; output <= "100001"; elsif std_match(input, "000") then next_state <= s11101000100111; output <= "100001"; elsif std_match(input, "011") then next_state <= s11101000100100; output <= "100001"; elsif std_match(input, "001") then next_state <= s11101000100101; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; end if; when s11101000100111 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "010") then next_state <= s00010000100110; output <= "100010"; elsif std_match(input, "000") then next_state <= s00010000100111; output <= "100010"; elsif std_match(input, "001") then next_state <= s00010000100101; output <= "100010"; elsif std_match(input, "011") then next_state <= s00010000100100; output <= "100010"; end if; when s11101000100100 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "000") then next_state <= s00011000100100; output <= "100010"; elsif std_match(input, "010") then next_state <= s00011000100101; output <= "100010"; elsif std_match(input, "011") then next_state <= s00011000100111; output <= "100010"; elsif std_match(input, "001") then next_state <= s00011000100110; output <= "100010"; end if; when s11101000100101 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s00010000100110; output <= "100010"; elsif std_match(input, "001") then next_state <= s00010000100111; output <= "100010"; elsif std_match(input, "000") then next_state <= s00010000100101; output <= "100010"; elsif std_match(input, "010") then next_state <= s00010000100100; output <= "100010"; end if; when s01100001100010 => if std_match(input, "010") then next_state <= s11100000100111; output <= "100001"; elsif std_match(input, "000") then next_state <= s11100000100110; output <= "100001"; elsif std_match(input, "001") then next_state <= s11100000100100; output <= "100001"; elsif std_match(input, "011") then next_state <= s11100000100101; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; end if; when s11100000100111 => if std_match(input, "011") then next_state <= s00011000100100; output <= "100010"; elsif std_match(input, "001") then next_state <= s00011000100101; output <= "100010"; elsif std_match(input, "010") then next_state <= s00011000100110; output <= "100010"; elsif std_match(input, "000") then next_state <= s00011000100111; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; end if; when s11100000100110 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "000") then next_state <= s00010000100110; output <= "100010"; elsif std_match(input, "010") then next_state <= s00010000100111; output <= "100010"; elsif std_match(input, "001") then next_state <= s00010000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s00010000100101; output <= "100010"; end if; when s11100000100100 => if std_match(input, "011") then next_state <= s00010000100111; output <= "100010"; elsif std_match(input, "001") then next_state <= s00010000100110; output <= "100010"; elsif std_match(input, "000") then next_state <= s00010000100100; output <= "100010"; elsif std_match(input, "010") then next_state <= s00010000100101; output <= "100010"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; end if; when s11100000100101 => if std_match(input, "1--") then next_state <= s00000000100100; output <= "100010"; elsif std_match(input, "011") then next_state <= s00011000100110; output <= "100010"; elsif std_match(input, "001") then next_state <= s00011000100111; output <= "100010"; elsif std_match(input, "000") then next_state <= s00011000100101; output <= "100010"; elsif std_match(input, "010") then next_state <= s00011000100100; output <= "100010"; end if; when s01100001100000 => if std_match(input, "001") then next_state <= s11100000100110; output <= "100001"; elsif std_match(input, "011") then next_state <= s11100000100111; output <= "100001"; elsif std_match(input, "000") then next_state <= s11100000100100; output <= "100001"; elsif std_match(input, "010") then next_state <= s11100000100101; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000000100100; output <= "100001"; end if; when s10100001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s01101001100010; output <= "100001"; elsif std_match(input, "001") then next_state <= s01101001100011; output <= "100001"; elsif std_match(input, "000") then next_state <= s01101001100001; output <= "100001"; elsif std_match(input, "010") then next_state <= s01101001100000; output <= "100001"; end if; when s10101001100011 => if std_match(input, "001") then next_state <= s01100001100001; output <= "100001"; elsif std_match(input, "011") then next_state <= s01100001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s01100001100011; output <= "100001"; elsif std_match(input, "010") then next_state <= s01100001100010; output <= "100001"; elsif std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; end if; when s10101001100000 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s01101001100001; output <= "100001"; elsif std_match(input, "000") then next_state <= s01101001100000; output <= "100001"; elsif std_match(input, "011") then next_state <= s01101001100011; output <= "100001"; elsif std_match(input, "001") then next_state <= s01101001100010; output <= "100001"; end if; when s10101001100001 => if std_match(input, "1--") then next_state <= s00000001100000; output <= "100001"; elsif std_match(input, "010") then next_state <= s01100001100000; output <= "100001"; elsif std_match(input, "000") then next_state <= s01100001100001; output <= "100001"; elsif std_match(input, "001") then next_state <= s01100001100011; output <= "100001"; elsif std_match(input, "011") then next_state <= s01100001100010; output <= "100001"; end if; when s10100100011000 => if std_match(input, "001") then next_state <= s01100100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s01100100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s01100100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s01100100000000; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s01100100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11100100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s11100100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s11100100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s11100100011000; output <= "000000"; end if; when s11100100011011 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00011100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s00011100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00011100000010; output <= "010100"; elsif std_match(input, "000") then next_state <= s00011100000011; output <= "010100"; end if; when s00011100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10010100011011; output <= "000000"; elsif std_match(input, "011") then next_state <= s10010100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s10010100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10010100011000; output <= "000000"; end if; when s00011100000000 => if std_match(input, "000") then next_state <= s10011100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10011100011001; output <= "000000"; elsif std_match(input, "001") then next_state <= s10011100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s10011100011011; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s00011100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s10011100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s10011100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s10011100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10011100011001; output <= "000000"; end if; when s00011100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10010100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s10010100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10010100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s10010100011010; output <= "000000"; end if; when s11100100011010 => if std_match(input, "001") then next_state <= s00010100000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00010100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s00010100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s00010100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s00010100000000 => if std_match(input, "010") then next_state <= s10010100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10010100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10010100011011; output <= "000000"; elsif std_match(input, "001") then next_state <= s10010100011010; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s00010100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10011100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s10011100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s10011100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s10011100011000; output <= "000000"; end if; when s00010100000010 => if std_match(input, "001") then next_state <= s10010100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s10010100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s10010100011010; output <= "000000"; elsif std_match(input, "010") then next_state <= s10010100011011; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s00010100000011 => if std_match(input, "000") then next_state <= s10011100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s10011100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s10011100011001; output <= "000000"; elsif std_match(input, "011") then next_state <= s10011100011000; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s11100100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00011100000001; output <= "010100"; elsif std_match(input, "010") then next_state <= s00011100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00011100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s00011100000010; output <= "010100"; end if; when s11100100011000 => if std_match(input, "010") then next_state <= s00010100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s00010100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00010100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s00010100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s01100100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11101100011001; output <= "000000"; elsif std_match(input, "011") then next_state <= s11101100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11101100011010; output <= "000000"; elsif std_match(input, "000") then next_state <= s11101100011011; output <= "000000"; end if; when s11101100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s00010100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s00010100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s00010100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00010100000001; output <= "010100"; end if; when s11101100011000 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s00011100000010; output <= "010100"; elsif std_match(input, "011") then next_state <= s00011100000011; output <= "010100"; elsif std_match(input, "000") then next_state <= s00011100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00011100000001; output <= "010100"; end if; when s11101100011010 => if std_match(input, "011") then next_state <= s00011100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s00011100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s00011100000011; output <= "010100"; elsif std_match(input, "000") then next_state <= s00011100000010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s11101100011011 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s00010100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s00010100000010; output <= "010100"; elsif std_match(input, "001") then next_state <= s00010100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s00010100000000; output <= "010100"; end if; when s01100100000001 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s11101100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s11101100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11101100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s11101100011011; output <= "000000"; end if; when s01100100000000 => if std_match(input, "000") then next_state <= s11100100011000; output <= "000000"; elsif std_match(input, "010") then next_state <= s11100100011001; output <= "000000"; elsif std_match(input, "011") then next_state <= s11100100011011; output <= "000000"; elsif std_match(input, "001") then next_state <= s11100100011010; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s10100100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01101100000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01101100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s01101100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s01101100000010; output <= "010100"; end if; when s01101100000000 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11101100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s11101100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s11101100011001; output <= "000000"; elsif std_match(input, "000") then next_state <= s11101100011000; output <= "000000"; end if; when s01101100000001 => if std_match(input, "011") then next_state <= s11100100011010; output <= "000000"; elsif std_match(input, "001") then next_state <= s11100100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s11100100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s11100100011000; output <= "000000"; elsif std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; end if; when s01101100000011 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "000") then next_state <= s11100100011011; output <= "000000"; elsif std_match(input, "010") then next_state <= s11100100011010; output <= "000000"; elsif std_match(input, "011") then next_state <= s11100100011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11100100011001; output <= "000000"; end if; when s01101100000010 => if std_match(input, "1--") then next_state <= s00000000011000; output <= "000000"; elsif std_match(input, "001") then next_state <= s11101100011000; output <= "000000"; elsif std_match(input, "011") then next_state <= s11101100011001; output <= "000000"; elsif std_match(input, "010") then next_state <= s11101100011011; output <= "000000"; elsif std_match(input, "000") then next_state <= s11101100011010; output <= "000000"; end if; when s10100100011011 => if std_match(input, "011") then next_state <= s01101100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s01101100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s01101100000011; output <= "010100"; elsif std_match(input, "010") then next_state <= s01101100000010; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s10100100011010 => if std_match(input, "001") then next_state <= s01100100000000; output <= "010100"; elsif std_match(input, "011") then next_state <= s01100100000001; output <= "010100"; elsif std_match(input, "000") then next_state <= s01100100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01100100000011; output <= "010100"; elsif std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; end if; when s10101100011000 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01101100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01101100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01101100000011; output <= "010100"; elsif std_match(input, "001") then next_state <= s01101100000010; output <= "010100"; end if; when s10101100011001 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01100100000001; output <= "010100"; elsif std_match(input, "010") then next_state <= s01100100000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s01100100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s01100100000010; output <= "010100"; end if; when s10101100011010 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "000") then next_state <= s01101100000010; output <= "010100"; elsif std_match(input, "010") then next_state <= s01101100000011; output <= "010100"; elsif std_match(input, "011") then next_state <= s01101100000001; output <= "010100"; elsif std_match(input, "001") then next_state <= s01101100000000; output <= "010100"; end if; when s10101100011011 => if std_match(input, "1--") then next_state <= s00000000000000; output <= "010100"; elsif std_match(input, "001") then next_state <= s01100100000001; output <= "010100"; elsif std_match(input, "011") then next_state <= s01100100000000; output <= "010100"; elsif std_match(input, "010") then next_state <= s01100100000010; output <= "010100"; elsif std_match(input, "000") then next_state <= s01100100000011; output <= "010100"; end if; when others => next_state <= "--------"; output <= "------"; end case; end process; end behaviour;
-------------------------------------------------------------------------------- -- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. -- -- -- -- This file contains confidential and proprietary information -- -- of Xilinx, Inc. and is protected under U.S. and -- -- international copyright and other intellectual property -- -- laws. -- -- -- -- DISCLAIMER -- -- This disclaimer is not a license and does not grant any -- -- rights to the materials distributed herewith. Except as -- -- otherwise provided in a valid license issued to you by -- -- Xilinx, and to the maximum extent permitted by applicable -- -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- -- (2) Xilinx shall not be liable (whether in contract or tort, -- -- including negligence, or under any other theory of -- -- liability) for any loss or damage of any kind or nature -- -- related to, arising under or in connection with these -- -- materials, including for any direct, or any indirect, -- -- special, incidental, or consequential loss or damage -- -- (including loss of data, profits, goodwill, or any type of -- -- loss or damage suffered as a result of any action brought -- -- by a third party) even if such damage or loss was -- -- reasonably foreseeable or Xilinx had been advised of the -- -- possibility of the same. -- -- -- -- CRITICAL APPLICATIONS -- -- Xilinx products are not designed or intended to be fail- -- -- safe, or for use in any application requiring fail-safe -- -- performance, such as life-support or safety devices or -- -- systems, Class III medical devices, nuclear facilities, -- -- applications related to the deployment of airbags, or any -- -- other applications that could lead to death, personal -- -- injury, or severe property or environmental damage -- -- (individually and collectively, "Critical -- -- Applications"). Customer assumes the sole risk and -- -- liability of any use of Xilinx products in Critical -- -- Applications, subject only to applicable laws and -- -- regulations governing limitations on product liability. -- -- -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- Generated from component ID: xilinx.com:ip:fir_compiler:5.0 -- You must compile the wrapper file fir_filter.vhd when simulating -- the core, fir_filter. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY fir_filter IS port ( sclr: in std_logic; clk: in std_logic; nd: in std_logic; rfd: out std_logic; rdy: out std_logic; data_valid: out std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(40 downto 0)); END fir_filter; ARCHITECTURE fir_filter_a OF fir_filter IS -- synthesis translate_off component wrapped_fir_filter port ( sclr: in std_logic; clk: in std_logic; nd: in std_logic; rfd: out std_logic; rdy: out std_logic; data_valid: out std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(40 downto 0)); end component; -- Configuration specification for all : wrapped_fir_filter use entity XilinxCoreLib.fir_compiler_v5_0(behavioral) generic map( coef_width => 16, c_has_sclr => 1, datapath_memtype => 0, c_component_name => "fir_filter", c_family => "spartan6", round_mode => 0, output_width => 41, sclr_deterministic => 1, col_config => "1", coef_memtype => 0, clock_freq => 6000000, symmetry => 1, col_pipe_len => 4, c_latency => 20, chan_sel_width => 1, c_xdevicefamily => "spartan6", c_has_nd => 1, allow_approx => 0, num_channels => 1, data_width => 25, filter_sel_width => 1, sample_freq => 150000, coef_reload => 0, neg_symmetry => 0, filter_type => 1, data_type => 0, accum_width => 41, rate_change_type => 0, ipbuff_memtype => 0, c_optimization => 1, output_reg => 1, data_memtype => 0, c_has_data_valid => 1, decim_rate => 2, coef_type => 0, filter_arch => 1, interp_rate => 1, num_taps => 37, c_mem_init_file => "fir_filter.mif", zero_packing_factor => 1, num_paths => 1, num_filts => 1, col_mode => 0, c_has_ce => 0, chan_in_adv => 0, opbuff_memtype => 0, odd_symmetry => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fir_filter port map ( sclr => sclr, clk => clk, nd => nd, rfd => rfd, rdy => rdy, data_valid => data_valid, din => din, dout => dout); -- synthesis translate_on END fir_filter_a;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Apr 18 23:18:55 2017 -- Host : DESKTOP-I9J3TQJ running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- X:/final_project_sim/lzw/lzw.srcs/sources_1/ip/bram_1024_3/bram_1024_3_stub.vhdl -- Design : bram_1024_3 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity bram_1024_3 is Port ( clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 9 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ) ); end bram_1024_3; architecture stub of bram_1024_3 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,ena,wea[0:0],addra[9:0],dina[19:0],douta[19:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4"; begin end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Apr 18 23:18:55 2017 -- Host : DESKTOP-I9J3TQJ running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- X:/final_project_sim/lzw/lzw.srcs/sources_1/ip/bram_1024_3/bram_1024_3_stub.vhdl -- Design : bram_1024_3 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity bram_1024_3 is Port ( clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 9 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ) ); end bram_1024_3; architecture stub of bram_1024_3 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,ena,wea[0:0],addra[9:0],dina[19:0],douta[19:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4"; begin end;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- PROJECT: PIPE MANIA - GAME FOR FPGA -------------------------------------------------------------------------------- -- NAME: GAME_CTRL -- AUTHORS: Tomáš Bannert <[email protected]> -- Jakub Cabal <[email protected]> -- LICENSE: The MIT License, please read LICENSE file -- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity GAME_CTRL is Port ( CLK : in std_logic; -- clock RST : in std_logic; -- reset WIN : in std_logic; -- vyhra LOSE : in std_logic; -- prohra KEY_W : in std_logic; -- klavesa W KEY_S : in std_logic; -- klavesa S KEY_A : in std_logic; -- klavesa A KEY_D : in std_logic; -- klavesa D GEN5_EN : out std_logic; -- vygenerovani pocatecnich komponent SCREEN_CODE : out std_logic_vector(2 downto 0); -- game screen code GAME_ON : out std_logic; -- in game or in screen WATER : out std_logic_vector(7 downto 0) -- voda co tece v nadrzi pred zacatkem hry ); end GAME_CTRL; architecture Behavioral of GAME_CTRL is -- Debug mode for skiping levels constant DEBUG : boolean := False; type state is (level1_sc, level1, level2_sc, level2, level3_sc, level3, level4_sc, level4, win_sc, lose_sc); signal present_st : state; signal next_st : state; signal water_speed_counter : unsigned(24 downto 0); signal water_in_progress : unsigned(7 downto 0); signal game_en : std_logic; signal next_part_of_water : std_logic; begin -- Pametova cast stavoveho automatu process (CLK, RST) begin if (RST = '1') then present_st <= level1_sc; elsif (rising_edge(CLK)) then present_st <= next_st; end if; end process; -- Rozhodovaci cast stavoveho automatu process (present_st, KEY_W, KEY_S, KEY_A, KEY_D, WIN, LOSE) begin case present_st is when level1_sc => --uvodni obrazovka if (KEY_S = '1') then next_st <= level1; elsif (KEY_A = '1' and DEBUG = True) then next_st <= level2_sc; elsif (KEY_W = '1' and DEBUG = True) then next_st <= level3_sc; elsif (KEY_D = '1' and DEBUG = True) then next_st <= level4_sc; else next_st <= level1_sc; end if; when level1 => --level 1 if (WIN = '1') then next_st <= level2_sc; elsif (LOSE = '1') then next_st <= lose_sc; else next_st <= level1; end if; when level2_sc => --level 2 obrazovka if (KEY_S = '1') then next_st <= level2; else next_st <= level2_sc; end if; when level2 => --level 2 if (WIN = '1') then next_st <= level3_sc; elsif (LOSE = '1') then next_st <= lose_sc; else next_st <= level2; end if; when level3_sc => --level 3 obrazovka if (KEY_S = '1') then next_st <= level3; else next_st <= level3_sc; end if; when level3 => --level 3 if (WIN = '1') then next_st <= level4_sc; elsif (LOSE = '1') then next_st <= lose_sc; else next_st <= level3; end if; when level4_sc => --level 4 obrazovka if (KEY_S = '1') then next_st <= level4; else next_st <= level4_sc; end if; when level4 => --level 4 if (WIN = '1') then next_st <= win_sc; elsif (LOSE = '1') then next_st <= lose_sc; else next_st <= level4; end if; when win_sc => --win if (KEY_S = '1') then next_st <= level1_sc; else next_st <= win_sc; end if; when lose_sc => --lose if (KEY_S = '1') then next_st <= level1_sc; else next_st <= lose_sc; end if; when others => next_st <= level1_sc; end case; end process; -- Vystupni cast stavoveho automatu process (present_st) begin case present_st is when level1_sc => -- start screen GEN5_EN <= '1'; SCREEN_CODE <= "000"; game_en <= '0'; when level1 => -- lvl 1 GEN5_EN <= '0'; SCREEN_CODE <= "001"; game_en <= '1'; when level2_sc => -- lvl 2 screen GEN5_EN <= '1'; SCREEN_CODE <= "100"; game_en <= '0'; when level2 => -- lvl 2 GEN5_EN <= '0'; SCREEN_CODE <= "001"; game_en <= '1'; when level3_sc => -- lvl 3 screen GEN5_EN <= '1'; SCREEN_CODE <= "101"; game_en <= '0'; when level3 => -- lvl 3 GEN5_EN <= '0'; SCREEN_CODE <= "001"; game_en <= '1'; when level4_sc => -- lvl 4 screen GEN5_EN <= '1'; SCREEN_CODE <= "110"; game_en <= '0'; when level4 => -- lvl 4 GEN5_EN <= '0'; SCREEN_CODE <= "001"; game_en <= '1'; when win_sc => -- win screen GEN5_EN <= '0'; SCREEN_CODE <= "010"; game_en <= '0'; when lose_sc => -- game over screen GEN5_EN <= '0'; SCREEN_CODE <= "011"; game_en <= '0'; when others => GEN5_EN <= '0'; SCREEN_CODE <= "000"; game_en <= '0'; end case; end process; process (CLK, RST) begin if (RST = '1') then water_speed_counter <= (others=>'0'); next_part_of_water <= '0'; elsif (rising_edge(CLK)) then if (game_en = '1') then if (water_speed_counter < 10000000) then -- uprav, pokud chces jinou rychlost. max 1048575 water_speed_counter <= water_speed_counter + 1; next_part_of_water <= '0'; else water_speed_counter <= (others=>'0'); next_part_of_water <= '1'; end if; else water_speed_counter <= (others=>'0'); next_part_of_water <= '0'; end if; end if; end process; process (CLK, RST) begin if (RST = '1') then water_in_progress <= (others=>'0'); elsif (rising_edge(CLK)) then if (game_en = '1') then if (next_part_of_water = '1') then if (water_in_progress < 255) then water_in_progress <= water_in_progress + 1; else water_in_progress <= to_unsigned(255,8); end if; end if; else water_in_progress <= (others=>'0'); end if; end if; end process; WATER <= std_logic_vector(water_in_progress); GAME_ON <= game_en; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.memory_types.all; use std.textio.all; package init_funcs is function read_file(data_file_name: string) return vga_memory_ptr; function chr(sl: std_logic) return character; function str(slv: std_logic_vector) return string; end package init_funcs; package body init_funcs is function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end chr; function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function read_file(data_file_name: string) return vga_memory_ptr is variable state_ptr : vga_memory_ptr; variable data_line : line; variable text_line : line; variable pixel_value: natural range 255 downto 0; file data_file : text open read_mode is data_file_name; begin state_ptr := new vga_memory; for i in vga_memory'reverse_range loop -- range would operate downto, and reverse the image! (this took 3 hours) readline(data_file, data_line); read(data_line, pixel_value); if pixel_value < 128 then state_ptr(i) := '0'; else state_ptr(i) := '1'; end if; end loop; return state_ptr; end function read_file; end package body init_funcs;
-- ------------------------------------------------------------- -- -- File Name: hdlsrc/ifft_16_bit/Complex3Multiply_block1.vhd -- Created: 2017-03-28 01:00:37 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: Complex3Multiply_block1 -- Source Path: ifft_16_bit/IFFT HDL Optimized/TWDLMULT_SDNF1_3/Complex3Multiply -- Hierarchy Level: 3 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY Complex3Multiply_block1 IS PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; din2_re_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 din2_im_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 di2_vld_dly3 : IN std_logic; twdl_3_4_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_4_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 softReset : IN std_logic; twdlXdin_4_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_4_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin2_vld : OUT std_logic ); END Complex3Multiply_block1; ARCHITECTURE rtl OF Complex3Multiply_block1 IS -- Signals SIGNAL din2_re_dly3_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din_re_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_im_dly3_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din_im_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL din_sum : signed(17 DOWNTO 0); -- sfix18 SIGNAL twdl_3_4_re_signed : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_re_reg : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_3_4_im_signed : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_im_reg : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL adder_add_cast : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL adder_add_cast_1 : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL twdl_sum : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL Complex3Multiply_din1_re_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_din1_im_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_din1_sum_pipe1 : signed(17 DOWNTO 0); -- sfix18 SIGNAL Complex3Multiply_prodOfRe_pipe1 : signed(33 DOWNTO 0); -- sfix34 SIGNAL Complex3Multiply_ProdOfIm_pipe1 : signed(33 DOWNTO 0); -- sfix34 SIGNAL Complex3Multiply_prodOfSum_pipe1 : signed(35 DOWNTO 0); -- sfix36 SIGNAL Complex3Multiply_twiddle_re_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_twiddle_im_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_twiddle_sum_pipe1 : signed(17 DOWNTO 0); -- sfix18 SIGNAL prodOfRe : signed(33 DOWNTO 0); -- sfix34_En15 SIGNAL prodOfIm : signed(33 DOWNTO 0); -- sfix34_En15 SIGNAL prodOfSum : signed(35 DOWNTO 0); -- sfix36_En15 SIGNAL din_vld_dly1 : std_logic; SIGNAL din_vld_dly2 : std_logic; SIGNAL din_vld_dly3 : std_logic; SIGNAL prod_vld : std_logic; SIGNAL Complex3Add_tmpResult_reg : signed(35 DOWNTO 0); -- sfix36 SIGNAL Complex3Add_multRes_re_reg1 : signed(34 DOWNTO 0); -- sfix35 SIGNAL Complex3Add_multRes_re_reg2 : signed(34 DOWNTO 0); -- sfix35 SIGNAL Complex3Add_multRes_im_reg : signed(36 DOWNTO 0); -- sfix37 SIGNAL Complex3Add_prod_vld_reg1 : std_logic; SIGNAL Complex3Add_prod_vld_reg2 : std_logic; SIGNAL Complex3Add_prodOfSum_reg : signed(35 DOWNTO 0); -- sfix36 SIGNAL Complex3Add_tmpResult_reg_next : signed(35 DOWNTO 0); -- sfix36_En15 SIGNAL Complex3Add_multRes_re_reg1_next : signed(34 DOWNTO 0); -- sfix35_En15 SIGNAL Complex3Add_multRes_re_reg2_next : signed(34 DOWNTO 0); -- sfix35_En15 SIGNAL Complex3Add_multRes_im_reg_next : signed(36 DOWNTO 0); -- sfix37_En15 SIGNAL Complex3Add_prod_vld_reg1_next : std_logic; SIGNAL Complex3Add_prod_vld_reg2_next : std_logic; SIGNAL Complex3Add_prodOfSum_reg_next : signed(35 DOWNTO 0); -- sfix36_En15 SIGNAL multResFP_re : signed(34 DOWNTO 0); -- sfix35_En15 SIGNAL multResFP_im : signed(36 DOWNTO 0); -- sfix37_En15 SIGNAL twdlXdin_4_re_tmp : signed(16 DOWNTO 0); -- sfix17 SIGNAL twdlXdin_4_im_tmp : signed(16 DOWNTO 0); -- sfix17 BEGIN din2_re_dly3_signed <= signed(din2_re_dly3); intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_re_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN din_re_reg <= to_signed(16#00000#, 17); ELSE din_re_reg <= din2_re_dly3_signed; END IF; END IF; END IF; END PROCESS intdelay_process; din2_im_dly3_signed <= signed(din2_im_dly3); intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_im_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN din_im_reg <= to_signed(16#00000#, 17); ELSE din_im_reg <= din2_im_dly3_signed; END IF; END IF; END IF; END PROCESS intdelay_1_process; din_sum <= resize(din_re_reg, 18) + resize(din_im_reg, 18); twdl_3_4_re_signed <= signed(twdl_3_4_re); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_re_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN twdl_re_reg <= to_signed(16#00000#, 17); ELSE twdl_re_reg <= twdl_3_4_re_signed; END IF; END IF; END IF; END PROCESS intdelay_2_process; twdl_3_4_im_signed <= signed(twdl_3_4_im); intdelay_3_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_im_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN twdl_im_reg <= to_signed(16#00000#, 17); ELSE twdl_im_reg <= twdl_3_4_im_signed; END IF; END IF; END IF; END PROCESS intdelay_3_process; adder_add_cast <= resize(twdl_re_reg, 18); adder_add_cast_1 <= resize(twdl_im_reg, 18); twdl_sum <= adder_add_cast + adder_add_cast_1; -- Complex3Multiply Complex3Multiply_process : PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN prodOfRe <= Complex3Multiply_prodOfRe_pipe1; prodOfIm <= Complex3Multiply_ProdOfIm_pipe1; prodOfSum <= Complex3Multiply_prodOfSum_pipe1; Complex3Multiply_twiddle_re_pipe1 <= twdl_re_reg; Complex3Multiply_twiddle_im_pipe1 <= twdl_im_reg; Complex3Multiply_twiddle_sum_pipe1 <= twdl_sum; Complex3Multiply_din1_re_pipe1 <= din_re_reg; Complex3Multiply_din1_im_pipe1 <= din_im_reg; Complex3Multiply_din1_sum_pipe1 <= din_sum; Complex3Multiply_prodOfRe_pipe1 <= Complex3Multiply_din1_re_pipe1 * Complex3Multiply_twiddle_re_pipe1; Complex3Multiply_ProdOfIm_pipe1 <= Complex3Multiply_din1_im_pipe1 * Complex3Multiply_twiddle_im_pipe1; Complex3Multiply_prodOfSum_pipe1 <= Complex3Multiply_din1_sum_pipe1 * Complex3Multiply_twiddle_sum_pipe1; END IF; END IF; END PROCESS Complex3Multiply_process; intdelay_4_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly1 <= di2_vld_dly3; END IF; END IF; END PROCESS intdelay_4_process; intdelay_5_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly2 <= din_vld_dly1; END IF; END IF; END PROCESS intdelay_5_process; intdelay_6_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly3 <= din_vld_dly2; END IF; END IF; END PROCESS intdelay_6_process; intdelay_7_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN prod_vld <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN prod_vld <= din_vld_dly3; END IF; END IF; END PROCESS intdelay_7_process; -- Complex3Add Complex3Add_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Complex3Add_prodOfSum_reg <= to_signed(0, 36); Complex3Add_tmpResult_reg <= to_signed(0, 36); Complex3Add_multRes_re_reg1 <= to_signed(0, 35); Complex3Add_multRes_re_reg2 <= to_signed(0, 35); Complex3Add_multRes_im_reg <= to_signed(0, 37); Complex3Add_prod_vld_reg1 <= '0'; Complex3Add_prod_vld_reg2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN Complex3Add_tmpResult_reg <= Complex3Add_tmpResult_reg_next; Complex3Add_multRes_re_reg1 <= Complex3Add_multRes_re_reg1_next; Complex3Add_multRes_re_reg2 <= Complex3Add_multRes_re_reg2_next; Complex3Add_multRes_im_reg <= Complex3Add_multRes_im_reg_next; Complex3Add_prod_vld_reg1 <= Complex3Add_prod_vld_reg1_next; Complex3Add_prod_vld_reg2 <= Complex3Add_prod_vld_reg2_next; Complex3Add_prodOfSum_reg <= Complex3Add_prodOfSum_reg_next; END IF; END IF; END PROCESS Complex3Add_process; Complex3Add_output : PROCESS (Complex3Add_tmpResult_reg, Complex3Add_multRes_re_reg1, Complex3Add_multRes_re_reg2, Complex3Add_multRes_im_reg, Complex3Add_prod_vld_reg1, Complex3Add_prod_vld_reg2, Complex3Add_prodOfSum_reg, prodOfRe, prodOfIm, prodOfSum, prod_vld) VARIABLE sub_cast : signed(34 DOWNTO 0); VARIABLE sub_cast_0 : signed(34 DOWNTO 0); VARIABLE sub_cast_1 : signed(36 DOWNTO 0); VARIABLE sub_cast_2 : signed(36 DOWNTO 0); VARIABLE add_cast : signed(34 DOWNTO 0); VARIABLE add_cast_0 : signed(34 DOWNTO 0); VARIABLE add_temp : signed(34 DOWNTO 0); BEGIN Complex3Add_tmpResult_reg_next <= Complex3Add_tmpResult_reg; Complex3Add_multRes_re_reg1_next <= Complex3Add_multRes_re_reg1; Complex3Add_prodOfSum_reg_next <= Complex3Add_prodOfSum_reg; Complex3Add_multRes_re_reg2_next <= Complex3Add_multRes_re_reg1; IF prod_vld = '1' THEN sub_cast := resize(prodOfRe, 35); sub_cast_0 := resize(prodOfIm, 35); Complex3Add_multRes_re_reg1_next <= sub_cast - sub_cast_0; END IF; sub_cast_1 := resize(Complex3Add_prodOfSum_reg, 37); sub_cast_2 := resize(Complex3Add_tmpResult_reg, 37); Complex3Add_multRes_im_reg_next <= sub_cast_1 - sub_cast_2; IF prod_vld = '1' THEN add_cast := resize(prodOfRe, 35); add_cast_0 := resize(prodOfIm, 35); add_temp := add_cast + add_cast_0; Complex3Add_tmpResult_reg_next <= resize(add_temp, 36); END IF; IF prod_vld = '1' THEN Complex3Add_prodOfSum_reg_next <= prodOfSum; END IF; Complex3Add_prod_vld_reg2_next <= Complex3Add_prod_vld_reg1; Complex3Add_prod_vld_reg1_next <= prod_vld; multResFP_re <= Complex3Add_multRes_re_reg2; multResFP_im <= Complex3Add_multRes_im_reg; twdlXdin2_vld <= Complex3Add_prod_vld_reg2; END PROCESS Complex3Add_output; twdlXdin_4_re_tmp <= multResFP_re(31 DOWNTO 15); twdlXdin_4_re <= std_logic_vector(twdlXdin_4_re_tmp); twdlXdin_4_im_tmp <= multResFP_im(31 DOWNTO 15); twdlXdin_4_im <= std_logic_vector(twdlXdin_4_im_tmp); END rtl;
-- ################################################################################################# -- # << NEO430 - General Purpose Parallel IO Unit >> # -- # ********************************************************************************************* # -- # 16-bit parallel input & output unit. Any pin-change (HI->LO or LO->HI) triggers the IRQ. # -- # Pins used for the pin change interrupt are selected using a 16-bit mask. # -- # The PWM controller can be used to module the GPIO controller's output. # -- # ********************************************************************************************* # -- # BSD 3-Clause License # -- # # -- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -- # # -- # Redistribution and use in source and binary forms, with or without modification, are # -- # permitted provided that the following conditions are met: # -- # # -- # 1. Redistributions of source code must retain the above copyright notice, this list of # -- # conditions and the following disclaimer. # -- # # -- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -- # conditions and the following disclaimer in the documentation and/or other materials # -- # provided with the distribution. # -- # # -- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -- # endorse or promote products derived from this software without specific prior written # -- # permission. # -- # # -- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -- # OF THE POSSIBILITY OF SUCH DAMAGE. # -- # ********************************************************************************************* # -- # The NEO430 Processor - https://github.com/stnolting/neo430 # -- ################################################################################################# library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library neo430; use neo430.neo430_package.all; entity neo430_gpio is port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- parallel io -- gpio_o : out std_ulogic_vector(15 downto 0); gpio_i : in std_ulogic_vector(15 downto 0); -- GPIO PWM -- gpio_pwm_i : in std_ulogic; -- interrupt -- irq_o : out std_ulogic ); end neo430_gpio; architecture neo430_gpio_rtl of neo430_gpio is -- IO space: module base address -- constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit constant lo_abb_c : natural := index_size_f(gpio_size_c); -- low address boundary bit -- access control -- signal acc_en : std_ulogic; -- module access enable signal addr : std_ulogic_vector(15 downto 0); -- access address signal wren : std_ulogic; -- word write enable signal rden : std_ulogic; -- read enable -- accessible regs -- signal dout, din : std_ulogic_vector(15 downto 0); -- r/w signal irq_mask : std_ulogic_vector(15 downto 0); -- -/w -- misc -- signal irq_raw, sync_in, in_buf : std_ulogic_vector(15 downto 0); begin -- Access Control ----------------------------------------------------------- -- ----------------------------------------------------------------------------- acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = gpio_base_c(hi_abb_c downto lo_abb_c)) else '0'; addr <= gpio_base_c(15 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 1) & '0'; -- word aligned wren <= acc_en and wren_i; rden <= acc_en and rden_i; -- Write access ------------------------------------------------------------- -- ----------------------------------------------------------------------------- wr_access: process(clk_i) begin if rising_edge(clk_i) then if (wren = '1') then if (addr = gpio_out_addr_c) then dout <= data_i; end if; if (addr = gpio_irqmask_addr_c) then irq_mask <= data_i; end if; end if; end if; end process wr_access; -- (PWM modulated) output -- gpio_o <= dout when (gpio_pwm_i = '1') else (others => '0'); -- IRQ Generator ------------------------------------------------------------ -- ----------------------------------------------------------------------------- irq_generator: process(clk_i) begin if rising_edge(clk_i) then -- input synchronizer -- in_buf <= gpio_i; din <= in_buf; sync_in <= din; -- IRQ -- irq_o <= or_all_f(irq_raw); end if; end process irq_generator; -- any transition triggers an interrupt (if enabled for according input pin) -- irq_raw <= (din xor sync_in) and irq_mask; -- Read access -------------------------------------------------------------- -- ----------------------------------------------------------------------------- rd_access: process(clk_i) begin if rising_edge(clk_i) then -- read access -- data_o <= (others => '0'); if (rden = '1') then if (addr = gpio_in_addr_c) then data_o <= din; else -- gpio_out_addr_c data_o <= dout; end if; end if; end if; end process rd_access; end neo430_gpio_rtl;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY DPBDCFIFO36x16DR IS PORT ( wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END DPBDCFIFO36x16DR; ARCHITECTURE DPBDCFIFO36x16DR_arch OF DPBDCFIFO36x16DR IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(35 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(35 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DPBDCFIFO36x16DR_arch : ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF DPBDCFIFO36x16DR_arch: ARCHITECTURE IS "DPBDCFIFO36x16DR,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=36,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=36,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=3,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 4, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 36, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 36, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 13, C_PROG_FULL_THRESH_NEGATE_VAL => 12, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 4, C_RD_DEPTH => 16, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 4, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 4, C_WR_DEPTH => 16, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 4, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 3, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END DPBDCFIFO36x16DR_arch;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity opfd is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal out2: electrical; terminal vbias1: electrical; terminal vdd: electrical; terminal gnd: electrical; terminal vref: electrical; terminal vbias2: electrical; terminal vbias3: electrical; terminal vbias4: electrical); end opfd; architecture simple of opfd is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "undef"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "undef"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "undef"; attribute SigDir of out2:terminal is "output"; attribute SigType of out2:terminal is "undef"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vref:terminal is "reference"; attribute SigType of vref:terminal is "current"; attribute SigBias of vref:terminal is "negative"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; terminal net10: electrical; begin subnet0_subnet0_m1 : entity pmos(behave) generic map( L => Ldiff_0, Ldiff_0init => 1.7e-06, W => Wdiff_0, Wdiff_0init => 6.99e-05, scope => private ) port map( D => net2, G => in1, S => net3 ); subnet0_subnet0_m2 : entity pmos(behave) generic map( L => Ldiff_0, Ldiff_0init => 1.7e-06, W => Wdiff_0, Wdiff_0init => 6.99e-05, scope => private ) port map( D => net1, G => in2, S => net3 ); subnet0_subnet0_m3 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => W_0, W_0init => 1.5e-06 ) port map( D => net3, G => vbias1, S => vdd ); subnet0_subnet1_m1 : entity nmos(behave) generic map( L => Lcm_1, Lcm_1init => 1.45e-06, W => Wcm_1, Wcm_1init => 1.5e-06, scope => private, symmetry_scope => sym_3 ) port map( D => net1, G => net1, S => gnd ); subnet0_subnet1_m2 : entity nmos(behave) generic map( L => Lcm_1, Lcm_1init => 1.45e-06, W => Wcmcout_1, Wcmcout_1init => 4.4e-06, scope => private, symmetry_scope => sym_3 ) port map( D => net4, G => net1, S => gnd ); subnet0_subnet2_m1 : entity nmos(behave) generic map( L => Lcm_1, Lcm_1init => 1.45e-06, W => Wcm_1, Wcm_1init => 1.5e-06, scope => private, symmetry_scope => sym_3 ) port map( D => net2, G => net2, S => gnd ); subnet0_subnet2_m2 : entity nmos(behave) generic map( L => Lcm_1, Lcm_1init => 1.45e-06, W => Wcmcout_1, Wcmcout_1init => 4.4e-06, scope => private, symmetry_scope => sym_3 ) port map( D => net5, G => net2, S => gnd ); subnet0_subnet3_m1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => Wcursrc_2, Wcursrc_2init => 1.55e-06, scope => Wprivate, symmetry_scope => sym_4 ) port map( D => net4, G => vbias1, S => vdd ); subnet0_subnet4_m1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => Wcursrc_2, Wcursrc_2init => 1.55e-06, scope => Wprivate, symmetry_scope => sym_4 ) port map( D => net5, G => vbias1, S => vdd ); subnet0_subnet5_m1 : entity nmos(behave) generic map( L => Lsrc_3, Lsrc_3init => 7.5e-07, W => Wsrc_3, Wsrc_3init => 6.81e-05, scope => private, symmetry_scope => sym_5 ) port map( D => out1, G => net4, S => gnd ); subnet0_subnet5_c1 : entity cap(behave) generic map( C => C_2, C_2init => 2.461e-12, symmetry_scope => sym_5 ) port map( P => out1, N => net4 ); subnet0_subnet6_m1 : entity nmos(behave) generic map( L => Lsrc_3, Lsrc_3init => 7.5e-07, W => Wsrc_3, Wsrc_3init => 6.81e-05, scope => private, symmetry_scope => sym_5 ) port map( D => out2, G => net5, S => gnd ); subnet0_subnet6_c1 : entity cap(behave) generic map( C => C_3, C_3init => 2.17e-12, symmetry_scope => sym_5 ) port map( P => out2, N => net5 ); subnet0_subnet7_m1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => Wcursrc_4, Wcursrc_4init => 4.825e-05, scope => Wprivate, symmetry_scope => sym_6 ) port map( D => out1, G => vbias1, S => vdd ); subnet0_subnet8_m1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => Wcursrc_4, Wcursrc_4init => 4.825e-05, scope => Wprivate, symmetry_scope => sym_6 ) port map( D => out2, G => vbias1, S => vdd ); subnet1_subnet0_r1 : entity res(behave) generic map( R => 1e+07 ) port map( P => net6, N => out1 ); subnet1_subnet0_r2 : entity res(behave) generic map( R => 1e+07 ) port map( P => net6, N => out2 ); subnet1_subnet0_c2 : entity cap(behave) generic map( C => Ccmfb ) port map( P => net9, N => vref ); subnet1_subnet0_c1 : entity cap(behave) generic map( C => Ccmfb ) port map( P => net8, N => net6 ); subnet1_subnet0_t1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => W_1, W_1init => 3.5e-05 ) port map( D => net7, G => vbias1, S => vdd ); subnet1_subnet0_t2 : entity pmos(behave) generic map( L => Lcmdiff_0, Lcmdiff_0init => 1.08e-05, W => Wcmdiff_0, Wcmdiff_0init => 2.865e-05, scope => private ) port map( D => net9, G => vref, S => net7 ); subnet1_subnet0_t3 : entity pmos(behave) generic map( L => Lcmdiff_0, Lcmdiff_0init => 1.08e-05, W => Wcmdiff_0, Wcmdiff_0init => 2.865e-05, scope => private ) port map( D => net8, G => net6, S => net7 ); subnet1_subnet0_t4 : entity nmos(behave) generic map( L => Lcm_0, Lcm_0init => 1.25e-06, W => Wcmfbload_0, Wcmfbload_0init => 3.55e-06, scope => private ) port map( D => net8, G => net8, S => gnd ); subnet1_subnet0_t5 : entity nmos(behave) generic map( L => Lcm_0, Lcm_0init => 1.25e-06, W => Wcmfbload_0, Wcmfbload_0init => 3.55e-06, scope => private ) port map( D => net9, G => net8, S => gnd ); subnet1_subnet0_t6 : entity nmos(behave) generic map( L => Lcmbias_0, Lcmbias_0init => 7.45e-06, W => Wcmbias_0, Wcmbias_0init => 3.345e-05, scope => private ) port map( D => out1, G => net9, S => gnd ); subnet1_subnet0_t7 : entity nmos(behave) generic map( L => Lcmbias_0, Lcmbias_0init => 7.45e-06, W => Wcmbias_0, Wcmbias_0init => 3.345e-05, scope => private ) port map( D => out2, G => net9, S => gnd ); subnet2_subnet0_m1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => (pfak)*(WBias), WBiasinit => 1.45e-06 ) port map( D => vbias1, G => vbias1, S => vdd ); subnet2_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), LBiasinit => 3.5e-07, W => (pfak)*(WBias), WBiasinit => 1.45e-06 ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet2_subnet0_i1 : entity idc(behave) generic map( I => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet2_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), LBiasinit => 3.5e-07, W => WBias, WBiasinit => 1.45e-06 ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet2_subnet0_m4 : entity nmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => WBias, WBiasinit => 1.45e-06 ) port map( D => vbias2, G => vbias3, S => net10 ); subnet2_subnet0_m5 : entity nmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => WBias, WBiasinit => 1.45e-06 ) port map( D => vbias4, G => vbias4, S => gnd ); subnet2_subnet0_m6 : entity nmos(behave) generic map( L => LBias, LBiasinit => 3.5e-07, W => WBias, WBiasinit => 1.45e-06 ) port map( D => net10, G => vbias4, S => gnd ); end simple;
------------------------------------------------------------------------------- -- axi_datamover_mm2s_basic_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_mm2s_basic_wrap.vhd -- | -- |-- axi_datamover_reset.vhd -- |-- axi_datamover_cmd_status.vhd -- |-- axi_datamover_scc.vhd -- |-- axi_datamover_addr_cntl.vhd -- |-- axi_datamover_rddata_cntl.vhd -- | | -- | |-- axi_datamover_rdmux.vhd -- | -- |-- axi_datamover_rd_status_cntl.vhd -- |-- axi_datamover_skid_buf.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_scc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_MICRO_DMA : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_datamover_mm2s_basic_wrap; architecture implementation of axi_datamover_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; --sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= "0000";--sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters -- sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); sig_mm2s_cache_data <= mm2s_cmd_wdata(79 downto 72); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_datamover_v5_1.axi_datamover_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_data2skid_wvalid , mm2s_strm_wready => sig_data2skid_wready , mm2s_strm_wdata => sig_data2skid_wdata , mm2s_strm_wstrb => sig_data2skid_wstrb , mm2s_strm_wlast => sig_data2skid_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_data2skid_wvalid , s_ready => sig_data2skid_wready , s_data => sig_data2skid_wdata , s_strb => sig_data2skid_wstrb , s_last => sig_data2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_data2skid_wvalid; sig_data2skid_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_data2skid_wdata; mm2s_strm_wstrb <= sig_data2skid_wstrb; mm2s_strm_wlast <= sig_data2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
-- NetUP Universal Dual DVB-CI FPGA firmware -- http://www.netup.tv -- -- Copyright (c) 2014 NetUP Inc, AVB Labs -- License: GPLv3 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.avblabs_common_pkg.all; entity dvb_ts_filter_tb is end; architecture sym of dvb_ts_filter_tb is signal rst : std_logic := '1'; signal clk : std_logic := '0'; signal clk_en : std_logic := '1'; signal addr : std_logic_vector(7 downto 0) := (others => '0'); signal be : std_logic_vector(3 downto 0) := (others => '1'); signal wrdata : std_logic_vector(31 downto 0) := (others => '0'); signal write : std_logic := '0'; signal rddata : std_logic_vector(31 downto 0); signal read : std_logic := '0'; signal waitreq : std_logic; signal src_dsop : std_logic; signal src_data : std_logic_vector(7 downto 0); signal src_dval : std_logic; signal dvb_dsop : std_logic; signal dvb_data : std_logic_vector(7 downto 0); signal dvb_dval : std_logic; begin DVB_SRC_0 : entity work.dvb_source generic map ( CLOCK_RATE_MHZ => 125, INTERPACKET_GAP => 0, INTEROCTET_GAP => 0 ) port map ( ts_clk => clk, ts_strt => src_dsop, ts_dval => src_dval, ts_data => src_data ); FILTER_0 : entity work.dvb_ts_filter port map ( rst => rst, clk => clk, -- pid_tbl_addr => addr, pid_tbl_be => be, pid_tbl_wrdata => wrdata, pid_tbl_write => write, pid_tbl_rddata => rddata, pid_tbl_read => read, pid_tbl_waitreq => waitreq, -- dvb_in_dsop => src_dsop, dvb_in_data => src_data, dvb_in_dval => src_dval, -- dvb_out_dsop => dvb_dsop, dvb_out_data => dvb_data, dvb_out_dval => dvb_dval ); process begin wait until rising_edge(clk); rst <= '0'; -- wait until rising_edge(clk); wait until rising_edge(clk); addr <= std_logic_vector(to_unsigned(255, addr'length)); wrdata <= X"80000000"; write <= '1'; read <= '0'; wait until rising_edge(clk); addr <= std_logic_vector(to_unsigned(0, addr'length)); write <= '0'; wait until rising_edge(clk); addr <= std_logic_vector(to_unsigned(255, addr'length)); read <= '1'; wait until rising_edge(clk) and waitreq = '0'; read <= '0'; -- wait; end process; end;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity generic0x is port( clka:in std_logic; codop0x: in std_logic_vector ( 3 downto 0 ); PortA0x: in std_logic_vector ( 7 downto 0 ); PortB0x: in std_logic_vector ( 7 downto 0 ); out0x: out std_logic_vector ( 7 downto 0 ); sinFlag0x: in std_logic ; enable: in std_logic ; en2: in std_logic ; outFlag0x: out std_logic ); end; architecture generic0 of generic0x is signal sPortA0x , sPortB0x: std_logic_vector(7 downto 0); begin pgen0x : process (clka, enable, sinFlag0x) variable aux: bit:='0'; begin if (clka'event and clka = '1') then if (sinFlag0x = '1' or enable ='0') then sPortA0x <= PortA0x; sPortB0x <= PortB0x; outFlag0x <= '0'; elsif (enable = '1') then case codop0x is --xor when "0000" => out0x <= sPortA0x xor sPortB0x; outFlag0x <= '1'; --AND when "0001" => out0x <= sPortA0x and sPortB0x; outFlag0x <= '1'; --NAND when "0010" => out0x <= not (sPortA0x and sPortB0x); outFlag0x <= '1'; --NOR when "0011" => out0x <= sPortA0x nor sPortB0x; outFlag0x <= '1'; --or when "0100" => out0x<= sPortA0x or sPortB0x; outFlag0x <= '1'; --xnor when "0101" => out0x <= sPortA0x xnor sPortB0x; outFlag0x <= '1'; --not when "0110" => out0x <= not(sPortA0x); outFlag0x <= '1'; --com2 when "0111" => out0x <= not(sPortA0x) + 1; outFlag0x <= '1'; --suma when "1000" => out0x <= sPortA0x + sPortB0x; outFlag0x <= '1'; --resta when "1001" => out0x <= sPortA0x - sPortB0x; outFlag0x <= '1'; --shiftr when "1010" => if (aux = '0' and en2 = '0') then aux:='1'; sPortA0x(7) <= '0'; sPortA0x(6 downto 0) <= sPortA0x(7 downto 1); out0x <= sPortA0x; outFlag0x <= '1'; elsif (en2 = '1') then aux:='0'; end if; --shiftl when "1011" => sPortA0x(0) <= '0'; sPortA0x(7 downto 1) <= sPortA0x(6 downto 0); out0x <= sPortA0x; outFlag0x <= '1'; --rotr when "1100" => sPortA0x(7) <= sPortA0x(0); sPortA0x(6 downto 0) <= sPortA0x(7 downto 1); out0x <= sPortA0x; outFlag0x <= '1'; --rotl when "1101" => sPortA0x(0) <= sPortA0x(7); sPortA0x(7 downto 1) <= sPortA0x(6 downto 0); out0x <= sPortA0x; outFlag0x <= '1'; when "1110" => if (sPortA0x < sPortB0x) then out0x <= "00000001"; elsif(sPortA0x = sPortB0x) then out0x <= "00000010"; elsif(sPortA0x > sPortB0x) then out0x <= "00000100"; end if; outFlag0x <= '1'; when others => NULL; end case; else out0x <= (others => 'Z'); outFlag0x <= 'Z'; end if; end if; end process pgen0x; end generic0;
LIBRARY ieee; USE ieee.std_logic_1164.all; PACKAGE porrinha_user_package IS COMPONENT porrinha_user PORT (Choice, Guess, Fim, FimFim, winner, clk: IN STD_LOGIC; guess_opt: IN STD_LOGIC_VECTOR(2 downto 0); P1, P2: IN STD_LOGIC_VECTOR(1 downto 0); pal: OUT STD_LOGIC_VECTOR(1 downto 0); guess_user: OUT STD_LOGIC_VECTOR(2 downto 0)); END COMPONENT; END porrinha_user_package;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl fVuENqJDrg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+ peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3 1AgNCjN/kU8NV8RnpuY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI 1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi 36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg 34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO 8leeVKBqhih2sBA4th0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f 5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso /KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0 nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024) `protect data_block N4tJ1S6Rw5k8ffJrKz0bU2W8NtJK9ibw4DMnl6bon9Dj65HvVQVgajyhkNwHhdsR/FWibKPGDpSM Wb0Qys5pQS6u7a3rEaD/tOgpnU8l0ETcHmbmtY4UZvL4LRXM9DaeW8fOhj/A5o1BDA9rzBQ8gZZQ kl4LoJl8VHEDnOkHBrjFCRqpvqvWDvg/JsXQU0u34e3Pnj55zmiW2DtcdNA/01jxzBriVbn3P0A2 levDLCIb3hOcXyAHoMcgz9Ouy5NRHRVKEdaRnq1YwyDo3iZylVJpHOmQMpLiY2/wz2fJt0AJ50By Rxi3thA3q/eKVSRWmQjgdtmvqXX6TMpU5qtVjz9xNYP84ciz6WtUn+34RARfCiGB36fx3EidAMGI YQpnUdEwcH8LIRw6twqMQwMM0C8I/6woIK1QCgkW49RFUuspOT4+5jCrAGANm3p8hMa+0LYHJCeJ M+wAF4KaBGh9jxKgujFecoLBkwF3A2xBpTPYpsYbUHUdtfeBtr89k847uxx8Zpjd8lVy03jWpLf3 2ucYrjPwmHTe+Igq+r/h7zW6jCjUYA94QUA1+njehdVKc3cFSlY4by7mQVKqERjpBJ26HyrgEB7Q OUyM+Z2veX+VeItrmTIAvUI0t7+VYk2s4dTgxVnvla2hmIrjbu8UeYYgUYxjMHCl1elTGsmEum04 RC5335/pgEBTLHLBgEuma5pooqf2VqsC2O4H17Li8aAWenDFajs35TyklV08wyxBktnMLzfoDbRR ijYZXPpdkWR1HB9ItkDNqFcUq38rSuHSaIG6NtMPbpYiQ6aBYG0HqIt0/FssH+1t76kuy/qdxBTZ mLONN6fU7/TXDMToQ7fT6sL7Zxh4ebO7vbUOkbFye7j/ImCd6wt/z4j5eSLghOBm37dqBR0f/Ua1 J9R4TkEmLziWWDFiGIORAOpmyMP0MM50gutji4DM/s5UChb/8/6Cnf61xlvilW5u/TLLr7hVtdlq zDa4ArryGKDWEFGad9Pm6XiTC1Hjtlobxj2gC5mlmZ+ePMdg6qFTqHAlzOjxCQSKR6L/vlqbe3/C 0ZFNWBUhtowKo8OCDe6XwWyGx9vBWwARx1I9Jj+f/oITkV26nBbtOr1gVWzBmav8KLqfIqw0vg2h r30UpxAJz0cn3ZgAFU0DzkUPgJgR/XtWsSXHTa6M2upZjxfPcbDSDenGxc4fKvahvxBvEHCvXKzJ HXxswLc0jxiMglRa7H95vrXLpjBMrJmjxK8M1Mgpw8Z9gRT05/oGSCPGk44b4hcozLkYgKuKS/k2 Dquxzp4ukWD69NrZNbCFAk2ElDFC0De5GrKUFvXCwdhDr1mXKlM1R5Z8CJlEJnl57J/S44sOBU0U ujgTKu8iEYr2BET+QJnFiFYQrAeVQgDkjb7TYTmwxd3tt1C/JpiYJwYwwo4xFjl2ckBN1bSkeK0F ZVcSXHoyZZQitKdAP2alMZxjielNyKDJ7BLBz6E3Vts02vRlnmi5cibqIkGoj1mT8QyT3prnsOD1 5h6D4FUIR4kDpK4OHoTI8xyJgU1kpbsVkvcY/g6KgWaUbbztN4YyUDfHO6R73361hHZgYg9CBCMq wzKN53yYmCsPxAvs94hFqKM/bNJuVairfDO9WzHq5VrX5x+SAkfqmrLlQAHu2Mxf+Hjr6FO0M7Kk 4HV9mCGCg79nMvz+u6glg6jUfsyEz+edngqxPg/0rA7q+Orx94qQax2WHZOQ24vNp5sqWB4jrO3p ZGZPJ4+oFL2QxrrDoe57qP4JS9OScLbyiDFx6fQBBmrAlyERw4iCzy7OB3qkWOxDvYkdLK71hiBz 3kQQUXWRkqG4A2P6d6GnSeMBCyG/mj1bIzBnVIh4vgbYRkROVpOGiT7o5wAsqS2hmTijev3ApTW5 L8PVwWmuzXslD0a5cDY/mRzZ/YPCZ414sJpYr9Z6Ckm7N4WXG1WjsYyYBll2cIqc2MhYu05+jIJs Wxps2xjz51jC6ttw8vBHVuIFjJ0ugQaB0TjRpZd0InATJfyaKg2AvOyTS2p4pAVw7VUHLJxafgjO rGDOAzrE5RFHNhRrfORS++fabU+/5Up6kaODSaf85gugWkfzyB+Tz72t+V9LE0IUTHA7D+lNVJOi /W0vfS+bXhHT50AD8Se7jL5RQgei8LokbZUDAAStLLOV8W0F90U4nJezxfNKvfWW8FRd7zWqbLq1 uHKrRgGhML/5JD5yLdbqDnWhHq8vAOj5fiqR7bICGXiC/x1hcC3lWa721+/8Abbr5ByeUNVLfGR0 mP7I2zEnQArI7upBV4v5fE8XUjyJEnVr1lciId0JG1J162wmTsRhhZX/8IQ9UzxVyctzRWk1puc/ t/AUQNRVJlwY6sMfMgDnYAKdyQXbTNnlqPQFZYNUZUg1uC1z/iYfwuTHoYEi+W8Vp1Nb0sX3a/Gx EMlmyiV//TNao0nrUJ5JKom4Zu6jqYlMRXFO/eHnpWBQL6zBDNf5XftvjgbyXy15LeBdcxKqa295 3v67iPDcdLGMwffgQjB7U9ED+lf5lWJMhtp41JwfGaeQyJlHobXKwUniMxhjR7LiC2FnHwFWUjwj B1UHkmHpjBpK4SuLLtBrn8QIMgOQbjJdnwwoiB6Kx287c+EHs82v7d9531OQHIKpsLMBN11u27lB PQP4gAKmXGA2LwbCD76B4+sLiEGwQ5jH+pmSEMnTtIogvF8liT16OiA8NTQ/cS1kwKo/4X/kpcD+ sfw8M/fXR8KyY5gvpU4MRTyu/C/oRnW+Ir2rtLENIdWXXpZmOud6L1NJadh1W2KyX7J3yw002mjp Havj1eh8V4fmc9PW2ippNvWP7vd6WNdXGWp356GntrUEjsSbSAQlfBV8jef4Aam7XW5sAMwK0MTa 3fZ/m/JYsW1ycXm+zoKef/FeMDgBye+4jSFKsosPZCPaT1Im8nhX/Z6CQb/yGO9R7YaUMX+J5TJ/ 6K69+L4dY2C0xSnEtuiETEoo4L4QdZXHeMXuqy+Beglw47YDxKzhSZOFScydYEZhsFjFU5QTRATO WuKrLI47wWizaWWEH0fT7RzrCKsri9jA26KM7MhsIzxn+WnmMoINLkDcC72T8u8aSWAMBOFN654I P9f6zbWkxAEPSP9tcj7ZmAkJcz+CxMqVUwZAQ7VbG3YPhwsu03TCAsvb+GH5uoZocRH9oPEYERkP 4fYKxZJo8Cowahafq6qDVAdMPhsnP4M1kd0AZXw5t68aVnfe3dA9zvGef7FXMeSGAJa5OtN0iARe 3EiZnm4dPGNdC4WwVcJQnOmNvo3Z20n5A1WsYdhp849XuiYhZDhhysqqXyqtWPX9DXLN8NeTFlMI xgMx2ZCMe0hXeUw+cBZXDG+EL855SIPqYN4vNiYwzH8BiQwD6tfTDEB8jrR3okZ9JLNIOhvrLfid COHDWd0vQMQrEhH3M7MvT/qxvG60f8qN/XQoq5Yki7nUi0cj83kiP4loZmrsVhzLTFXqSHvc7m0+ slZPmYr1Qlml1lC7UdCkAA88P7zpofI95ixbL5ypr74+zcAM6kFjMqlRp6+juGG+gRhZVC/IdK1W 7GYWbz96Z65/3wehrzFfKGPXHAHonIG7Ptv2BIDfjOYRnAMOikNJwlwqGp/vznxGiC5PkbpEMLyF wNoKew8hZ5Gg9+MyUinMeuapvMCRsBVo2Rx7zPlUKK1x2IcGh5hhGwpXBHHD4upCMLegkT41iD+o zWx+1xDWIqHPIwCD43tVJ1NWHq93LO/bWjPmdWeOLl3J/wtoso1r3p9nKj9Ns5RfXZsy7aX3HOWy u4LP8TilTNDwHf4yrY9EuP8A5u6A6+kzPX5sk6Ot8OYhIBUAWLh8J8qhOVOMKDSlFaKuGTt78+Af soF0TH6F0hR7VB/Kp8SrU4uW2mubMai8upYS0HHOfAqThyy3+Jl8bRYLBB853TfOKLyhkBS1HFWj dR/Gj+urT9dc7o4NVdAhkLuI0BaaNSms6jEAWSmpLGZ7JTLh2u3Rp+d/Kq9enuvp08kPzNvYHcVS QPgKD+biOQcqWXfzj3XdxgM3BMAGglAu1pxY48UvQMUbGH3+TFLgIGe98EmdEbgDsHZLr4205rYy OHhXT735qLlyEAkTu68vHkaklKr/o4VWHvncdD/z53dRGhLLPUolY8TiiwUmaIlAfL2DeHc8/qGX j5DLaYswWpZ5/ed1UTgCSsVXkD8bX/fYgZZr0hqnpmO2ESLvB41yaklnGQ/Pa81qJXk7ZbZ4v1nr 6ZGJNA3+7Yi2AvW2XnqCso789vkwDMcJKAetQe/AFQTltrAViMGko67hsMsBE6niOLQDoFCgyKHE i0CFBC+NJWS/4D0cU2ffexLb5U+uOOBFDg3R8q5E2ULDHoTH1+O2IuunpXz4o9BGZNWCcP545xy4 mRv6FE3S2yFV/9BK5nhC08SLyK+eG1NgVVSitdBMFynUB2sF4OStECAN4zYuqYGVBCTSzKHcCiCX GcCQnMRwLooYBaV3BLxACGnY62GrZki6ImyRRzEJ/ZbduS4/fIDkrMK3BkwXfCp7DK6ndNZSa/9H I2TAsNzhZc/Ippf8GuvNgR/ANilggBH06d3ilZ57f+xHEElipnmjfQk/YZxYgL+MG1P3PgJYWYdA JEt7ma9SODNhRcApjoFR8Bs0PM+Da20/hDnTKXarymu02H5nb0Q7DduTxJhZhS7irnJSWXK2WJZO /LDrxC/nSTcGIBnZbzfl1B5EvPj4I7+7CnZx7bWHIaP2x3dCmKbdNWhl7O5+Hw1SrXjcJWQU3Wrl FT6HDgACrqZf5D+1fVey0SgNxX/iYWF56k5kAv06vKrAw8pAWo7sOtVMenUK4Nur1NcGLSUMPvCF tBsYqDyeyV8uADjmQKxk9B9tIpEaFXij4+toKQBdSFvuRXX5DK5VKS9LZ+kFkne6sxjvZwxG0nMQ DixdJXYJLTebkRDQfRwuKoSCsPE0wT7tv2F2MNnl6CBtc7+i3nkMk04eKjEoO5mvV+zHG15HJT/j 9qpvqjPcV/tUd0wZKFiGc1OGcOwsEkseEN+rLet8NctVkKS0O6zz6OT3lH8G6oHKIDSuQL2TdNbW V0Vv7/Ml8Xo/IuIpsZ7sfP2yN5h6zhzK5pjGbnQCXSFcIaz61lxP+a2rihdoGZBlmfXSkXJFUvfq 83gIOGaoRxjkCpjs5nQLSbl6SpTicOak+b+YvZ7Dnp+UgrXSfX+XF46MSX/Ykc9sAmHv801dmFYf 15B1iW6EFC1ZaLFNXA8Lj497fPoETE30+a/VzkNCs4cdiSyKK0+dH5pG+2QfYvUE3pOuq/UrisYd 9S3qpQe+yhiYqAKVnnRdURq+2ee8jpDXU+L0yqwB4UB4K+da7Uo1Hv6EoDzxnz8YV3+fM6aGfqy8 6WN/pJCE0qhMmAksY9SEGJWL3vZ0CRhCQ9JG3lPBtN2oo3tlIk4N/Dl2uSVfmzYex2HwLWFcYMoY Kl1tReCR6Ag3CMnlhGbAOrOWXGehc3B/71Ty48/ZNDhukGqvPKXFXHhhiSAFaBm+s63p5DiMO3Lw UzLhoduELhYnSzPYFlgePRlOCM+L4WSf36CXBvgjbmv0vDxbfWF7J0kD0ySLYAQ3oXChMOExk7Yd wLouwcwrOddlOQLSiwRBaALE6hHRcsKbrhX86l0m0V203EPvXNlACL2BWPIAeedji5mChMkSzxuY S6niRXhr6fFsO66IALpJctj1LG5uX7q2IueaEe2tsI5Fwo0uzuUgxF7F60ka1BHpSM0+1q++5dYk 01y8L7qZXPEHzyUHNXJAmkvIGwbav1HlG3E2HH0Lf2GrwCWUyaIX9u8g62ejll+hYiuisRQC3Und gAvOxbT/yWV9cFq+O6rpWmrR/YcnCZnyrhJJsqqxTV3Yx2g8ICAVBqMvgtp3Pnh0Y+YSpY8rglVF ZtxDnbAwA0aFWZGUe+c4AKSjAuxOY8Y/NhSfQcXOIGkL+75H72zypwqfKaDbb9TeeEqmzejDloeU MjgZ3KQxvgpTQ8sbQ+PDkzC66GkSbklovh3jkiEJvZ0nyfdAS+Q59ybN5sXXaia5+2viSLZLVnLa ja2I7XtkcNtLHCEKS8eyKF++Hu0RYq/NnHsWM8mQioB9iYxrkz5Dna1XfoeMReLcjX3n4QyqA7Bv JrHljJ5JEbn6Ro7hvaW7txdZbPhwP4OfmkS5Y4BmQAS1RSsgRm+qoFo5xBZ64do/UhfqbmtNn26g ga7n8d/bRJF8bShfCwA3eygzGgHK06tEva+OpAM+pC3EYQdwKAdN+JJk5cAdrigtIWKjvllazJzk xo5kr9Tmgveck5iTGjnUt6lu0x2QF42PdLAWFY62vn6jo/1jwQdmjFQo+VgNs3Yf8oVMViQ+rk01 ubBD8J656mhmoJh2OAfg1wp65GL5CX3IpZRwATreUkrbCouBDBM1ri8aVktH/p2iqpuBx9mf51YG izy8k2BTo8BcQuXSTlbS+HJ+9KEcEQUfy+HTaIKhXQqAwEjwdvJ/30+zUmqCgzAlOWQgqfYZAxkk tVRKRFAS58OD+NudDtc5KkAFvG/kQ5hlV3lnQFLov3pgQZWEzt5MiOd7l5wOShbEL4LjO+MRgXs5 tA1jV7dEYkta8HOwLLtQjRUnTnDsHIP+tGe0K1s4l/bgOtd6Syybjqaun12BTXnqDebLKKFyYW3J 7ocrCmEoppEcd2rYChZR3Kitw8serWW1/lwSbjIeXYtsLcipLgpRfXPqSUrUw6nd7TPE9zzR2URI zANyN0luOjcPaW76RpLYOWuEvZOUlH0v9VWeDTHNSrkDMb+mh8N8kDd1A2m10DmxVJ1w1LKaA2PU kg1ykswZaaHTrdy3y6nh2I1eeFGVGpYlRXRtAG/ihSbwu6PrLuAg2ZfC8Yuql2zmx9//krOZCed2 3zXwOCzuKRLYV6nL9YJx0kkwVdV/JaO/iKQnd+hs5oEMWwiOcvZEqfs12EDzJAKRlgCLZTwarlBZ vHLcgiqTpfzbDSf+6OkumlDdr0hbM7ZvgxhJhvp4vhylwTwibozzLuCI8BwUsH7IpNyg6JOC9O8S GdUuySgi4p4KnBNO9kAeH0RYdQuWf2Bkur0xnlkBI6R2kKHHslEhuwNNwyHxVF3shtQMLd50QMsy 2UbY6UqAVfU6+I/0Zse7tCg+N948DRP13xqkbb2RYwGlvOBZnE0DC1RLMui5EAtu8BaW1tXeMHHi 0Ppne4GgRb7yPNygftuAQX2noX+cFNvr8gIECUsuYZC/0ZSDjzZg0uVLVAf0Ufh+iYIAbtv1t0HX X1RPM/TfwtrbX2ZaZp3xzMs1rt6J8BxCUU49AGPYX/E0BZ0ypxLaSWvnEy1PSs4gVHqWY3DAaGhM Uxk+m9Ea4iB3HSvCouN9PsHVRizDL9OGUIS41D4RT8wfO9szc4F/RbehShjK17S3btO9RwxyUSIs fyUlSij7nFKI/txDOZgAMfKPV0jJVL0dku+4qlyND8sqmx2jDxiCqnVFJdPG75tMgmygZai0L6VQ Jiy18tAyeNTdZJDsjBmxjEqiJ1z0YjjNHL5oo8drpcm/QsqmmrSRBYJQ2mFkTVKk8ghdYTGIkmV7 pRpt73CSZNtDDqyHomvldh4/opXsaT6tp0uKv9V4uDIwBO/1348xxzbCMZTyEK/vBBmPDkHWFQyT ufWZvF5k2a0KSenB2/BXTcOtMDYfsJ3LXN3kNK0WpaIIvcxywfULMcHj2vpFDjZlJ5NLZo2gegoS j/l842yVkuyV7Ck/ZIwgg3pqeOBCLD3ARCRIniV9mOllN/7gjMmiZ3eXNg9ZzMgwJrj7ban9IUvv 0Ykjh2c6zellDZwUY2viCaHQAvAced3tiTXThIlb+m4zI+jm9T4Gjpfv8PoNzRVwEdDZg/xabF5j pqTaZeBaSnSyJRduVeZ36ZNRRqoApuu0EfYDg4nNiAHLKu46fcLBlD8J2nwYUe6EX9C+JGhFzBNM Bxu2V+w0Ze9TLFSuk1jT3pCHXec4Yl2h3Oz8j+D2omksOixr1eRG/YT42AAjElYzbQdGa4+9CO7n UAnI4lBL0H5jz/ExJw08uasQYVLkwO+7J+gEnZXn6cSFy58do4NaKaXrAkacJxb+EPj0zLs2CVMK GmDNhiS0oBFVXXt9VPpSJFwz9Fa+mSrzERlzv4w7a59pbUEs4pYu6r2LMxl3jSm2lJ1pwvTtHkhQ hW561plVTz3rUJLJeRaN8lGUrPRnUlkANfbpDYQiAkdB2vRFuwGzdVMF8bih6vHGvamEU59zWyb6 IUqeXjtLQWrR/M+AqwRUosYNwMkD89yi5/++OPLG8iD2hu8ZwAxAa+dcwhL+gCdPFpt7OR35BLti PbR7lhXdHxvyKPeqYFtzLiZlZZUUgC/su2lsKiijN1yc6I/31YJ9jqLv3R6L/wV/eXwB7ql0KGua f8miT2vNrsVX+7OpAGEUKajNgbRR1QPQ7zxnFslJhB0yKzY23B469P5iAtWgMjro4TUtV9A0M/J6 fMCIaEhgbdKFReK65Kox33yq9AbEWlq+rzZOK6IIph/IlaSuCTQVYrC0AhqwLQUEoQsqPWFstTrG 3RwDQoA7jpjHiCKfru6A5w7tDpdD24yOJgLZQk7BP5FQbiPPCc+ej5tBC5TT2RasrtHjxL8UszRM aXuKM1FGbXKALqnCIL/OCdBI+4TeS8lYQNQwMArsKjU49teFjpZr1QMnWCATI28WM3F6Hgx1QQ7Y k4N5JQhD2y4x4PlEC8g7fIYPKYHVs0cEn5kY8bC/L2WvJP9fYLJ+88ug8rZqGWhF0ddiMTPZHJ1/ B8qfz2jm9zYexpmA8kvlBMbpbbkUpDdllQqhOPFTNoiQKdugKGECxgpq8+d2dygW4M2yjLQKtuNt OCvBXOzYGNZBGx+bWljn9v7Inpi6X/2K1+n9fwI8USde4ty+0dJf9pLymyfiI6o/7tbaCHoWFIYP Fl1bPMZfgJM4jm1q/6m1s01yyeYNK3+j+E4uNN4jKXKmTrZQCcS3165YQuUtLJ/gTDGP2sn1h2bJ T+M9BrYtqe5ftpRedA9aRv4rZMomD0sS+WYMUQRtksLTFwur6Pgb4Tz0F/mZ9qFk5SWVW29EuMWe NHTussg1rI+ZLdrvTgvC6S6aML2/3Tj8/alJyu8FeFKz5kujReoLBt1XVm8lTF6xed+2dsUs0h48 6a1NwV1OysdGo/dZqMhSagY0dkcH0s4BbNxZnIABaHuGYC2e6EkIR+yM1wu9cSjnbT3mvmfnDSRN fsNm8Sx59xdSEMSKLHc/T9G/wyfKZMtJnoPvV0EMNxUtVB8K/8Q/j3odYYIdQ+syJQ/QpU3vlmF7 57GEaNbO6cuMyMQN4z91khLoweXVGd0m6XCyM3r/Xy8j4f2Je8je6tqsu3cQty71pL8oRj5CqyIf u5Tb7gUPvo+vIlwf9zlG7A9i2/Wc8N114rYtjIbs/2dBsO9e9n+1QErLx97PnGwewq4Yw5cOm5bL 7sf+ONyDvLBPqSQrKTnabPbWyw4Uk16ge6bgg7g6/yRGWdF889I2l/8Js29pWwgm1YZrzNLKXT5U Z6dfYNAQSlEX3PXS7drAB7y0koIQYzRiZFqRgKnisIIjbjZ6oKMnCeA9UCQdrWS9Scx2K14ud0RQ Pg8oAy1DbbKgSJFiamgTA+n72Ds9xinYG0u215xPgT53Vq8OR1esGNFEas9G91TeGFtfg1C562QL jcL/5WE6XH9ZF1eAoLixncEeMJ9Plaxl5KdR4BFPHpVjSjp06v5JTgom3QbYR4nmJKpvnLlo94It KWoxw8OEpw5ObbM3Rx1+Xn82gKkz/2fUvwpUg2Sr9I4hDwmIgPwNsKd3HSLn5tWmio6f0ua+zW32 8NxGIOvS7K8tEaUveIczgvNTUDjR06NGTyv7chqof9dLyU6yy5Ax265gVe54hgTvIREWmkQW3G5s UC0stdiNRs5/vVXGV5Cw85qJfVX7u1knE4Ry/mRgs52lei+bsobQQKjsKZK2bQNzWILXBoaaVWlT EcRcOVEU9wHgKnPg13UEM3J9SoAWXvp4K4in9aq4MLnWD9/Bwkn6H1F5+h2eadj0nS+Z85/3oHbg NxserMZe+F0l10X9zzjwkBVy7ckTScyi2yi4NqPvdzT/NlOMP2wY5zvlUUC2NV+HfI3lSK0A+9Zv crSjkLqheY4xV/qLnKBlEsHRHVu7OzOeld6zLmcnyxx6sQWHDJ/oYSor0MnrDUqYuxmCAqOlX5ol 0To0jW839OqN5HDw1T6aLt159fK8gDQRxAOqCZk+3YvYqoMuvpkC5eooVULaE9xM1hNETk4r/6DX EFnW7AtxrxpleMTMfU9OPV1rpXJbi24HESSPC/V4QOD7aqAw42AlugOxVNMkPO9UtpP6ygC/0nK1 LZvgOInNTJM+6zG5WmWdshF/MvPMAieF9M1Wtwhwlk5ORT3mOHJGakW9Vqw2g6ZNPKVDzFjPs6BW hOEUmp7LAnLbkbLL0P4AVFnojEgONtAQo/Oms43Zt2sF9ZSRGobGz/p/faTgfRn3h+BMhMWWKaB/ oI/aqd6IarDlyusvVPvR8Gkbyx+5Kmz7S0xcaR7sQg54GzRL/Jpr6hkKmNbjSiXyxHs9I1ufkOll 5PCh2+ZQBAJhrh8xCOq5KKsJsvbLC8V3sIn9yJoQm9lXKT8KXwFIBjWKEyf+dRc251E1CaRMMZLa 5djPp2TarcVIBn8CQZhjt2idwSUbDfV/2akW3/eSXBqIAllMl4gfSsosgzIoPQYdHCJb4g8wCuAh nKsQjh0MAhdfGhWHGfU2c7XT0wrigDODpqRqj64fIA4j9tSJbWzjVwQGAv9++BekLNx0yHTaGJpo UOEZP937BIXMVjHXxrcef4+YEI6O6R/V9DSJTWZDLXmTYU0L9Wqjljwyf+HxkOkKq+ah3onX9azn JvymzdICNrJPDFbh81KIHeU8rbEvRR+6dzsilT13l3XMnRC5HGzKGIpXRpjBypPcgeR80ORdaMNW ukl/WfbS3Olu3T48MpjJgWVdTBwTyN5G+YAd9Xn34zQjFS7x0jBFK+r51SVYNxdO8CyEbTfW838g C1zJyb75cWsWL4+/cT7KJb+VJgwINNT/ga2gQAPbPlyY/qOVXMn3di4SmV3R9dQjyaPYdGUTh1L0 hOOjyj5ScFZvFcKXnXfeUhTPhJ/oWfLL73y36u64APM+DuJT3lfU3URDCeGsFq4yqCodB24h8+I9 e1keITACe0lDVl4sIglsSJ9SvEnU8IKZELF49fToVlfER/9fhaFP66dM+0ZBESwdDBzTUBCjx/T6 zDxRZYo51+j8qDBz+DlwZX0INz4Yh5Nn3zBu03b6i3V5R/yBxWgriwHxAXSnu1kK+bKuQSl3nmk1 NKApH0hrpnD5MZjcSfqC/EWt3sZLmVqs3okfSapNuQXDIN84pNvPpO7LmsrbfOluJgTx1yrdL6oP ZFmBrqz/0I5njBkj+Ol8FJgUOY7G8rKUgk7iCqg8F5tIHClA3+lMvGvlAlQyO2LDPrUN6mmS319b fV2RKMXaiKhZGdgjeTI/ErM6dccp7LZHuvPCO0H1ssCF12SYXrCEC7hP4AsPbqE5ZlS9IC/2ufl4 VENRxAE5NPs95LoVHBW6/uNUKJjj38qLGwVqdEpPgH2fvLahAMyQVVHoorbVkN0Qui5ciIpJWaL5 NluTRif7/29q2mI8rRD21vpsOuKjWatBs4JHzn+HufnZzEJwerW8RIc+hEtUy/STwRvTDuWFPy8I tR1zwXayinMw9M8AoZTytV1fsEYKPmi24uhUYXnJyLCaLwBitfHCNIdDRuFI8RcjkmDABj7t3rHL mx3dS23019dHu7cL+MtKfBIKGZYSerHi3ncVXov8rGBXhN/VWqDlWjbxq0lLKiOnVPJ3c6Ekt6YB GMSIr4lyG+yA0Zq3UjIXf6kXJNQqU/vZ5/HbQnkNoBkyT5x7w3Ku7+92HzVw7ZmtymdifqsFkX/Y JbiYYabZLTlu67D6dj78NESS `protect end_protected
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; END case; end process PROC_2; PROC_3 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; End case; end process PROC_3; end architecture ARCH;
-------------------------------------------------------------------------------- -- -- FIFO Generator v8.4 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rx_data_fifo_top_wrapper.vhd -- -- Description: -- This file is needed for core instantiation in production testbench -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity rx_data_fifo_top_wrapper is PORT ( CLK : IN STD_LOGIC; BACKUP : IN STD_LOGIC; BACKUP_MARKER : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(32-1 downto 0); PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(6-1 downto 0); PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(6-1 downto 0); PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(6-1 downto 0); PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(6-1 downto 0); PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(6-1 downto 0); PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(6-1 downto 0); RD_CLK : IN STD_LOGIC; RD_EN : IN STD_LOGIC; RD_RST : IN STD_LOGIC; RST : IN STD_LOGIC; SRST : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; WR_EN : IN STD_LOGIC; WR_RST : IN STD_LOGIC; INJECTDBITERR : IN STD_LOGIC; INJECTSBITERR : IN STD_LOGIC; ALMOST_EMPTY : OUT STD_LOGIC; ALMOST_FULL : OUT STD_LOGIC; DATA_COUNT : OUT STD_LOGIC_VECTOR(7-1 downto 0); DOUT : OUT STD_LOGIC_VECTOR(32-1 downto 0); EMPTY : OUT STD_LOGIC; FULL : OUT STD_LOGIC; OVERFLOW : OUT STD_LOGIC; PROG_EMPTY : OUT STD_LOGIC; PROG_FULL : OUT STD_LOGIC; VALID : OUT STD_LOGIC; RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(7-1 downto 0); UNDERFLOW : OUT STD_LOGIC; WR_ACK : OUT STD_LOGIC; WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(7-1 downto 0); SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; -- AXI Global Signal M_ACLK : IN std_logic; S_ACLK : IN std_logic; S_ARESETN : IN std_logic; M_ACLK_EN : IN std_logic; S_ACLK_EN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_AWVALID : IN std_logic; S_AXI_AWREADY : OUT std_logic; S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_WLAST : IN std_logic; S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_BVALID : OUT std_logic; S_AXI_BREADY : IN std_logic; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_AWVALID : OUT std_logic; M_AXI_AWREADY : IN std_logic; M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_WLAST : OUT std_logic; M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_WVALID : OUT std_logic; M_AXI_WREADY : IN std_logic; M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_BVALID : IN std_logic; M_AXI_BREADY : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_ARVALID : IN std_logic; S_AXI_ARREADY : OUT std_logic; S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST : OUT std_logic; S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_ARVALID : OUT std_logic; M_AXI_ARREADY : IN std_logic; M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_RLAST : IN std_logic; M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_RVALID : IN std_logic; M_AXI_RREADY : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID : IN std_logic; S_AXIS_TREADY : OUT std_logic; S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TLAST : IN std_logic; S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0); S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID : OUT std_logic; M_AXIS_TREADY : IN std_logic; M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TLAST : OUT std_logic; M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0); M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR : IN std_logic; AXI_AW_INJECTDBITERR : IN std_logic; AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_SBITERR : OUT std_logic; AXI_AW_DBITERR : OUT std_logic; AXI_AW_OVERFLOW : OUT std_logic; AXI_AW_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR : IN std_logic; AXI_W_INJECTDBITERR : IN std_logic; AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_SBITERR : OUT std_logic; AXI_W_DBITERR : OUT std_logic; AXI_W_OVERFLOW : OUT std_logic; AXI_W_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR : IN std_logic; AXI_B_INJECTDBITERR : IN std_logic; AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_SBITERR : OUT std_logic; AXI_B_DBITERR : OUT std_logic; AXI_B_OVERFLOW : OUT std_logic; AXI_B_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR : IN std_logic; AXI_AR_INJECTDBITERR : IN std_logic; AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_SBITERR : OUT std_logic; AXI_AR_DBITERR : OUT std_logic; AXI_AR_OVERFLOW : OUT std_logic; AXI_AR_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR : IN std_logic; AXI_R_INJECTDBITERR : IN std_logic; AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_SBITERR : OUT std_logic; AXI_R_DBITERR : OUT std_logic; AXI_R_OVERFLOW : OUT std_logic; AXI_R_UNDERFLOW : OUT std_logic; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR : IN std_logic; AXIS_INJECTDBITERR : IN std_logic; AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_SBITERR : OUT std_logic; AXIS_DBITERR : OUT std_logic; AXIS_OVERFLOW : OUT std_logic; AXIS_UNDERFLOW : OUT std_logic); end rx_data_fifo_top_wrapper; architecture xilinx of rx_data_fifo_top_wrapper is SIGNAL clk_i : std_logic; component rx_data_fifo_top is PORT ( CLK : IN std_logic; DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0); ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(32-1 DOWNTO 0); DOUT : OUT std_logic_vector(32-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin clk_i <= CLK; fg1 : rx_data_fifo_top PORT MAP ( CLK => clk_i, DATA_COUNT => data_count, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, SRST => srst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
--********************************************************************************** -- Copyright 2013, Ryan Henderson -- CMOS digital camera controller and frame capture device -- -- LEDDecoder.vhd -- -- --********************************************************************************** library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity LEDDecoder is Port ( d : in std_logic_vector(3 downto 0); s : out std_logic_vector(6 downto 0)); end LEDDecoder; architecture Behavioral of LEDDecoder is begin s <= "1110111" when d=x"0" else "0010010" when d=x"1" else "1011101" when d=x"2" else "1011011" when d=x"3" else "0111010" when d=x"4" else "1101011" when d=x"5" else "1101111" when d=x"6" else "1010010" when d=x"7" else "1111111" when d=x"8" else "1111011" when d=x"9" else "1111110" when d=x"A" else "0101111" when d=x"B" else "0001101" when d=x"C" else "0011111" when d=x"D" else "1101101" when d=x"E" else "1101100"; end Behavioral;
entity tb_if01 is end tb_if01; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_if01 is signal c0, c1 : std_logic; signal r : std_logic; begin dut: entity work.if01 port map (c0, c1, r); process begin c0 <= '1'; c1 <= '0'; wait for 1 ns; assert r = '0' severity failure; c0 <= '0'; c1 <= '0'; wait for 1 ns; assert r = '0' severity failure; c0 <= '1'; c1 <= '1'; wait for 1 ns; assert r = '1' severity failure; c0 <= '0'; c1 <= '1'; wait for 1 ns; assert r = '0' severity failure; wait; end process; end behav;
--Legal Notice: (C)2013 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity cpu_0_jtag_debug_module_tck is port ( -- inputs: signal MonDReg : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal break_readreg : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal dbrk_hit0_latch : IN STD_LOGIC; signal dbrk_hit1_latch : IN STD_LOGIC; signal dbrk_hit2_latch : IN STD_LOGIC; signal dbrk_hit3_latch : IN STD_LOGIC; signal debugack : IN STD_LOGIC; signal ir_in : IN STD_LOGIC_VECTOR (1 DOWNTO 0); signal jtag_state_rti : IN STD_LOGIC; signal monitor_error : IN STD_LOGIC; signal monitor_ready : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal resetlatch : IN STD_LOGIC; signal tck : IN STD_LOGIC; signal tdi : IN STD_LOGIC; signal tracemem_on : IN STD_LOGIC; signal tracemem_trcdata : IN STD_LOGIC_VECTOR (35 DOWNTO 0); signal tracemem_tw : IN STD_LOGIC; signal trc_im_addr : IN STD_LOGIC_VECTOR (6 DOWNTO 0); signal trc_on : IN STD_LOGIC; signal trc_wrap : IN STD_LOGIC; signal trigbrktype : IN STD_LOGIC; signal trigger_state_1 : IN STD_LOGIC; signal vs_cdr : IN STD_LOGIC; signal vs_sdr : IN STD_LOGIC; signal vs_uir : IN STD_LOGIC; -- outputs: signal ir_out : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); signal jrst_n : OUT STD_LOGIC; signal sr : OUT STD_LOGIC_VECTOR (37 DOWNTO 0); signal st_ready_test_idle : OUT STD_LOGIC; signal tdo : OUT STD_LOGIC ); end entity cpu_0_jtag_debug_module_tck; architecture europa of cpu_0_jtag_debug_module_tck is component altera_std_synchronizer is GENERIC ( depth : NATURAL ); PORT ( signal dout : OUT STD_LOGIC; signal clk : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal din : IN STD_LOGIC ); end component altera_std_synchronizer; signal DRsize : STD_LOGIC_VECTOR (2 DOWNTO 0); signal debugack_sync : STD_LOGIC; signal internal_jrst_n1 : STD_LOGIC; signal internal_sr : STD_LOGIC_VECTOR (37 DOWNTO 0); signal monitor_ready_sync : STD_LOGIC; signal unxcomplemented_resetxx0 : STD_LOGIC; signal unxcomplemented_resetxx1 : STD_LOGIC; attribute ALTERA_ATTRIBUTE : string; attribute ALTERA_ATTRIBUTE of DRSize : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,D103,R101"""; attribute ALTERA_ATTRIBUTE of sr : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,D103,R101"""; begin process (tck) begin if tck'event and tck = '1' then if std_logic'(vs_cdr) = '1' then case ir_in is when std_logic_vector'("00") => internal_sr(35) <= debugack_sync; internal_sr(34) <= monitor_error; internal_sr(33) <= resetlatch; internal_sr(32 DOWNTO 1) <= MonDReg; internal_sr(0) <= monitor_ready_sync; -- when std_logic_vector'("00") when std_logic_vector'("01") => internal_sr(35 DOWNTO 0) <= tracemem_trcdata; internal_sr(37) <= tracemem_tw; internal_sr(36) <= tracemem_on; -- when std_logic_vector'("01") when std_logic_vector'("10") => internal_sr(37) <= trigger_state_1; internal_sr(36) <= dbrk_hit3_latch; internal_sr(35) <= dbrk_hit2_latch; internal_sr(34) <= dbrk_hit1_latch; internal_sr(33) <= dbrk_hit0_latch; internal_sr(32 DOWNTO 1) <= break_readreg; internal_sr(0) <= trigbrktype; -- when std_logic_vector'("10") when std_logic_vector'("11") => internal_sr(15 DOWNTO 12) <= std_logic_vector'("000") & (A_TOSTDLOGICVECTOR(std_logic'('0'))); internal_sr(11 DOWNTO 2) <= std_logic_vector'("000") & (trc_im_addr); internal_sr(1) <= trc_wrap; internal_sr(0) <= trc_on; -- when std_logic_vector'("11") when others => -- when others end case; -- ir_in end if; if std_logic'(vs_sdr) = '1' then case DRsize is when std_logic_vector'("000") => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & internal_sr(37 DOWNTO 2) & A_ToStdLogicVector(tdi)); -- when std_logic_vector'("000") when std_logic_vector'("001") => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & internal_sr(37 DOWNTO 9) & A_ToStdLogicVector(tdi) & internal_sr(7 DOWNTO 1)); -- when std_logic_vector'("001") when std_logic_vector'("010") => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & internal_sr(37 DOWNTO 17) & A_ToStdLogicVector(tdi) & internal_sr(15 DOWNTO 1)); -- when std_logic_vector'("010") when std_logic_vector'("011") => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & internal_sr(37 DOWNTO 33) & A_ToStdLogicVector(tdi) & internal_sr(31 DOWNTO 1)); -- when std_logic_vector'("011") when std_logic_vector'("100") => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & A_ToStdLogicVector(internal_sr(37)) & A_ToStdLogicVector(tdi) & internal_sr(35 DOWNTO 1)); -- when std_logic_vector'("100") when std_logic_vector'("101") => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & internal_sr(37 DOWNTO 1)); -- when std_logic_vector'("101") when others => internal_sr <= Std_Logic_Vector'(A_ToStdLogicVector(tdi) & internal_sr(37 DOWNTO 2) & A_ToStdLogicVector(tdi)); -- when others end case; -- DRsize end if; if std_logic'(vs_uir) = '1' then case ir_in is when std_logic_vector'("00") => DRsize <= std_logic_vector'("100"); -- when std_logic_vector'("00") when std_logic_vector'("01") => DRsize <= std_logic_vector'("101"); -- when std_logic_vector'("01") when std_logic_vector'("10") => DRsize <= std_logic_vector'("101"); -- when std_logic_vector'("10") when std_logic_vector'("11") => DRsize <= std_logic_vector'("010"); -- when std_logic_vector'("11") when others => -- when others end case; -- ir_in end if; end if; end process; tdo <= internal_sr(0); st_ready_test_idle <= jtag_state_rti; unxcomplemented_resetxx0 <= internal_jrst_n1; the_altera_std_synchronizer : altera_std_synchronizer generic map( depth => 2 ) port map( clk => tck, din => debugack, dout => debugack_sync, reset_n => unxcomplemented_resetxx0 ); unxcomplemented_resetxx1 <= internal_jrst_n1; the_altera_std_synchronizer1 : altera_std_synchronizer generic map( depth => 2 ) port map( clk => tck, din => monitor_ready, dout => monitor_ready_sync, reset_n => unxcomplemented_resetxx1 ); process (tck, internal_jrst_n1) begin if internal_jrst_n1 = '0' then ir_out <= std_logic_vector'("00"); elsif tck'event and tck = '1' then ir_out <= Std_Logic_Vector'(A_ToStdLogicVector(debugack_sync) & A_ToStdLogicVector(monitor_ready_sync)); end if; end process; --vhdl renameroo for output signals jrst_n <= internal_jrst_n1; --vhdl renameroo for output signals sr <= internal_sr; --synthesis translate_off internal_jrst_n1 <= reset_n; --synthesis translate_on --synthesis read_comments_as_HDL on -- internal_jrst_n1 <= std_logic'('1'); --synthesis read_comments_as_HDL off end europa;
library ieee; use ieee.std_logic_1164.all; package rec03_pkg is type myenum is (s0, s1, s2, s3); type myrec is record a : myenum; b : std_logic; end record; end rec03_pkg;
library ieee; use ieee.std_logic_1164.all; package rec03_pkg is type myenum is (s0, s1, s2, s3); type myrec is record a : myenum; b : std_logic; end record; end rec03_pkg;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY ps2KeyboardInterface IS PORT ( clk: IN std_logic; rst: IN std_logic; ps2Clk: IN std_logic; ps2Data: IN std_logic; data: OUT std_logic_vector (7 DOWNTO 0); newData: OUT std_logic; newDataAck: IN std_logic ); END ps2KeyboardInterface; ARCHITECTURE ps2KeyboardInterfaceArch OF ps2KeyboardInterface IS SIGNAL ldData, validData, lastBitRcv, ps2ClkSync, ps2ClkFallingEdge: std_logic; SIGNAL ps2DataRegOut: std_logic_vector(10 DOWNTO 0); SIGNAL goodParity: std_logic; BEGIN synchronizer: PROCESS (rst, clk) VARIABLE aux1: std_logic; BEGIN IF (rst='0') THEN aux1 := '1'; ps2ClkSync <= '1'; ELSIF (clk'EVENT AND clk='1') THEN ps2ClkSync <= aux1; aux1 := ps2Clk; END IF; END PROCESS synchronizer; edgeDetector: PROCESS (rst, clk) VARIABLE aux1, aux2: std_logic; BEGIN ps2ClkFallingEdge <= (NOT aux1) AND aux2; IF (rst='0') THEN aux1 := '1'; aux2 := '1'; ELSIF (clk'EVENT AND clk='1') THEN aux2 := aux1; aux1 := ps2ClkSync; END IF; END PROCESS edgeDetector; ps2DataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN ps2DataRegOut <= (OTHERS =>'1'); ELSIF (clk'EVENT AND clk='1') THEN IF (lastBitRcv='1') THEN ps2DataRegOut <= (OTHERS=>'1'); ELSIF (ps2ClkFallingEdge='1') THEN ps2DataRegOut <= ps2Data & ps2DataRegOut(10 downto 1); END IF; END IF; END PROCESS ps2DataReg; oddParityCheker: goodParity <= ((ps2DataRegOut(9) XOR ps2DataRegOut(8)) XOR (ps2DataRegOut(7) XOR ps2DataRegOut(6))) XOR ((ps2DataRegOut(5) XOR ps2DataRegOut(4)) XOR (ps2DataRegOut(3) XOR ps2DataRegOut(2))) XOR ps2DataRegOut(1); lastBitRcv <= NOT ps2DataRegOut(0); validData <= lastBitRcv AND goodParity; dataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN data <= (OTHERS=>'0'); ELSIF (clk'EVENT AND clk='1') THEN IF (ldData='1') THEN data <= ps2DataRegOut(8 downto 1); END IF; END IF; END PROCESS dataReg; controller: PROCESS (validData, rst, clk) TYPE states IS (waitingData, waitingNewDataAck); VARIABLE state: states; BEGIN ldData <= '0'; newData <= '0'; CASE state IS WHEN waitingData => IF (validData='1') THEN ldData <= '1'; END IF; WHEN waitingNewDataAck => newData <= '1'; WHEN OTHERS => NULL; END CASE; IF (rst='0') THEN state := waitingData; ELSIF (clk'EVENT AND clk='1') THEN CASE state IS WHEN waitingData => IF (validData='1') THEN state := waitingNewDataAck; END IF; WHEN waitingNewDataAck => IF (newDataAck='1') THEN state := waitingData; END IF; WHEN OTHERS => NULL; END CASE; END IF; END PROCESS controller; END ps2KeyboardInterfaceArch;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY ps2KeyboardInterface IS PORT ( clk: IN std_logic; rst: IN std_logic; ps2Clk: IN std_logic; ps2Data: IN std_logic; data: OUT std_logic_vector (7 DOWNTO 0); newData: OUT std_logic; newDataAck: IN std_logic ); END ps2KeyboardInterface; ARCHITECTURE ps2KeyboardInterfaceArch OF ps2KeyboardInterface IS SIGNAL ldData, validData, lastBitRcv, ps2ClkSync, ps2ClkFallingEdge: std_logic; SIGNAL ps2DataRegOut: std_logic_vector(10 DOWNTO 0); SIGNAL goodParity: std_logic; BEGIN synchronizer: PROCESS (rst, clk) VARIABLE aux1: std_logic; BEGIN IF (rst='0') THEN aux1 := '1'; ps2ClkSync <= '1'; ELSIF (clk'EVENT AND clk='1') THEN ps2ClkSync <= aux1; aux1 := ps2Clk; END IF; END PROCESS synchronizer; edgeDetector: PROCESS (rst, clk) VARIABLE aux1, aux2: std_logic; BEGIN ps2ClkFallingEdge <= (NOT aux1) AND aux2; IF (rst='0') THEN aux1 := '1'; aux2 := '1'; ELSIF (clk'EVENT AND clk='1') THEN aux2 := aux1; aux1 := ps2ClkSync; END IF; END PROCESS edgeDetector; ps2DataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN ps2DataRegOut <= (OTHERS =>'1'); ELSIF (clk'EVENT AND clk='1') THEN IF (lastBitRcv='1') THEN ps2DataRegOut <= (OTHERS=>'1'); ELSIF (ps2ClkFallingEdge='1') THEN ps2DataRegOut <= ps2Data & ps2DataRegOut(10 downto 1); END IF; END IF; END PROCESS ps2DataReg; oddParityCheker: goodParity <= ((ps2DataRegOut(9) XOR ps2DataRegOut(8)) XOR (ps2DataRegOut(7) XOR ps2DataRegOut(6))) XOR ((ps2DataRegOut(5) XOR ps2DataRegOut(4)) XOR (ps2DataRegOut(3) XOR ps2DataRegOut(2))) XOR ps2DataRegOut(1); lastBitRcv <= NOT ps2DataRegOut(0); validData <= lastBitRcv AND goodParity; dataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN data <= (OTHERS=>'0'); ELSIF (clk'EVENT AND clk='1') THEN IF (ldData='1') THEN data <= ps2DataRegOut(8 downto 1); END IF; END IF; END PROCESS dataReg; controller: PROCESS (validData, rst, clk) TYPE states IS (waitingData, waitingNewDataAck); VARIABLE state: states; BEGIN ldData <= '0'; newData <= '0'; CASE state IS WHEN waitingData => IF (validData='1') THEN ldData <= '1'; END IF; WHEN waitingNewDataAck => newData <= '1'; WHEN OTHERS => NULL; END CASE; IF (rst='0') THEN state := waitingData; ELSIF (clk'EVENT AND clk='1') THEN CASE state IS WHEN waitingData => IF (validData='1') THEN state := waitingNewDataAck; END IF; WHEN waitingNewDataAck => IF (newDataAck='1') THEN state := waitingData; END IF; WHEN OTHERS => NULL; END CASE; END IF; END PROCESS controller; END ps2KeyboardInterfaceArch;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY ps2KeyboardInterface IS PORT ( clk: IN std_logic; rst: IN std_logic; ps2Clk: IN std_logic; ps2Data: IN std_logic; data: OUT std_logic_vector (7 DOWNTO 0); newData: OUT std_logic; newDataAck: IN std_logic ); END ps2KeyboardInterface; ARCHITECTURE ps2KeyboardInterfaceArch OF ps2KeyboardInterface IS SIGNAL ldData, validData, lastBitRcv, ps2ClkSync, ps2ClkFallingEdge: std_logic; SIGNAL ps2DataRegOut: std_logic_vector(10 DOWNTO 0); SIGNAL goodParity: std_logic; BEGIN synchronizer: PROCESS (rst, clk) VARIABLE aux1: std_logic; BEGIN IF (rst='0') THEN aux1 := '1'; ps2ClkSync <= '1'; ELSIF (clk'EVENT AND clk='1') THEN ps2ClkSync <= aux1; aux1 := ps2Clk; END IF; END PROCESS synchronizer; edgeDetector: PROCESS (rst, clk) VARIABLE aux1, aux2: std_logic; BEGIN ps2ClkFallingEdge <= (NOT aux1) AND aux2; IF (rst='0') THEN aux1 := '1'; aux2 := '1'; ELSIF (clk'EVENT AND clk='1') THEN aux2 := aux1; aux1 := ps2ClkSync; END IF; END PROCESS edgeDetector; ps2DataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN ps2DataRegOut <= (OTHERS =>'1'); ELSIF (clk'EVENT AND clk='1') THEN IF (lastBitRcv='1') THEN ps2DataRegOut <= (OTHERS=>'1'); ELSIF (ps2ClkFallingEdge='1') THEN ps2DataRegOut <= ps2Data & ps2DataRegOut(10 downto 1); END IF; END IF; END PROCESS ps2DataReg; oddParityCheker: goodParity <= ((ps2DataRegOut(9) XOR ps2DataRegOut(8)) XOR (ps2DataRegOut(7) XOR ps2DataRegOut(6))) XOR ((ps2DataRegOut(5) XOR ps2DataRegOut(4)) XOR (ps2DataRegOut(3) XOR ps2DataRegOut(2))) XOR ps2DataRegOut(1); lastBitRcv <= NOT ps2DataRegOut(0); validData <= lastBitRcv AND goodParity; dataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN data <= (OTHERS=>'0'); ELSIF (clk'EVENT AND clk='1') THEN IF (ldData='1') THEN data <= ps2DataRegOut(8 downto 1); END IF; END IF; END PROCESS dataReg; controller: PROCESS (validData, rst, clk) TYPE states IS (waitingData, waitingNewDataAck); VARIABLE state: states; BEGIN ldData <= '0'; newData <= '0'; CASE state IS WHEN waitingData => IF (validData='1') THEN ldData <= '1'; END IF; WHEN waitingNewDataAck => newData <= '1'; WHEN OTHERS => NULL; END CASE; IF (rst='0') THEN state := waitingData; ELSIF (clk'EVENT AND clk='1') THEN CASE state IS WHEN waitingData => IF (validData='1') THEN state := waitingNewDataAck; END IF; WHEN waitingNewDataAck => IF (newDataAck='1') THEN state := waitingData; END IF; WHEN OTHERS => NULL; END CASE; END IF; END PROCESS controller; END ps2KeyboardInterfaceArch;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY ps2KeyboardInterface IS PORT ( clk: IN std_logic; rst: IN std_logic; ps2Clk: IN std_logic; ps2Data: IN std_logic; data: OUT std_logic_vector (7 DOWNTO 0); newData: OUT std_logic; newDataAck: IN std_logic ); END ps2KeyboardInterface; ARCHITECTURE ps2KeyboardInterfaceArch OF ps2KeyboardInterface IS SIGNAL ldData, validData, lastBitRcv, ps2ClkSync, ps2ClkFallingEdge: std_logic; SIGNAL ps2DataRegOut: std_logic_vector(10 DOWNTO 0); SIGNAL goodParity: std_logic; BEGIN synchronizer: PROCESS (rst, clk) VARIABLE aux1: std_logic; BEGIN IF (rst='0') THEN aux1 := '1'; ps2ClkSync <= '1'; ELSIF (clk'EVENT AND clk='1') THEN ps2ClkSync <= aux1; aux1 := ps2Clk; END IF; END PROCESS synchronizer; edgeDetector: PROCESS (rst, clk) VARIABLE aux1, aux2: std_logic; BEGIN ps2ClkFallingEdge <= (NOT aux1) AND aux2; IF (rst='0') THEN aux1 := '1'; aux2 := '1'; ELSIF (clk'EVENT AND clk='1') THEN aux2 := aux1; aux1 := ps2ClkSync; END IF; END PROCESS edgeDetector; ps2DataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN ps2DataRegOut <= (OTHERS =>'1'); ELSIF (clk'EVENT AND clk='1') THEN IF (lastBitRcv='1') THEN ps2DataRegOut <= (OTHERS=>'1'); ELSIF (ps2ClkFallingEdge='1') THEN ps2DataRegOut <= ps2Data & ps2DataRegOut(10 downto 1); END IF; END IF; END PROCESS ps2DataReg; oddParityCheker: goodParity <= ((ps2DataRegOut(9) XOR ps2DataRegOut(8)) XOR (ps2DataRegOut(7) XOR ps2DataRegOut(6))) XOR ((ps2DataRegOut(5) XOR ps2DataRegOut(4)) XOR (ps2DataRegOut(3) XOR ps2DataRegOut(2))) XOR ps2DataRegOut(1); lastBitRcv <= NOT ps2DataRegOut(0); validData <= lastBitRcv AND goodParity; dataReg: PROCESS (rst, clk) BEGIN IF (rst='0') THEN data <= (OTHERS=>'0'); ELSIF (clk'EVENT AND clk='1') THEN IF (ldData='1') THEN data <= ps2DataRegOut(8 downto 1); END IF; END IF; END PROCESS dataReg; controller: PROCESS (validData, rst, clk) TYPE states IS (waitingData, waitingNewDataAck); VARIABLE state: states; BEGIN ldData <= '0'; newData <= '0'; CASE state IS WHEN waitingData => IF (validData='1') THEN ldData <= '1'; END IF; WHEN waitingNewDataAck => newData <= '1'; WHEN OTHERS => NULL; END CASE; IF (rst='0') THEN state := waitingData; ELSIF (clk'EVENT AND clk='1') THEN CASE state IS WHEN waitingData => IF (validData='1') THEN state := waitingNewDataAck; END IF; WHEN waitingNewDataAck => IF (newDataAck='1') THEN state := waitingData; END IF; WHEN OTHERS => NULL; END CASE; END IF; END PROCESS controller; END ps2KeyboardInterfaceArch;
-- ------------------------------------------------------------- -- -- Entity Declaration for adc -- -- Generated -- by: wig -- on: Thu Feb 10 19:03:15 2005 -- cmd: H:/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bugver.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: adc-e.vhd,v 1.2 2005/04/14 06:52:59 wig Exp $ -- $Date: 2005/04/14 06:52:59 $ -- $Log: adc-e.vhd,v $ -- Revision 1.2 2005/04/14 06:52:59 wig -- Updates: fixed import errors and adjusted I2C parser -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.49 2005/01/27 08:20:30 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.33 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity adc -- entity adc is -- Generics: -- No Generated Generics for Entity adc -- Generated Port Declaration: -- No Generated Port for Entity adc end adc; -- -- End of Generated Entity adc -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- NEED RESULT: ARCH00178.P1: Multi inertial transactions occurred on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00178: One inertial transaction occurred on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00178: Old transactions were removed on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00178: One inertial transaction occurred on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00178: Inertial semantics check on a signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00178: Inertial semantics check on a signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00178: Inertial semantics check on a signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: P1: Inertial transactions entirely completed failed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00178 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00178(ARCH00178) -- ENT00178_Test_Bench(ARCH00178_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00178 is port ( s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00178.P1" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns, c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 30 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00178" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 100 ns; -- when 5 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00178" , "Old transactions were removed on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns, c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 30 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns ; -- when 6 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00178" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; -- The following will mark last transaction above s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns; -- when 7 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00178" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00178" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by a selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- -- end ENT00178 ; -- architecture ARCH00178 of ENT00178 is begin P1 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_rec3, counter, correct, savtime, chk_st_rec3 ) ; wait until (not s_st_rec3'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- -- end ARCH00178 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00178_Test_Bench is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00178_Test_Bench ; -- architecture ARCH00178_Test_Bench of ENT00178_Test_Bench is begin L1: block component UUT port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00178 ( ARCH00178 ) ; begin CIS1 : UUT port map ( s_st_rec3 ) ; end block L1 ; end ARCH00178_Test_Bench ;
architecture RTL of FIFO is signal sig1 : std_logic; signal sig2 : std_logic; -- Violations below signal sig1 : std_logic; signal sig2 : std_logic; begin end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity test is generic( ROW_BITS : integer := 8; WIDTH : integer := 16 ); port( clk : in std_logic; rd_addr : in std_logic_vector(ROW_BITS - 1 downto 0); rd_data : out std_logic_vector(WIDTH - 1 downto 0); wr_en : in std_logic; wr_sel : in std_logic_vector(WIDTH/8 - 1 downto 0); wr_addr : in std_logic_vector(ROW_BITS - 1 downto 0); wr_data : in std_logic_vector(WIDTH - 1 downto 0) ); end test; architecture rtl of test is constant SIZE : integer := 2**ROW_BITS; type ram_type is array (0 to SIZE - 1) of std_logic_vector(WIDTH - 1 downto 0); signal ram : ram_type; begin process(clk) variable lbit : integer range 0 to WIDTH - 1; variable mbit : integer range 0 to WIDTH - 1; variable widx : integer range 0 to SIZE - 1; begin if rising_edge(clk) then if wr_en = '1' then for i in 0 to WIDTH/8-1 loop lbit := i * 8; mbit := lbit + 7; widx := to_integer(unsigned(wr_addr)); if wr_sel(i) = '1' then ram(widx)(mbit downto lbit) <= wr_data(mbit downto lbit); end if; end loop; end if; rd_data <= ram(to_integer(unsigned(rd_addr))); end if; end process; end;
library verilog; use verilog.vl_types.all; entity mem_stage is port( clk : in vl_logic; reset : in vl_logic; stall : in vl_logic; flush : in vl_logic; busy : out vl_logic; fwd_data : out vl_logic_vector(31 downto 0); spm_rd_data : in vl_logic_vector(31 downto 0); spm_addr : out vl_logic_vector(29 downto 0); spm_as_n : out vl_logic; spm_rw : out vl_logic; spm_wr_data : out vl_logic_vector(31 downto 0); bus_rd_data : in vl_logic_vector(31 downto 0); bus_rdy_n : in vl_logic; bus_grant_n : in vl_logic; bus_req_n : out vl_logic; bus_addr : out vl_logic_vector(29 downto 0); bus_as_n : out vl_logic; bus_rw : out vl_logic; bus_wr_data : out vl_logic_vector(31 downto 0); ex_pc : in vl_logic_vector(29 downto 0); ex_en : in vl_logic; ex_br_flag : in vl_logic; ex_mem_op : in vl_logic_vector(1 downto 0); ex_mem_wr_data : in vl_logic_vector(31 downto 0); ex_ctrl_op : in vl_logic_vector(1 downto 0); ex_dst_addr : in vl_logic_vector(4 downto 0); ex_gpr_we_n : in vl_logic; ex_exp_code : in vl_logic_vector(2 downto 0); ex_out : in vl_logic_vector(31 downto 0); mem_pc : out vl_logic_vector(29 downto 0); mem_en : out vl_logic; mem_br_flag : out vl_logic; mem_ctrl_op : out vl_logic_vector(1 downto 0); mem_dst_addr : out vl_logic_vector(4 downto 0); mem_gpr_we_n : out vl_logic; mem_exp_code : out vl_logic_vector(2 downto 0); mem_out : out vl_logic_vector(31 downto 0) ); end mem_stage;
---------------------------------------------------------------------------------------------------- -- inverter_maia_2.vhd --- ---------------------------------------------------------------------------------------------------- -- Inverter for F_2^m ---------------------------------------------------------------------------------------------------- -- Author : Miguel Morales-Sandoval --- -- Project : "Hardware Arquitecture for ECC and Lossless Data Compression --- -- Organization : INAOE, Computer Science Department --- -- Date : July, 2004. --- ---------------------------------------------------------------------------------------------------- -- Coments: This is an implementation of the Modified Almost Inverse Algorithm. -- Diferent to the first implementation, here the test g(U) < g(V) is -- performed directly by a m+1 bit comparer. ---------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_unsigned.all; use IEEE.STD_LOGIC_arith.all; -------------------------------------------------------- entity inverter_maia_163 is generic( NUM_BITS : positive := 163 -- The order of the finite field ); port( ax : in STD_LOGIC_VECTOR(NUM_BITS-1 downto 0); -- input polynomial of grade m-1 clk : in STD_LOGIC; rst : in STD_LOGIC; done : out STD_LOGIC; z : out STD_LOGIC_VECTOR(NUM_BITS-1 downto 0) ); end ; --------------------------------------------------------- architecture behave of inverter_maia_163 is --------------------------------------------------------- signal B,C,U,V : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal processing registers, one bit more signal Bx_Op1 : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Multiplexer which depends on if B is ever or odd signal Ux_div_x : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- U and B divided by x signal Bx_div_x : STD_LOGIC_VECTOR(NUM_BITS downto 0); --163 constant UNO : STD_LOGIC_VECTOR(NUM_BITS downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; --233 -- constant UNO : STD_LOGIC_VECTOR(NUM_BITS downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; --277 -- constant UNO : STD_LOGIC_VECTOR(NUM_BITS downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; --283 -- constant UNO : STD_LOGIC_VECTOR(NUM_BITS downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; --409 -- constant UNO : STD_LOGIC_VECTOR(NUM_BITS downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; --571 -- constant UNO : STD_LOGIC_VECTOR(NUM_BITS downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; -- -- m = 163 x163 + x7 + x6 + x3 + 1 constant Fx: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001"; -- m = 233 x233 + x74 + 1 --constant Fx: std_logic_vector(NUM_BITS downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001"; -- m = 277 x277 + x74 + 1 --constant Fx: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001001"; --277 bits -- m = 283 x283 + x12 + x7 + x5 + 1 --constant Fx: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010100001"; -- m = 409 x409 + x87 + 1 --constant Fx: std_logic_vector(NUM_BITS1 downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001"; -- m = 571 x571 + x10 + x5 + x2 + 1 --constant Fx: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100101"; ---------------------------------------------------------------------------------- -- States fot the FSM controlling the execution of the algorithm ---------------------------------------------------------------------------------- type CurrentState_type is (END_STATE, LOOP_U0, NEXT_STEP); signal State: CurrentState_type; ---------------------------------------------------------------------------------- begin ------------------------------------------------------ Ux_div_x <= '0' & U(NUM_BITS downto 1); -- Dividing U and B by x Bx_div_x <= '0' & Bx_Op1(NUM_BITS downto 1); ------------------------------------------------------ Bx_Op1 <= B xor Fx when B(0) = '1' else -- Multiplexer for operand B B; ------------------------------------------------------- -- The Modified ALmost Inverse Algorithm implementation ------------------------------------------------------- EEAL: process (clk) begin -- syncronous reset if CLK'event and CLK = '1' then if (rst = '1')then -- initialize internal registers State <= LOOP_U0; B <= UNO; U <= '0'&Ax; V <= Fx; C <= (others => '0'); z <= (others => '0'); -- set to zero the output register Done <= '0'; else case State is ----------------------------------------------------------------------------------- when LOOP_U0 => -- Stay here while U be even if U(0) = '1' then if U = UNO then -- The algorithm finishes when U = 1 Z <= B(NUM_BITS-1 downto 0); Done <= '1'; State <= END_STATE; else if U < V then -- Interchange the registers U <-> V and B <-> C U <= V; V <= U; B <= C; C <= B; end if; State <= NEXT_STEP; end if; else -- Divide U and B and repeat the process U <= Ux_div_x; B <= Bx_div_x; end if; ----------------------------------------------------------------------------------- when NEXT_STEP => -- update U and B with the values previously assigned U <= U xor V; B <= B xor C; State <= LOOP_U0; ----------------------------------------------------------------------------------- when END_STATE => -- Do nothing State <= END_STATE; ----------------------------------------------------------------------------------- when others => null; end case; end if; end if; end process; end behave;
----------------------------------------------------------------------- ----------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ----------------------------------------------------------------------- -- Module to divide the clock ----------------------------------------------------------------------- entity clk_div_sseg is Port ( clk : in std_logic; sclk : out std_logic); end clk_div_sseg; architecture my_clk_div of clk_div_sseg is constant max_count : integer := (2200); signal tmp_clk : std_logic := '0'; begin my_div: process (clk,tmp_clk) variable div_cnt : integer := 0; begin if (rising_edge(clk)) then if (div_cnt = MAX_COUNT) then tmp_clk <= not tmp_clk; div_cnt := 0; else div_cnt := div_cnt + 1; end if; end if; sclk <= tmp_clk; end process my_div; end my_clk_div;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Jun 04 00:41:32 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_rgb565_to_rgb888_0_0 -prefix -- system_rgb565_to_rgb888_0_0_ system_rgb565_to_rgb888_0_0_sim_netlist.vhdl -- Design : system_rgb565_to_rgb888_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 is port ( rgb_888 : out STD_LOGIC_VECTOR ( 15 downto 0 ); rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); clk : in STD_LOGIC ); end system_rgb565_to_rgb888_0_0_rgb565_to_rgb888; architecture STRUCTURE of system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 is begin \rgb_888_reg[10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(5), Q => rgb_888(5), R => '0' ); \rgb_888_reg[11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(6), Q => rgb_888(6), R => '0' ); \rgb_888_reg[12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(7), Q => rgb_888(7), R => '0' ); \rgb_888_reg[13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(8), Q => rgb_888(8), R => '0' ); \rgb_888_reg[14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(9), Q => rgb_888(9), R => '0' ); \rgb_888_reg[15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(10), Q => rgb_888(10), R => '0' ); \rgb_888_reg[19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(11), Q => rgb_888(11), R => '0' ); \rgb_888_reg[20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(12), Q => rgb_888(12), R => '0' ); \rgb_888_reg[21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(13), Q => rgb_888(13), R => '0' ); \rgb_888_reg[22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(14), Q => rgb_888(14), R => '0' ); \rgb_888_reg[23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(15), Q => rgb_888(15), R => '0' ); \rgb_888_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(0), Q => rgb_888(0), R => '0' ); \rgb_888_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(1), Q => rgb_888(1), R => '0' ); \rgb_888_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(2), Q => rgb_888(2), R => '0' ); \rgb_888_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(3), Q => rgb_888(3), R => '0' ); \rgb_888_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(4), Q => rgb_888(4), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rgb565_to_rgb888_0_0 is port ( clk : in STD_LOGIC; rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rgb_888 : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_rgb565_to_rgb888_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_rgb565_to_rgb888_0_0 : entity is "system_rgb565_to_rgb888_0_0,rgb565_to_rgb888,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_rgb565_to_rgb888_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_rgb565_to_rgb888_0_0 : entity is "rgb565_to_rgb888,Vivado 2016.4"; end system_rgb565_to_rgb888_0_0; architecture STRUCTURE of system_rgb565_to_rgb888_0_0 is signal \<const0>\ : STD_LOGIC; signal \^rgb_888\ : STD_LOGIC_VECTOR ( 20 downto 3 ); begin rgb_888(23 downto 21) <= \^rgb_888\(18 downto 16); rgb_888(20 downto 16) <= \^rgb_888\(20 downto 16); rgb_888(15 downto 14) <= \^rgb_888\(9 downto 8); rgb_888(13 downto 3) <= \^rgb_888\(13 downto 3); rgb_888(2) <= \<const0>\; rgb_888(1) <= \<const0>\; rgb_888(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); U0: entity work.system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 port map ( clk => clk, rgb_565(15 downto 0) => rgb_565(15 downto 0), rgb_888(15 downto 13) => \^rgb_888\(18 downto 16), rgb_888(12 downto 11) => \^rgb_888\(20 downto 19), rgb_888(10 downto 9) => \^rgb_888\(9 downto 8), rgb_888(8 downto 5) => \^rgb_888\(13 downto 10), rgb_888(4 downto 0) => \^rgb_888\(7 downto 3) ); end STRUCTURE;
-------------------------------------------------------------------------------- -- Numonyx™ 128 Mbit EMBEDDED FLASH MEMORY J3 Version D -- -------------------------------------------------------------------------------- -- See <flash.h> and <flash.c> for information on usage and bus interface. -- -- -- -- REFERENCES -- -- -- -- [1] Numonyx™ Embedded Flash Memory(J3 v. D) Datasheet Revision 5 -- -- [2] Mihai Plesa - StrataFlash memory operations on a Spartan-3E -- -- <http://mihaiplesa.ro/blog/> -- -- -- -------------------------------------------------------------------------------- -- Copyright (C)2011 Mathias Hörtnagl <[email protected]> -- -- -- -- This program is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU General Public License as published by -- -- the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This program is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iwb.all; package iflash is component flash port( si : in slave_in_t; so : out slave_out_t; -- Non Wishbone Signals SF_OE : out std_logic; SF_CE : out std_logic; SF_WE : out std_logic; SF_BYTE : out std_logic; --SF_STS : in std_logic; SF_A : out std_logic_vector(23 downto 0); SF_D : inout std_logic_vector(7 downto 0); PF_OE : out std_logic; LCD_RW : out std_logic; LCD_E : out std_logic; SPI_ROM_CS : out std_logic; SPI_ADC_CONV : out std_logic; SPI_DAC_CS : out std_logic ); end component; end iflash;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1684.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p02n01i01684ent IS END c09s02b00x00p02n01i01684ent; ARCHITECTURE c09s02b00x00p02n01i01684arch OF c09s02b00x00p02n01i01684ent IS signal done : bit; signal bomb : bit; BEGIN process (done, bomb) begin end process; TESTING : PROCESS BEGIN assert FALSE report "***PASSED TEST: c09s02b00x00p02n01i01684" severity NOTE; wait; END PROCESS TESTING; END c09s02b00x00p02n01i01684arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1684.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p02n01i01684ent IS END c09s02b00x00p02n01i01684ent; ARCHITECTURE c09s02b00x00p02n01i01684arch OF c09s02b00x00p02n01i01684ent IS signal done : bit; signal bomb : bit; BEGIN process (done, bomb) begin end process; TESTING : PROCESS BEGIN assert FALSE report "***PASSED TEST: c09s02b00x00p02n01i01684" severity NOTE; wait; END PROCESS TESTING; END c09s02b00x00p02n01i01684arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1684.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p02n01i01684ent IS END c09s02b00x00p02n01i01684ent; ARCHITECTURE c09s02b00x00p02n01i01684arch OF c09s02b00x00p02n01i01684ent IS signal done : bit; signal bomb : bit; BEGIN process (done, bomb) begin end process; TESTING : PROCESS BEGIN assert FALSE report "***PASSED TEST: c09s02b00x00p02n01i01684" severity NOTE; wait; END PROCESS TESTING; END c09s02b00x00p02n01i01684arch;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:44:25 09/27/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/Procesador/TbPC.vhd -- Project Name: Procesador -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: PC -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TbPC IS END TbPC; ARCHITECTURE behavior OF TbPC IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT PC PORT( inPC : IN std_logic_vector(31 downto 0); Reset : IN std_logic; Clk : IN std_logic; outPC : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal inPC : std_logic_vector(31 downto 0) := (others => '0'); signal Reset : std_logic := '0'; signal Clk : std_logic := '0'; --Outputs signal outPC : std_logic_vector(31 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: PC PORT MAP ( inPC => inPC, Reset => Reset, Clk => Clk, outPC => outPC ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin Reset <= '0'; inPC <= "00000000000000000000000000000000"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; Reset <= '1'; inPC <= "00000000000000000000000000000000"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000011"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000111"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000001111"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:44:25 09/27/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/Procesador/TbPC.vhd -- Project Name: Procesador -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: PC -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TbPC IS END TbPC; ARCHITECTURE behavior OF TbPC IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT PC PORT( inPC : IN std_logic_vector(31 downto 0); Reset : IN std_logic; Clk : IN std_logic; outPC : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal inPC : std_logic_vector(31 downto 0) := (others => '0'); signal Reset : std_logic := '0'; signal Clk : std_logic := '0'; --Outputs signal outPC : std_logic_vector(31 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: PC PORT MAP ( inPC => inPC, Reset => Reset, Clk => Clk, outPC => outPC ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin Reset <= '0'; inPC <= "00000000000000000000000000000000"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; Reset <= '1'; inPC <= "00000000000000000000000000000000"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000011"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000111"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000001111"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:44:25 09/27/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/Procesador/TbPC.vhd -- Project Name: Procesador -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: PC -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TbPC IS END TbPC; ARCHITECTURE behavior OF TbPC IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT PC PORT( inPC : IN std_logic_vector(31 downto 0); Reset : IN std_logic; Clk : IN std_logic; outPC : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal inPC : std_logic_vector(31 downto 0) := (others => '0'); signal Reset : std_logic := '0'; signal Clk : std_logic := '0'; --Outputs signal outPC : std_logic_vector(31 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: PC PORT MAP ( inPC => inPC, Reset => Reset, Clk => Clk, outPC => outPC ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin Reset <= '0'; inPC <= "00000000000000000000000000000000"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; Reset <= '1'; inPC <= "00000000000000000000000000000000"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000011"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000111"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000001111"; wait for 100 ns; Reset <= '0'; inPC <= "00000000000000000000000000000001"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-- NEED RESULT: ARCH&(TEST_NUM): Relational operators are correctly predefined for generically sized types passed -- NEED RESULT: ARCH&(TEST_NUM): Relational operators are correctly predefined for types passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00309 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.2.2 (1) -- 7.2.2 (2) -- 7.2.2 (6) -- 7.2.2 (9) -- 7.2.2 (10) -- 7.2.2 (11) -- -- DESIGN UNIT ORDERING: -- -- ENT00309(ARCH00309) -- GENERIC_STANDARD_TYPES(ARCH00309_1) -- ENT00309_Test_Bench(ARCH00309_Test_Bench) -- -- REVISION HISTORY: -- -- 21-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00309 is generic ( i_st_bit_vector_1 : st_bit_vector := c_st_bit_vector_1 ; i_st_bit_vector_2 : st_bit_vector := c_st_bit_vector_2 ; i_st_boolean_vector_1 : st_boolean_vector := c_st_boolean_vector_1 ; i_st_boolean_vector_2 : st_boolean_vector := c_st_boolean_vector_2 ; i_st_enum1_vector_1 : st_enum1_vector := c_st_enum1_vector_1 ; i_st_enum1_vector_2 : st_enum1_vector := c_st_enum1_vector_2 ; i_st_integer_vector_1 : st_integer_vector := c_st_integer_vector_1 ; i_st_integer_vector_2 : st_integer_vector := c_st_integer_vector_2 ; i_st_severity_level_vector_1 : st_severity_level_vector := c_st_severity_level_vector_1 ; i_st_severity_level_vector_2 : st_severity_level_vector := c_st_severity_level_vector_2 ; i_st_string_1 : st_string := c_st_string_1 ; i_st_string_2 : st_string := c_st_string_2 ) ; port ( locally_static_correct : out boolean ; globally_static_correct : out boolean ; dynamic_correct : out boolean ) ; end ENT00309 ; architecture ARCH00309 of ENT00309 is begin process variable bool : boolean := true ; variable cons_correct, gen_correct, dyn_correct : boolean := true ; variable v_st_bit_vector_1 : st_bit_vector := c_st_bit_vector_1 ; variable v_st_bit_vector_2 : st_bit_vector := c_st_bit_vector_2 ; variable v_st_boolean_vector_1 : st_boolean_vector := c_st_boolean_vector_1 ; variable v_st_boolean_vector_2 : st_boolean_vector := c_st_boolean_vector_2 ; variable v_st_enum1_vector_1 : st_enum1_vector := c_st_enum1_vector_1 ; variable v_st_enum1_vector_2 : st_enum1_vector := c_st_enum1_vector_2 ; variable v_st_integer_vector_1 : st_integer_vector := c_st_integer_vector_1 ; variable v_st_integer_vector_2 : st_integer_vector := c_st_integer_vector_2 ; variable v_st_severity_level_vector_1 : st_severity_level_vector := c_st_severity_level_vector_1 ; variable v_st_severity_level_vector_2 : st_severity_level_vector := c_st_severity_level_vector_2 ; variable v_st_string_1 : st_string := c_st_string_1 ; variable v_st_string_2 : st_string := c_st_string_2 ; constant c2_st_bit_vector_1 : boolean := i_st_bit_vector_1 < i_st_bit_vector_2 and i_st_bit_vector_1 <= i_st_bit_vector_2 and i_st_bit_vector_2 <= c_st_bit_vector_2 and i_st_bit_vector_2 >= i_st_bit_vector_1 and i_st_bit_vector_1 >= c_st_bit_vector_1 and i_st_bit_vector_2 > i_st_bit_vector_1 and i_st_bit_vector_1 = c_st_bit_vector_1 and i_st_bit_vector_1 /= i_st_bit_vector_2 and not (i_st_bit_vector_1 = i_st_bit_vector_2) ; constant c2_st_boolean_vector_1 : boolean := i_st_boolean_vector_1 < i_st_boolean_vector_2 and i_st_boolean_vector_1 <= i_st_boolean_vector_2 and i_st_boolean_vector_2 <= c_st_boolean_vector_2 and i_st_boolean_vector_2 >= i_st_boolean_vector_1 and i_st_boolean_vector_1 >= c_st_boolean_vector_1 and i_st_boolean_vector_2 > i_st_boolean_vector_1 and i_st_boolean_vector_1 = c_st_boolean_vector_1 and i_st_boolean_vector_1 /= i_st_boolean_vector_2 and not (i_st_boolean_vector_1 = i_st_boolean_vector_2) ; constant c2_st_enum1_vector_1 : boolean := i_st_enum1_vector_1 < i_st_enum1_vector_2 and i_st_enum1_vector_1 <= i_st_enum1_vector_2 and i_st_enum1_vector_2 <= c_st_enum1_vector_2 and i_st_enum1_vector_2 >= i_st_enum1_vector_1 and i_st_enum1_vector_1 >= c_st_enum1_vector_1 and i_st_enum1_vector_2 > i_st_enum1_vector_1 and i_st_enum1_vector_1 = c_st_enum1_vector_1 and i_st_enum1_vector_1 /= i_st_enum1_vector_2 and not (i_st_enum1_vector_1 = i_st_enum1_vector_2) ; constant c2_st_integer_vector_1 : boolean := i_st_integer_vector_1 < i_st_integer_vector_2 and i_st_integer_vector_1 <= i_st_integer_vector_2 and i_st_integer_vector_2 <= c_st_integer_vector_2 and i_st_integer_vector_2 >= i_st_integer_vector_1 and i_st_integer_vector_1 >= c_st_integer_vector_1 and i_st_integer_vector_2 > i_st_integer_vector_1 and i_st_integer_vector_1 = c_st_integer_vector_1 and i_st_integer_vector_1 /= i_st_integer_vector_2 and not (i_st_integer_vector_1 = i_st_integer_vector_2) ; constant c2_st_severity_level_vector_1 : boolean := i_st_severity_level_vector_1 < i_st_severity_level_vector_2 and i_st_severity_level_vector_1 <= i_st_severity_level_vector_2 and i_st_severity_level_vector_2 <= c_st_severity_level_vector_2 and i_st_severity_level_vector_2 >= i_st_severity_level_vector_1 and i_st_severity_level_vector_1 >= c_st_severity_level_vector_1 and i_st_severity_level_vector_2 > i_st_severity_level_vector_1 and i_st_severity_level_vector_1 = c_st_severity_level_vector_1 and i_st_severity_level_vector_1 /= i_st_severity_level_vector_2 and not (i_st_severity_level_vector_1 = i_st_severity_level_vector_2) ; constant c2_st_string_1 : boolean := i_st_string_1 < i_st_string_2 and i_st_string_1 <= i_st_string_2 and i_st_string_2 <= c_st_string_2 and i_st_string_2 >= i_st_string_1 and i_st_string_1 >= c_st_string_1 and i_st_string_2 > i_st_string_1 and i_st_string_1 = c_st_string_1 and i_st_string_1 /= i_st_string_2 and not (i_st_string_1 = i_st_string_2) ; begin gen_correct := gen_correct and c2_st_bit_vector_1 = true ; gen_correct := gen_correct and c2_st_boolean_vector_1 = true ; gen_correct := gen_correct and c2_st_enum1_vector_1 = true ; gen_correct := gen_correct and c2_st_integer_vector_1 = true ; gen_correct := gen_correct and c2_st_severity_level_vector_1 = true ; gen_correct := gen_correct and c2_st_string_1 = true ; dyn_correct := dyn_correct and v_st_bit_vector_1 < v_st_bit_vector_2 and v_st_bit_vector_1 <= v_st_bit_vector_2 and v_st_bit_vector_2 <= c_st_bit_vector_2 and v_st_bit_vector_2 >= v_st_bit_vector_1 and v_st_bit_vector_1 >= c_st_bit_vector_1 and v_st_bit_vector_2 > v_st_bit_vector_1 and v_st_bit_vector_1 = c_st_bit_vector_1 and v_st_bit_vector_1 /= v_st_bit_vector_2 and not (v_st_bit_vector_1 = v_st_bit_vector_2) ; dyn_correct := dyn_correct and v_st_boolean_vector_1 < v_st_boolean_vector_2 and v_st_boolean_vector_1 <= v_st_boolean_vector_2 and v_st_boolean_vector_2 <= c_st_boolean_vector_2 and v_st_boolean_vector_2 >= v_st_boolean_vector_1 and v_st_boolean_vector_1 >= c_st_boolean_vector_1 and v_st_boolean_vector_2 > v_st_boolean_vector_1 and v_st_boolean_vector_1 = c_st_boolean_vector_1 and v_st_boolean_vector_1 /= v_st_boolean_vector_2 and not (v_st_boolean_vector_1 = v_st_boolean_vector_2) ; dyn_correct := dyn_correct and v_st_enum1_vector_1 < v_st_enum1_vector_2 and v_st_enum1_vector_1 <= v_st_enum1_vector_2 and v_st_enum1_vector_2 <= c_st_enum1_vector_2 and v_st_enum1_vector_2 >= v_st_enum1_vector_1 and v_st_enum1_vector_1 >= c_st_enum1_vector_1 and v_st_enum1_vector_2 > v_st_enum1_vector_1 and v_st_enum1_vector_1 = c_st_enum1_vector_1 and v_st_enum1_vector_1 /= v_st_enum1_vector_2 and not (v_st_enum1_vector_1 = v_st_enum1_vector_2) ; dyn_correct := dyn_correct and v_st_integer_vector_1 < v_st_integer_vector_2 and v_st_integer_vector_1 <= v_st_integer_vector_2 and v_st_integer_vector_2 <= c_st_integer_vector_2 and v_st_integer_vector_2 >= v_st_integer_vector_1 and v_st_integer_vector_1 >= c_st_integer_vector_1 and v_st_integer_vector_2 > v_st_integer_vector_1 and v_st_integer_vector_1 = c_st_integer_vector_1 and v_st_integer_vector_1 /= v_st_integer_vector_2 and not (v_st_integer_vector_1 = v_st_integer_vector_2) ; dyn_correct := dyn_correct and v_st_severity_level_vector_1 < v_st_severity_level_vector_2 and v_st_severity_level_vector_1 <= v_st_severity_level_vector_2 and v_st_severity_level_vector_2 <= c_st_severity_level_vector_2 and v_st_severity_level_vector_2 >= v_st_severity_level_vector_1 and v_st_severity_level_vector_1 >= c_st_severity_level_vector_1 and v_st_severity_level_vector_2 > v_st_severity_level_vector_1 and v_st_severity_level_vector_1 = c_st_severity_level_vector_1 and v_st_severity_level_vector_1 /= v_st_severity_level_vector_2 and not (v_st_severity_level_vector_1 = v_st_severity_level_vector_2) ; dyn_correct := dyn_correct and v_st_string_1 < v_st_string_2 and v_st_string_1 <= v_st_string_2 and v_st_string_2 <= c_st_string_2 and v_st_string_2 >= v_st_string_1 and v_st_string_1 >= c_st_string_1 and v_st_string_2 > v_st_string_1 and v_st_string_1 = c_st_string_1 and v_st_string_1 /= v_st_string_2 and not (v_st_string_1 = v_st_string_2) ; locally_static_correct <= cons_correct ; globally_static_correct <= gen_correct ; dynamic_correct <= dyn_correct ; wait; end process ; end ARCH00309 ; architecture ARCH00309_1 of GENERIC_STANDARD_TYPES is begin B : block generic ( i_st_bit_vector_1 : st_bit_vector := c_st_bit_vector_1 ; i_st_bit_vector_2 : st_bit_vector := c_st_bit_vector_2 ; i_st_boolean_vector_1 : st_boolean_vector := c_st_boolean_vector_1 ; i_st_boolean_vector_2 : st_boolean_vector := c_st_boolean_vector_2 ; i_st_enum1_vector_1 : st_enum1_vector := c_st_enum1_vector_1 ; i_st_enum1_vector_2 : st_enum1_vector := c_st_enum1_vector_2 ; i_st_integer_vector_1 : st_integer_vector := c_st_integer_vector_1 ; i_st_integer_vector_2 : st_integer_vector := c_st_integer_vector_2 ; i_st_severity_level_vector_1 : st_severity_level_vector := c_st_severity_level_vector_1 ; i_st_severity_level_vector_2 : st_severity_level_vector := c_st_severity_level_vector_2 ; i_st_string_1 : st_string := c_st_string_1 ; i_st_string_2 : st_string := c_st_string_2 ) ; begin process variable bool : boolean := true ; variable gen_correct, dyn_correct : boolean := true ; variable v_st_bit_vector_1 : st_bit_vector := c_st_bit_vector_1 ; variable v_st_bit_vector_2 : st_bit_vector := c_st_bit_vector_2 ; variable v_st_boolean_vector_1 : st_boolean_vector := c_st_boolean_vector_1 ; variable v_st_boolean_vector_2 : st_boolean_vector := c_st_boolean_vector_2 ; variable v_st_enum1_vector_1 : st_enum1_vector := c_st_enum1_vector_1 ; variable v_st_enum1_vector_2 : st_enum1_vector := c_st_enum1_vector_2 ; variable v_st_integer_vector_1 : st_integer_vector := c_st_integer_vector_1 ; variable v_st_integer_vector_2 : st_integer_vector := c_st_integer_vector_2 ; variable v_st_severity_level_vector_1 : st_severity_level_vector := c_st_severity_level_vector_1 ; variable v_st_severity_level_vector_2 : st_severity_level_vector := c_st_severity_level_vector_2 ; variable v_st_string_1 : st_string := c_st_string_1 ; variable v_st_string_2 : st_string := c_st_string_2 ; constant c2_st_bit_vector_1 : boolean := i_st_bit_vector_1 < i_st_bit_vector_2 and i_st_bit_vector_1 <= i_st_bit_vector_2 and i_st_bit_vector_2 <= c_st_bit_vector_2 and i_st_bit_vector_2 >= i_st_bit_vector_1 and i_st_bit_vector_1 >= c_st_bit_vector_1 and i_st_bit_vector_2 > i_st_bit_vector_1 and i_st_bit_vector_1 = c_st_bit_vector_1 and i_st_bit_vector_1 /= i_st_bit_vector_2 and not (i_st_bit_vector_1 = i_st_bit_vector_2) ; constant c2_st_boolean_vector_1 : boolean := i_st_boolean_vector_1 < i_st_boolean_vector_2 and i_st_boolean_vector_1 <= i_st_boolean_vector_2 and i_st_boolean_vector_2 <= c_st_boolean_vector_2 and i_st_boolean_vector_2 >= i_st_boolean_vector_1 and i_st_boolean_vector_1 >= c_st_boolean_vector_1 and i_st_boolean_vector_2 > i_st_boolean_vector_1 and i_st_boolean_vector_1 = c_st_boolean_vector_1 and i_st_boolean_vector_1 /= i_st_boolean_vector_2 and not (i_st_boolean_vector_1 = i_st_boolean_vector_2) ; constant c2_st_enum1_vector_1 : boolean := i_st_enum1_vector_1 < i_st_enum1_vector_2 and i_st_enum1_vector_1 <= i_st_enum1_vector_2 and i_st_enum1_vector_2 <= c_st_enum1_vector_2 and i_st_enum1_vector_2 >= i_st_enum1_vector_1 and i_st_enum1_vector_1 >= c_st_enum1_vector_1 and i_st_enum1_vector_2 > i_st_enum1_vector_1 and i_st_enum1_vector_1 = c_st_enum1_vector_1 and i_st_enum1_vector_1 /= i_st_enum1_vector_2 and not (i_st_enum1_vector_1 = i_st_enum1_vector_2) ; constant c2_st_integer_vector_1 : boolean := i_st_integer_vector_1 < i_st_integer_vector_2 and i_st_integer_vector_1 <= i_st_integer_vector_2 and i_st_integer_vector_2 <= c_st_integer_vector_2 and i_st_integer_vector_2 >= i_st_integer_vector_1 and i_st_integer_vector_1 >= c_st_integer_vector_1 and i_st_integer_vector_2 > i_st_integer_vector_1 and i_st_integer_vector_1 = c_st_integer_vector_1 and i_st_integer_vector_1 /= i_st_integer_vector_2 and not (i_st_integer_vector_1 = i_st_integer_vector_2) ; constant c2_st_severity_level_vector_1 : boolean := i_st_severity_level_vector_1 < i_st_severity_level_vector_2 and i_st_severity_level_vector_1 <= i_st_severity_level_vector_2 and i_st_severity_level_vector_2 <= c_st_severity_level_vector_2 and i_st_severity_level_vector_2 >= i_st_severity_level_vector_1 and i_st_severity_level_vector_1 >= c_st_severity_level_vector_1 and i_st_severity_level_vector_2 > i_st_severity_level_vector_1 and i_st_severity_level_vector_1 = c_st_severity_level_vector_1 and i_st_severity_level_vector_1 /= i_st_severity_level_vector_2 and not (i_st_severity_level_vector_1 = i_st_severity_level_vector_2) ; constant c2_st_string_1 : boolean := i_st_string_1 < i_st_string_2 and i_st_string_1 <= i_st_string_2 and i_st_string_2 <= c_st_string_2 and i_st_string_2 >= i_st_string_1 and i_st_string_1 >= c_st_string_1 and i_st_string_2 > i_st_string_1 and i_st_string_1 = c_st_string_1 and i_st_string_1 /= i_st_string_2 and not (i_st_string_1 = i_st_string_2) ; begin dyn_correct := dyn_correct and v_st_bit_vector_1 < v_st_bit_vector_2 and v_st_bit_vector_1 <= v_st_bit_vector_2 and v_st_bit_vector_2 <= c_st_bit_vector_2 and v_st_bit_vector_2 >= v_st_bit_vector_1 and v_st_bit_vector_1 >= c_st_bit_vector_1 and v_st_bit_vector_2 > v_st_bit_vector_1 and v_st_bit_vector_1 = c_st_bit_vector_1 and v_st_bit_vector_1 /= v_st_bit_vector_2 and not (v_st_bit_vector_1 = v_st_bit_vector_2) ; dyn_correct := dyn_correct and v_st_boolean_vector_1 < v_st_boolean_vector_2 and v_st_boolean_vector_1 <= v_st_boolean_vector_2 and v_st_boolean_vector_2 <= c_st_boolean_vector_2 and v_st_boolean_vector_2 >= v_st_boolean_vector_1 and v_st_boolean_vector_1 >= c_st_boolean_vector_1 and v_st_boolean_vector_2 > v_st_boolean_vector_1 and v_st_boolean_vector_1 = c_st_boolean_vector_1 and v_st_boolean_vector_1 /= v_st_boolean_vector_2 and not (v_st_boolean_vector_1 = v_st_boolean_vector_2) ; dyn_correct := dyn_correct and v_st_enum1_vector_1 < v_st_enum1_vector_2 and v_st_enum1_vector_1 <= v_st_enum1_vector_2 and v_st_enum1_vector_2 <= c_st_enum1_vector_2 and v_st_enum1_vector_2 >= v_st_enum1_vector_1 and v_st_enum1_vector_1 >= c_st_enum1_vector_1 and v_st_enum1_vector_2 > v_st_enum1_vector_1 and v_st_enum1_vector_1 = c_st_enum1_vector_1 and v_st_enum1_vector_1 /= v_st_enum1_vector_2 and not (v_st_enum1_vector_1 = v_st_enum1_vector_2) ; dyn_correct := dyn_correct and v_st_integer_vector_1 < v_st_integer_vector_2 and v_st_integer_vector_1 <= v_st_integer_vector_2 and v_st_integer_vector_2 <= c_st_integer_vector_2 and v_st_integer_vector_2 >= v_st_integer_vector_1 and v_st_integer_vector_1 >= c_st_integer_vector_1 and v_st_integer_vector_2 > v_st_integer_vector_1 and v_st_integer_vector_1 = c_st_integer_vector_1 and v_st_integer_vector_1 /= v_st_integer_vector_2 and not (v_st_integer_vector_1 = v_st_integer_vector_2) ; dyn_correct := dyn_correct and v_st_severity_level_vector_1 < v_st_severity_level_vector_2 and v_st_severity_level_vector_1 <= v_st_severity_level_vector_2 and v_st_severity_level_vector_2 <= c_st_severity_level_vector_2 and v_st_severity_level_vector_2 >= v_st_severity_level_vector_1 and v_st_severity_level_vector_1 >= c_st_severity_level_vector_1 and v_st_severity_level_vector_2 > v_st_severity_level_vector_1 and v_st_severity_level_vector_1 = c_st_severity_level_vector_1 and v_st_severity_level_vector_1 /= v_st_severity_level_vector_2 and not (v_st_severity_level_vector_1 = v_st_severity_level_vector_2) ; dyn_correct := dyn_correct and v_st_string_1 < v_st_string_2 and v_st_string_1 <= v_st_string_2 and v_st_string_2 <= c_st_string_2 and v_st_string_2 >= v_st_string_1 and v_st_string_1 >= c_st_string_1 and v_st_string_2 > v_st_string_1 and v_st_string_1 = c_st_string_1 and v_st_string_1 /= v_st_string_2 and not (v_st_string_1 = v_st_string_2) ; if gen_correct and dyn_correct then work.standard_types.test_report ( "ARCH&(TEST_NUM)" , "Relational operators are correctly predefined" & " for generically sized types" , true ) ; else work.standard_types.test_report ( "ARCH&(TEST_NUM)" , "Relational operators are correctly predefined" & " for generically sized types" , false ) ; end if ; wait; end process ; end block ; end ARCH00309_1 ; use WORK.STANDARD_TYPES.all ; entity ENT00309_Test_Bench is end ENT00309_Test_Bench ; architecture ARCH00309_Test_Bench of ENT00309_Test_Bench is begin L1: block signal locally_static_correct, globally_static_correct, dynamic_correct : boolean := false ; component UUT end component ; component UUT_1 port ( locally_static_correct, globally_static_correct, dynamic_correct : out boolean ) ; end component ; for CIS2 : UUT_1 use entity WORK.ENT00309 ( ARCH00309 ) ; for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00309_1 ) ; begin CIS2 : UUT_1 port map ( locally_static_correct, globally_static_correct, dynamic_correct ) ; CIS1 : UUT ; process ( locally_static_correct, globally_static_correct, dynamic_correct ) begin if locally_static_correct and globally_static_correct and dynamic_correct then test_report ( "ARCH&(TEST_NUM)" , "Relational operators are correctly predefined" & " for types" , true ) ; end if ; end process ; end block L1 ; end ARCH00309_Test_Bench ;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc564.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:32 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:29 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:04 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00564ent IS END c03s04b01x00p01n01i00564ent; ARCHITECTURE c03s04b01x00p01n01i00564arch OF c03s04b01x00p01n01i00564ent IS type severity_level_file is file of severity_level; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : severity_level_file open read_mode is "iofile.17"; variable v : severity_level; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= note) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00564" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00564 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00564arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc564.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:32 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:29 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:04 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00564ent IS END c03s04b01x00p01n01i00564ent; ARCHITECTURE c03s04b01x00p01n01i00564arch OF c03s04b01x00p01n01i00564ent IS type severity_level_file is file of severity_level; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : severity_level_file open read_mode is "iofile.17"; variable v : severity_level; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= note) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00564" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00564 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00564arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc564.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:32 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:29 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:04 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00564ent IS END c03s04b01x00p01n01i00564ent; ARCHITECTURE c03s04b01x00p01n01i00564arch OF c03s04b01x00p01n01i00564ent IS type severity_level_file is file of severity_level; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : severity_level_file open read_mode is "iofile.17"; variable v : severity_level; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= note) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00564" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00564 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00564arch;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:19:19 05/27/2011 -- Design Name: -- Module Name: /home/xiadz/prog/fpga/oscilloscope/test_bits_aggregator.vhd -- Project Name: oscilloscope -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: bits_aggregator -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.numeric_std.ALL; ENTITY test_bits_aggregator IS END test_bits_aggregator; ARCHITECTURE behavior OF test_bits_aggregator IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT bits_aggregator PORT( nrst : IN std_logic; clk108 : IN std_logic; flush_and_return_to_zero : IN std_logic; write_enable : IN std_logic; red_value : IN std_logic; green_value : IN std_logic; blue_value : IN std_logic; wea : OUT std_logic; addra : OUT std_logic_vector(12 downto 0); dina : OUT std_logic_vector(8 downto 0) ); END COMPONENT; --Inputs signal nrst : std_logic := '0'; signal clk108 : std_logic := '0'; signal flush_and_return_to_zero : std_logic := '0'; signal write_enable : std_logic := '0'; signal red_value : std_logic := '0'; signal green_value : std_logic := '0'; signal blue_value : std_logic := '0'; --Outputs signal wea : std_logic; signal addra : std_logic_vector(12 downto 0); signal dina : std_logic_vector(8 downto 0); signal rgb : std_logic_vector (2 downto 0) := (others => '0'); -- Clock period definitions constant clk108_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: bits_aggregator PORT MAP ( nrst => nrst, clk108 => clk108, flush_and_return_to_zero => flush_and_return_to_zero, write_enable => write_enable, red_value => red_value, green_value => green_value, blue_value => blue_value, wea => wea, addra => addra, dina => dina ); -- Clock process definitions clk108_process :process begin clk108 <= '0'; wait for clk108_period/2; clk108 <= '1'; wait for clk108_period/2; end process; -- Stimulus process stim_proc: process variable mod3 : integer range 0 to 3 := 0; variable sent_row : std_logic_vector (8 downto 0) := (others => '0'); begin -- hold reset state for 100 ns. nrst <= '0'; wait for 100 ns; nrst <= '1'; wait for clk108_period*10; while true loop assert mod3 = 0 report "Unit-test internal error"; for i in 1 to 99 loop wait for clk108_period; red_value <= rgb (0); green_value <= rgb (1); blue_value <= rgb (2); sent_row ((mod3 * 3) + 2 downto mod3 * 3) := rgb; wait for clk108_period; write_enable <= '1'; wait for clk108_period; write_enable <= '0'; wait for clk108_period; rgb <= rgb + 1; mod3 := mod3 + 1; if mod3 = 3 then mod3 := 0; assert sent_row = dina report "Entity generated improper memory input"; end if; end loop; assert mod3 = 0 report "Unit-test internal error"; -- Testing flush_and_return_to_zero wait for clk108_period * 10; write_enable <= '1'; flush_and_return_to_zero <= '1'; wait for clk108_period; write_enable <= '0'; flush_and_return_to_zero <= '0'; wait for clk108_period; assert "000000" & (rgb - 1) = dina report "Entity generated improper memory input after flushing"; -- Now writing 3 bytes. After successbul flush they should be sent to memory row 0 write_enable <= '1'; wait for clk108_period; assert addra /= "0000000000000" report "Address after flushing went to zero too fast"; wait for clk108_period; assert addra /= "0000000000000" report "Address after flushing went to zero too fast"; wait for clk108_period; write_enable <= '0'; assert addra = "0000000000000" report "Address after flushing didn't go to zero."; assert dina = (rgb - 1) & (rgb - 1) & (rgb - 1) report "Improper dina signal after flushing and writing full word."; --assert addra = "0000000000000" report "Address after flushing is not zero"; end loop; wait; end process; END;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_CASTXTOY.VHD *** --*** *** --*** Function: Cast Internal Single to *** --*** Internal Double *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_castxtoy IS GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); END hcc_castxtoy; ARCHITECTURE rtl OF hcc_castxtoy IS signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1); BEGIN -- x : 32/36 signed mantissa, 10 bit exponent -- y : (internal) 64 signed mantissa, 13 bit exponent exponentadjust <= conv_std_logic_vector (896,13); cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11); gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE cc(k) <= aa(11); END GENERATE; cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust; ccsat <= aasat; cczip <= aazip; END rtl;
------------------------------------------------------------------------------- -- $Id: blk_mem_gen_wrapper.vhd,v 1.1.2.69 2010/12/17 19:23:25 dougt Exp $ ------------------------------------------------------------------------------- -- blk_mem_gen_wrapper.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- **************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the users sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009. 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- **************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: blk_mem_gen_wrapper.vhd -- Version: v1.00a -- Description: -- This wrapper file performs the direct call to Block Memory Generator -- during design implementation ------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- blk_mem_gen_wrapper.vhd -- | -- |-- blk_mem_gen_v2_7 -- | -- |-- blk_mem_gen_v6_2 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: MW -- Revision: $Revision: 1.1.2.69 $ -- Date: $7/11/2008$ -- -- History: -- MW 7/11/2008 Initial Version -- MSH 2/26/2009 Add new blk_mem_gen version -- -- DET 4/8/2009 EDK 11.2 -- ~~~~~~ -- - Added blk_mem_gen_v3_2 instance callout -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_2 -- to blk_mem_gen_v3_3 (for the S6/V6 IfGen case) -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_3 -- to blk_mem_gen_v4_1 (for the S6/V6 IfGen case) -- ^^^^^^ -- -- DET 3/17/2010 Initial -- ~~~~~~ -- -- Per CR554253 -- - Incorporated changes to comment out FLOP_DELAY parameter from the -- blk_mem_gen_v4_1 instance. This parameter is on the XilinxCoreLib -- model for blk_mem_gen_v4_1 but is declared as a TIME type for the -- vhdl version and an integer for the verilog. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added constants FAM_IS_V6_OR_S6 and FAM_IS_NOT_V6_OR_S6. -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/27/2010 EDK 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the the Blk Mem Gen version blk_mem_gen_v4_3 for the S6/V6 -- and later build case. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated to blk_mem_gen V5.2. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated to blk_mem_gen V6.1 -- ^^^^^^ -- -- DET 12/17/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR587494 -- - Regressed back to blk_mem_gen V5.2 -- ^^^^^^ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use blk_mem_gen_v6_2 for s6, v6, and later. -- ^^^^^^ -- -- DET 3/3/2011 EDK 13.2 -- ~~~~~~ -- - Removed C_ELABORATION_DIR parameter from the blk_mem_gen_v6_2 -- instance. -- ^^^^^^ -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synopsys translate_off --Library XilinxCoreLib; -- synopsys translate_on library blk_mem_gen_v8_2; library proc_common_v4_0; use blk_mem_gen_v8_2.all; --use proc_common_v4_0.coregen_comp_defs.all; use proc_common_v4_0.family_support.all; ------------------------------------------------------------------------------ -- Port Declaration ------------------------------------------------------------------------------ entity blk_mem_gen_wrapper is generic ( -- Device Family c_family : string := "virtex5"; -- "Virtex2" -- "Virtex4" -- "Virtex5" c_xdevicefamily : string := "virtex5"; -- Finest Resolution Device Family -- "Virtex2" -- "Virtex2-Pro" -- "Virtex4" -- "Virtex5" -- "Spartan-3A" -- "Spartan-3A DSP" c_elaboration_dir : string := ""; -- Memory Specific Configurations c_mem_type : integer := 2; -- This wrapper only supports the True Dual Port RAM -- 0: Single Port RAM -- 1: Simple Dual Port RAM -- 2: True Dual Port RAM -- 3: Single Port Rom -- 4: Dual Port RAM c_algorithm : integer := 1; -- 0: Selectable Primative -- 1: Minimum Area c_prim_type : integer := 1; -- 0: ( 1-bit wide) -- 1: ( 2-bit wide) -- 2: ( 4-bit wide) -- 3: ( 9-bit wide) -- 4: (18-bit wide) -- 5: (36-bit wide) -- 6: (72-bit wide, single port only) c_byte_size : integer := 9; -- 8 or 9 -- Simulation Behavior Options c_sim_collision_check : string := "NONE"; -- "None" -- "Generate_X" -- "All" -- "Warnings_only" c_common_clk : integer := 1; -- 0, 1 c_disable_warn_bhv_coll : integer := 0; -- 0, 1 c_disable_warn_bhv_range : integer := 0; -- 0, 1 -- Initialization Configuration Options c_load_init_file : integer := 0; c_init_file_name : string := "no_coe_file_loaded"; c_use_default_data : integer := 0; -- 0, 1 c_default_data : string := "0"; -- "..." -- Port A Specific Configurations c_has_mem_output_regs_a : integer := 0; -- 0, 1 c_has_mux_output_regs_a : integer := 0; -- 0, 1 c_write_width_a : integer := 32; -- 1 to 1152 c_read_width_a : integer := 32; -- 1 to 1152 c_write_depth_a : integer := 64; -- 2 to 9011200 c_read_depth_a : integer := 64; -- 2 to 9011200 c_addra_width : integer := 6; -- 1 to 24 c_write_mode_a : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_ena : integer := 1; -- 0, 1 c_has_regcea : integer := 0; -- 0, 1 c_has_ssra : integer := 0; -- 0, 1 c_sinita_val : string := "0"; --"..." c_use_byte_wea : integer := 0; -- 0, 1 c_wea_width : integer := 1; -- 1 to 128 -- Port B Specific Configurations c_has_mem_output_regs_b : integer := 0; -- 0, 1 c_has_mux_output_regs_b : integer := 0; -- 0, 1 c_write_width_b : integer := 32; -- 1 to 1152 c_read_width_b : integer := 32; -- 1 to 1152 c_write_depth_b : integer := 64; -- 2 to 9011200 c_read_depth_b : integer := 64; -- 2 to 9011200 c_addrb_width : integer := 6; -- 1 to 24 c_write_mode_b : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_enb : integer := 1; -- 0, 1 c_has_regceb : integer := 0; -- 0, 1 c_has_ssrb : integer := 0; -- 0, 1 c_sinitb_val : string := "0"; -- "..." c_use_byte_web : integer := 0; -- 0, 1 c_web_width : integer := 1; -- 1 to 128 -- Other Miscellaneous Configurations c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3 -- The number of pipeline stages within the MUX -- for both Port A and Port B c_use_ecc : integer := 0; -- See DS512 for the limited core option selections for ECC support c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1 -- c_corename : string := "blk_mem_gen_v2_7" --Uncommenting the above parameter (C_CORENAME) will cause --the a failure in NGCBuild!!! ); port ( clka : in std_logic; ssra : in std_logic := '0'; dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0'); addra : in std_logic_vector(c_addra_width-1 downto 0); ena : in std_logic := '1'; regcea : in std_logic := '1'; wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0'); douta : out std_logic_vector(c_read_width_a-1 downto 0); clkb : in std_logic := '0'; ssrb : in std_logic := '0'; dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0'); addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0'); enb : in std_logic := '1'; regceb : in std_logic := '1'; web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0'); doutb : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr : out std_logic; -- Double bit error that that cannot be auto corrected by ECC sbiterr : out std_logic -- Single Bit Error that has been auto corrected on the output bus ); end entity blk_mem_gen_wrapper; architecture implementation of blk_mem_gen_wrapper is Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0); signal S_AXI_AWREADY : STD_LOGIC; signal S_AXI_WREADY : STD_LOGIC; signal S_AXI_BID : STD_LOGIC_VECTOR(3 DOWNTO 0); signal S_AXI_BRESP : STD_LOGIC_VECTOR(1 DOWNTO 0); signal S_AXI_BVALID : STD_LOGIC; signal S_AXI_ARREADY : STD_LOGIC; signal S_AXI_RID : STD_LOGIC_VECTOR(3 DOWNTO 0); signal S_AXI_RDATA : STD_LOGIC_VECTOR(c_write_width_b-1 DOWNTO 0); signal S_AXI_RRESP : STD_LOGIC_VECTOR(1 DOWNTO 0); signal S_AXI_RLAST : STD_LOGIC; signal S_AXI_RVALID : STD_LOGIC; signal S_AXI_SBITERR : STD_LOGIC; signal S_AXI_DBITERR : STD_LOGIC; signal S_AXI_RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0); signal S_AXI_WSTRB : STD_LOGIC_VECTOR(c_wea_width-1 downto 0); signal S_AXI_WDATA : STD_LOGIC_VECTOR(c_write_width_a-1 downto 0); begin S_AXI_WSTRB <= (others => '0'); S_AXI_WDATA <= (others => '0'); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising clock edge to issue assertion Wait until clka = '1'; wait until clka = '0'; Wait until clka = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait; -- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low douta <= (others => '0'); -- : out std_logic_vector(c_read_width_a-1 downto 0); doutb <= (others => '0'); -- : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr <= '0' ; -- : out std_logic; sbiterr <= '0' ; -- : out std_logic end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the Block Memeory using blk_mem_gen 5.2. -- This is for new cores designed and tested with FPGA -- Families of Virtex-6, Spartan-6 and later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen Block Memory Generator Call module -- for new IP BRAM implementations. -- ------------------------------------------------------------------------------- I_TRUE_DUAL_PORT_BLK_MEM_GEN : entity blk_mem_gen_v8_2.blk_mem_gen_v8_2 generic map ( --C_CORENAME => c_corename , -- Device Family C_FAMILY => FAMILY_TO_USE , C_XDEVICEFAMILY => c_xdevicefamily , C_ELABORATION_DIR => c_elaboration_dir , ------------------ C_INTERFACE_TYPE => 0 , C_USE_BRAM_BLOCK => 0 , C_AXI_TYPE => 0 , C_AXI_SLAVE_TYPE => 0 , C_HAS_AXI_ID => 0 , C_AXI_ID_WIDTH => 4 , ------------------ -- Memory Specific Configurations C_MEM_TYPE => c_mem_type , C_BYTE_SIZE => c_byte_size , C_ALGORITHM => c_algorithm , C_PRIM_TYPE => c_prim_type , C_LOAD_INIT_FILE => c_load_init_file , C_INIT_FILE_NAME => c_init_file_name , C_INIT_FILE => "" , C_USE_DEFAULT_DATA => c_use_default_data , C_DEFAULT_DATA => c_default_data , -- Port A Specific Configurations --C_RST_TYPE => "SYNC" , --Removed in version v8_2 C_HAS_RSTA => c_has_ssra , C_RST_PRIORITY_A => "CE" , C_RSTRAM_A => 0 , C_INITA_VAL => c_sinita_val , C_HAS_ENA => c_has_ena , C_HAS_REGCEA => c_has_regcea , C_USE_BYTE_WEA => c_use_byte_wea , C_WEA_WIDTH => c_wea_width , C_WRITE_MODE_A => c_write_mode_a , C_WRITE_WIDTH_A => c_write_width_a , C_READ_WIDTH_A => c_read_width_a , C_WRITE_DEPTH_A => c_write_depth_a , C_READ_DEPTH_A => c_read_depth_a , C_ADDRA_WIDTH => c_addra_width , -- Port B Specific Configurations C_HAS_RSTB => c_has_ssrb , C_RST_PRIORITY_B => "CE" , C_RSTRAM_B => 0 , C_INITB_VAL => c_sinitb_val , C_HAS_ENB => c_has_enb , C_HAS_REGCEB => c_has_regceb , C_USE_BYTE_WEB => c_use_byte_web , C_WEB_WIDTH => c_web_width , C_WRITE_MODE_B => c_write_mode_b , C_WRITE_WIDTH_B => c_write_width_b , C_READ_WIDTH_B => c_read_width_b , C_WRITE_DEPTH_B => c_write_depth_b , C_READ_DEPTH_B => c_read_depth_b , C_ADDRB_WIDTH => c_addrb_width , C_HAS_MEM_OUTPUT_REGS_A => c_has_mem_output_regs_a , C_HAS_MEM_OUTPUT_REGS_B => c_has_mem_output_regs_b , C_HAS_MUX_OUTPUT_REGS_A => c_has_mux_output_regs_a , C_HAS_MUX_OUTPUT_REGS_B => c_has_mux_output_regs_b , C_HAS_SOFTECC_INPUT_REGS_A => 0 , C_HAS_SOFTECC_OUTPUT_REGS_B => 0 , -- Other Miscellaneous Configurations C_MUX_PIPELINE_STAGES => c_mux_pipeline_stages , C_USE_SOFTECC => 0 , C_USE_ECC => c_use_ecc , C_EN_ECC_PIPE => 0 , -- Simulation Behavior Options C_HAS_INJECTERR => 0 , C_SIM_COLLISION_CHECK => c_sim_collision_check , C_COMMON_CLK => c_common_clk , C_DISABLE_WARN_BHV_COLL => c_disable_warn_bhv_coll , C_EN_SLEEP_PIN => 0 , C_DISABLE_WARN_BHV_RANGE => c_disable_warn_bhv_range ) port map ( CLKA => clka , RSTA => ssra , ENA => ena , REGCEA => regcea , WEA => wea , ADDRA => addra , DINA => dina , DOUTA => douta , CLKB => clkb , RSTB => ssrb , ENB => enb , REGCEB => regceb , WEB => web , ADDRB => addrb , DINB => dinb , DOUTB => doutb , INJECTSBITERR => '0' , -- input INJECTDBITERR => '0' , -- input SBITERR => sbiterr , DBITERR => dbiterr , RDADDRECC => RDADDRECC , -- output ECCPIPECE => '0' , SLEEP => '0' , -- AXI BMG Input and Output Port Declarations -- new for v6.2 -- new for v6.2 -- AXI Global Signals -- new for v6.2 S_AClk => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_ARESETN => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Slave Write (write side) -- new for v6.2 S_AXI_AWID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_AWREADY => S_AXI_AWREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_WDATA => S_AXI_WDATA , -- : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_WSTRB => S_AXI_WSTRB , -- : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_WLAST => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_WVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_WREADY => S_AXI_WREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_BID => S_AXI_BID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_BRESP => S_AXI_BRESP , -- : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); -- new for v6.2 S_AXI_BVALID => S_AXI_BVALID , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_BREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Slave Read (Write side) -- new for v6.2 S_AXI_ARID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_ARREADY => S_AXI_ARREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RID => S_AXI_RID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_RDATA => S_AXI_RDATA , -- : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); -- new for v6.2 S_AXI_RRESP => S_AXI_RRESP , -- : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); -- new for v6.2 S_AXI_RLAST => S_AXI_RLAST , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RVALID => S_AXI_RVALID , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Sideband Signals -- new for v6.2 S_AXI_INJECTSBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_INJECTDBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_SBITERR => S_AXI_SBITERR , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_DBITERR => S_AXI_DBITERR , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RDADDRECC => S_AXI_RDADDRECC -- : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) -- new for v6.2 ); end generate FAMILY_SUPPORTED; end implementation;
entity test is end;
-- Author : K. Abdelouahab -- Company : DREAM - Institut Pascal - Unviersite Clermont Auvergne library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity sconv is generic ( LINE_WIDTH_MAX : integer := 320; IN_SIZE : integer := 8; OUT_SIZE : integer := 8; CLK_PROC_FREQ : integer := 48000000 ); port ( clk_proc : in std_logic; reset_n : in std_logic; in_data : in std_logic_vector((IN_SIZE-1) downto 0); in_fv : in std_logic; in_dv : in std_logic; addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); out_data : out std_logic_vector((OUT_SIZE-1) downto 0); out_fv : out std_logic; out_dv : out std_logic; datard_o : out std_logic_vector(31 downto 0) ); end sconv; architecture rtl of sconv is component conv_slave port ( clk_proc : in std_logic; reset_n : in std_logic; addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0); enable_o : out std_logic; widthimg_o : out std_logic_vector(15 downto 0); w11_o : out std_logic_vector (7 downto 0); w12_o : out std_logic_vector (7 downto 0); w13_o : out std_logic_vector (7 downto 0); w21_o : out std_logic_vector (7 downto 0); w22_o : out std_logic_vector (7 downto 0); w23_o : out std_logic_vector (7 downto 0); w31_o : out std_logic_vector (7 downto 0); w32_o : out std_logic_vector (7 downto 0); w33_o : out std_logic_vector (7 downto 0); norm_o : out std_logic_vector (7 downto 0) ); end component; component conv_process generic ( LINE_WIDTH_MAX: integer; PIX_WIDTH : integer ); port( clk_proc : in std_logic; reset_n : in std_logic; in_data : in std_logic_vector((PIX_WIDTH-1) downto 0); in_fv : in std_logic; in_dv : in std_logic; w11,w12,w13 : in std_logic_vector ((PIX_WIDTH-1) downto 0); w21,w22,w23 : in std_logic_vector ((PIX_WIDTH-1) downto 0); w31,w32,w33 : in std_logic_vector ((PIX_WIDTH-1) downto 0); norm : in std_logic_vector ((PIX_WIDTH-1) downto 0); enable_i : in std_logic; widthimg_i : in std_logic_vector(15 downto 0); out_data : out std_logic_vector (PIX_WIDTH-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end component; signal enable_s : std_logic; signal widthimg_s : std_logic_vector(15 downto 0); signal w11s,w12s,w13s : std_logic_vector (IN_SIZE-1 downto 0); signal w21s,w22s,w23s : std_logic_vector (IN_SIZE-1 downto 0); signal w31s,w32s,w33s : std_logic_vector (IN_SIZE-1 downto 0); signal norms : std_logic_vector (IN_SIZE-1 downto 0); begin conv_slave_inst : conv_slave port map ( clk_proc => clk_proc, reset_n => reset_n, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o, enable_o => enable_s, widthimg_o => widthimg_s, w11_o => w11s, w12_o => w12s, w13_o => w13s, w21_o => w21s, w22_o => w22s, w23_o => w23s, w31_o => w31s, w32_o => w32s, w33_o => w33s, norm_o => norms ); conv_process_inst : conv_process generic map ( LINE_WIDTH_MAX => LINE_WIDTH_MAX, PIX_WIDTH => IN_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, in_data => in_data, in_fv => in_fv, in_dv => in_dv, out_data => out_data, out_fv => out_fv, out_dv => out_dv, norm => norms, enable_i => enable_s, widthimg_i => widthimg_s, w11 => w11s, w12 => w12s, w13 => w13s, w21 => w21s, w22 => w22s, w23 => w23s, w31 => w31s, w32 => w32s, w33 => w33s ); end rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ADDRESS_DECODER is port( -- address input PA: in unsigned(15 downto 0); -- chip selects output -- memory CSROMH: out std_logic; CSROML: out std_logic; CSRAM : out std_logic; -- io chips CSUART: out std_logic; CSCTC : out std_logic; CSPIO : out std_logic ); end; architecture Behavioral of ADDRESS_DECODER is begin -- memory CSROMH <= 0 when ((PA >= x"0000") and (PA < x"2000")); CSROML <= 0 when ((PA >= x"4000") and (PA < x"4000")); CSRAM <= 0 when (PA >= x"D000"); -- io chips CSUART <= 0 when ((PA >= x"4000") and (PA < x"4008")); -- CSCTC -- CSPIO end Behavioral;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:lmb_bram_if_cntlr:4.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY lmb_bram_if_cntlr_v4_0_10; USE lmb_bram_if_cntlr_v4_0_10.lmb_bram_if_cntlr; ENTITY system_ilmb_bram_if_cntlr_0 IS PORT ( LMB_Clk : IN STD_LOGIC; LMB_Rst : IN STD_LOGIC; LMB_ABus : IN STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : IN STD_LOGIC_VECTOR(0 TO 31); LMB_AddrStrobe : IN STD_LOGIC; LMB_ReadStrobe : IN STD_LOGIC; LMB_WriteStrobe : IN STD_LOGIC; LMB_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : OUT STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : OUT STD_LOGIC; Sl_Wait : OUT STD_LOGIC; Sl_UE : OUT STD_LOGIC; Sl_CE : OUT STD_LOGIC; BRAM_Rst_A : OUT STD_LOGIC; BRAM_Clk_A : OUT STD_LOGIC; BRAM_Addr_A : OUT STD_LOGIC_VECTOR(0 TO 31); BRAM_EN_A : OUT STD_LOGIC; BRAM_WEN_A : OUT STD_LOGIC_VECTOR(0 TO 3); BRAM_Dout_A : OUT STD_LOGIC_VECTOR(0 TO 31); BRAM_Din_A : IN STD_LOGIC_VECTOR(0 TO 31) ); END system_ilmb_bram_if_cntlr_0; ARCHITECTURE system_ilmb_bram_if_cntlr_0_arch OF system_ilmb_bram_if_cntlr_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ilmb_bram_if_cntlr_0_arch: ARCHITECTURE IS "yes"; COMPONENT lmb_bram_if_cntlr IS GENERIC ( C_FAMILY : STRING; C_HIGHADDR : STD_LOGIC_VECTOR; C_BASEADDR : STD_LOGIC_VECTOR; C_NUM_LMB : INTEGER; C_MASK : STD_LOGIC_VECTOR; C_MASK1 : STD_LOGIC_VECTOR; C_MASK2 : STD_LOGIC_VECTOR; C_MASK3 : STD_LOGIC_VECTOR; C_LMB_AWIDTH : INTEGER; C_LMB_DWIDTH : INTEGER; C_ECC : INTEGER; C_INTERCONNECT : INTEGER; C_FAULT_INJECT : INTEGER; C_CE_FAILING_REGISTERS : INTEGER; C_UE_FAILING_REGISTERS : INTEGER; C_ECC_STATUS_REGISTERS : INTEGER; C_ECC_ONOFF_REGISTER : INTEGER; C_ECC_ONOFF_RESET_VALUE : INTEGER; C_CE_COUNTER_WIDTH : INTEGER; C_WRITE_ACCESS : INTEGER; C_BRAM_AWIDTH : INTEGER; C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER ); PORT ( LMB_Clk : IN STD_LOGIC; LMB_Rst : IN STD_LOGIC; LMB_ABus : IN STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : IN STD_LOGIC_VECTOR(0 TO 31); LMB_AddrStrobe : IN STD_LOGIC; LMB_ReadStrobe : IN STD_LOGIC; LMB_WriteStrobe : IN STD_LOGIC; LMB_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : OUT STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : OUT STD_LOGIC; Sl_Wait : OUT STD_LOGIC; Sl_UE : OUT STD_LOGIC; Sl_CE : OUT STD_LOGIC; LMB1_ABus : IN STD_LOGIC_VECTOR(0 TO 31); LMB1_WriteDBus : IN STD_LOGIC_VECTOR(0 TO 31); LMB1_AddrStrobe : IN STD_LOGIC; LMB1_ReadStrobe : IN STD_LOGIC; LMB1_WriteStrobe : IN STD_LOGIC; LMB1_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl1_DBus : OUT STD_LOGIC_VECTOR(0 TO 31); Sl1_Ready : OUT STD_LOGIC; Sl1_Wait : OUT STD_LOGIC; Sl1_UE : OUT STD_LOGIC; Sl1_CE : OUT STD_LOGIC; LMB2_ABus : IN STD_LOGIC_VECTOR(0 TO 31); LMB2_WriteDBus : IN STD_LOGIC_VECTOR(0 TO 31); LMB2_AddrStrobe : IN STD_LOGIC; LMB2_ReadStrobe : IN STD_LOGIC; LMB2_WriteStrobe : IN STD_LOGIC; LMB2_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl2_DBus : OUT STD_LOGIC_VECTOR(0 TO 31); Sl2_Ready : OUT STD_LOGIC; Sl2_Wait : OUT STD_LOGIC; Sl2_UE : OUT STD_LOGIC; Sl2_CE : OUT STD_LOGIC; LMB3_ABus : IN STD_LOGIC_VECTOR(0 TO 31); LMB3_WriteDBus : IN STD_LOGIC_VECTOR(0 TO 31); LMB3_AddrStrobe : IN STD_LOGIC; LMB3_ReadStrobe : IN STD_LOGIC; LMB3_WriteStrobe : IN STD_LOGIC; LMB3_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl3_DBus : OUT STD_LOGIC_VECTOR(0 TO 31); Sl3_Ready : OUT STD_LOGIC; Sl3_Wait : OUT STD_LOGIC; Sl3_UE : OUT STD_LOGIC; Sl3_CE : OUT STD_LOGIC; BRAM_Rst_A : OUT STD_LOGIC; BRAM_Clk_A : OUT STD_LOGIC; BRAM_Addr_A : OUT STD_LOGIC_VECTOR(0 TO 31); BRAM_EN_A : OUT STD_LOGIC; BRAM_WEN_A : OUT STD_LOGIC_VECTOR(0 TO 3); BRAM_Dout_A : OUT STD_LOGIC_VECTOR(0 TO 31); BRAM_Din_A : IN STD_LOGIC_VECTOR(0 TO 31); S_AXI_CTRL_ACLK : IN STD_LOGIC; S_AXI_CTRL_ARESETN : IN STD_LOGIC; S_AXI_CTRL_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_CTRL_AWVALID : IN STD_LOGIC; S_AXI_CTRL_AWREADY : OUT STD_LOGIC; S_AXI_CTRL_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_CTRL_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_CTRL_WVALID : IN STD_LOGIC; S_AXI_CTRL_WREADY : OUT STD_LOGIC; S_AXI_CTRL_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_CTRL_BVALID : OUT STD_LOGIC; S_AXI_CTRL_BREADY : IN STD_LOGIC; S_AXI_CTRL_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_CTRL_ARVALID : IN STD_LOGIC; S_AXI_CTRL_ARREADY : OUT STD_LOGIC; S_AXI_CTRL_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_CTRL_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_CTRL_RVALID : OUT STD_LOGIC; S_AXI_CTRL_RREADY : IN STD_LOGIC; UE : OUT STD_LOGIC; CE : OUT STD_LOGIC; Interrupt : OUT STD_LOGIC ); END COMPONENT lmb_bram_if_cntlr; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF LMB_Clk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK.LMB_Clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Rst: SIGNAL IS "xilinx.com:signal:reset:1.0 RST.LMB_Rst RST"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB ABUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB BE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB READY"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB WAIT"; ATTRIBUTE X_INTERFACE_INFO OF Sl_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB UE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 SLMB CE"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_Rst_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT RST"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_Clk_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT CLK"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_Addr_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT ADDR"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_EN_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT EN"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_WEN_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT WE"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_Dout_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT DIN"; ATTRIBUTE X_INTERFACE_INFO OF BRAM_Din_A: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORT DOUT"; BEGIN U0 : lmb_bram_if_cntlr GENERIC MAP ( C_FAMILY => "artix7", C_HIGHADDR => X"0000000000007FFF", C_BASEADDR => X"0000000000000000", C_NUM_LMB => 1, C_MASK => X"0000000080000000", C_MASK1 => X"0000000000800000", C_MASK2 => X"0000000000800000", C_MASK3 => X"0000000000800000", C_LMB_AWIDTH => 32, C_LMB_DWIDTH => 32, C_ECC => 0, C_INTERCONNECT => 0, C_FAULT_INJECT => 0, C_CE_FAILING_REGISTERS => 0, C_UE_FAILING_REGISTERS => 0, C_ECC_STATUS_REGISTERS => 0, C_ECC_ONOFF_REGISTER => 0, C_ECC_ONOFF_RESET_VALUE => 1, C_CE_COUNTER_WIDTH => 0, C_WRITE_ACCESS => 2, C_BRAM_AWIDTH => 32, C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32 ) PORT MAP ( LMB_Clk => LMB_Clk, LMB_Rst => LMB_Rst, LMB_ABus => LMB_ABus, LMB_WriteDBus => LMB_WriteDBus, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_BE => LMB_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB1_ABus => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), LMB1_WriteDBus => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), LMB1_AddrStrobe => '0', LMB1_ReadStrobe => '0', LMB1_WriteStrobe => '0', LMB1_BE => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), LMB2_ABus => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), LMB2_WriteDBus => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), LMB2_AddrStrobe => '0', LMB2_ReadStrobe => '0', LMB2_WriteStrobe => '0', LMB2_BE => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), LMB3_ABus => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), LMB3_WriteDBus => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), LMB3_AddrStrobe => '0', LMB3_ReadStrobe => '0', LMB3_WriteStrobe => '0', LMB3_BE => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), BRAM_Rst_A => BRAM_Rst_A, BRAM_Clk_A => BRAM_Clk_A, BRAM_Addr_A => BRAM_Addr_A, BRAM_EN_A => BRAM_EN_A, BRAM_WEN_A => BRAM_WEN_A, BRAM_Dout_A => BRAM_Dout_A, BRAM_Din_A => BRAM_Din_A, S_AXI_CTRL_ACLK => '0', S_AXI_CTRL_ARESETN => '0', S_AXI_CTRL_AWADDR => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), S_AXI_CTRL_AWVALID => '0', S_AXI_CTRL_WDATA => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), S_AXI_CTRL_WSTRB => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), S_AXI_CTRL_WVALID => '0', S_AXI_CTRL_BREADY => '0', S_AXI_CTRL_ARADDR => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), S_AXI_CTRL_ARVALID => '0', S_AXI_CTRL_RREADY => '0' ); END system_ilmb_bram_if_cntlr_0_arch;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Fri Sep 22 23:01:14 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_auto_pc_4_stub.vhdl -- Design : zqynq_lab_1_design_auto_pc_4 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; begin end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); signal state_out, state_in : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; end if; end process; -- anything below here is pure combinational -- combinatorial part process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if (read_en ='1' or fake_credit = '1') then credit_in <= '1'; end if; if read_en = '0' and fake_credit = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, flit_type, valid_in)begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= Body_flit; elsif flit_type ="100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= state_out; elsif flit_type = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and flit_type = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and flit_type ="100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); signal state_out, state_in : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; end if; end process; -- anything below here is pure combinational -- combinatorial part process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if (read_en ='1' or fake_credit = '1') then credit_in <= '1'; end if; if read_en = '0' and fake_credit = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, flit_type, valid_in)begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= Body_flit; elsif flit_type ="100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= state_out; elsif flit_type = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and flit_type = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and flit_type ="100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); signal state_out, state_in : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; end if; end process; -- anything below here is pure combinational -- combinatorial part process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if (read_en ='1' or fake_credit = '1') then credit_in <= '1'; end if; if read_en = '0' and fake_credit = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, flit_type, valid_in)begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= Body_flit; elsif flit_type ="100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= state_out; elsif flit_type = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and flit_type = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and flit_type ="100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc558.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:30 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:27 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:03 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00558ent IS END c03s04b01x00p01n01i00558ent; ARCHITECTURE c03s04b01x00p01n01i00558arch OF c03s04b01x00p01n01i00558ent IS type bit_file is file of bit; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : bit_file open read_mode is "iofile.08"; variable v : bit; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= '1') then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00558" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00558 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00558arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc558.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:30 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:27 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:03 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00558ent IS END c03s04b01x00p01n01i00558ent; ARCHITECTURE c03s04b01x00p01n01i00558arch OF c03s04b01x00p01n01i00558ent IS type bit_file is file of bit; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : bit_file open read_mode is "iofile.08"; variable v : bit; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= '1') then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00558" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00558 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00558arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc558.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:30 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:27 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:03 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00558ent IS END c03s04b01x00p01n01i00558ent; ARCHITECTURE c03s04b01x00p01n01i00558arch OF c03s04b01x00p01n01i00558ent IS type bit_file is file of bit; signal k : integer := 0; BEGIN TESTING: PROCESS file filein : bit_file open read_mode is "iofile.08"; variable v : bit; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= '1') then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00558" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00558 - File reading operation failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00558arch;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; -- -- .. hwt-autodoc:: -- ENTITY UnitWithParams_0 IS GENERIC( DATA_WIDTH : INTEGER := 64 ); PORT( din_data : IN STD_LOGIC_VECTOR(63 DOWNTO 0); din_vld : IN STD_LOGIC; dout_data : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); dout_vld : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE rtl OF UnitWithParams_0 IS BEGIN dout_data <= din_data; dout_vld <= din_vld; ASSERT DATA_WIDTH = 64 REPORT "Generated only for this value" SEVERITY failure; END ARCHITECTURE; LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; -- -- .. hwt-autodoc:: -- ENTITY UnitWithParams IS PORT( din_data : IN STD_LOGIC_VECTOR(63 DOWNTO 0); din_vld : IN STD_LOGIC; dout_data : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); dout_vld : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE rtl OF UnitWithParams IS -- -- .. hwt-autodoc:: -- COMPONENT UnitWithParams_0 IS GENERIC( DATA_WIDTH : INTEGER := 64 ); PORT( din_data : IN STD_LOGIC_VECTOR(63 DOWNTO 0); din_vld : IN STD_LOGIC; dout_data : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); dout_vld : OUT STD_LOGIC ); END COMPONENT; SIGNAL sig_baseUnit_din_data : STD_LOGIC_VECTOR(63 DOWNTO 0); SIGNAL sig_baseUnit_din_vld : STD_LOGIC; SIGNAL sig_baseUnit_dout_data : STD_LOGIC_VECTOR(63 DOWNTO 0); SIGNAL sig_baseUnit_dout_vld : STD_LOGIC; BEGIN baseUnit_inst: UnitWithParams_0 GENERIC MAP( DATA_WIDTH => 64 ) PORT MAP( din_data => sig_baseUnit_din_data, din_vld => sig_baseUnit_din_vld, dout_data => sig_baseUnit_dout_data, dout_vld => sig_baseUnit_dout_vld ); dout_data <= sig_baseUnit_dout_data; dout_vld <= sig_baseUnit_dout_vld; sig_baseUnit_din_data <= din_data; sig_baseUnit_din_vld <= din_vld; END ARCHITECTURE;
------------------------------------------------------------------------------- -- Entity: cpu -- Author: Waj -- Date : 12-May-14 ------------------------------------------------------------------------------- -- Description: -- Top-level of CPU for simple von-Neumann MCU. ------------------------------------------------------------------------------- -- Total # of FFs: 0 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity cpu is port(rst : in std_logic; clk : in std_logic; -- CPU bus signals bus_in : in t_bus2cpu; bus_out : out t_cpu2bus ); end cpu; architecture rtl of cpu is signal addr_cnt : unsigned(5 downto 0); type t_reg is array (0 to 1) of std_logic_vector(DW-1 downto 0); signal reg_arr : t_reg; begin ----------------------------------------------------------------------------- -- sequential process: DUMMY -- ... To be replaced ... ----------------------------------------------------------------------------- P_dummy: process(rst, clk) begin if rst = '1' then addr_cnt <= (others => '0'); bus_out.addr <= (others => '0'); bus_out.data <= (others => '0'); bus_out.r_w <= '0'; bus_out.data <= (others => '0'); elsif rising_edge(clk) then addr_cnt <= addr_cnt + 1; if addr_cnt = 0 then -- read from ROM address 0 bus_out.addr <= HBA(ROM) & std_logic_vector(addr_cnt); bus_out.r_w <= '0'; elsif addr_cnt = 1 then -- read from ROM address 1 bus_out.addr <= HBA(ROM) & std_logic_vector(addr_cnt); bus_out.r_w <= '0'; elsif addr_cnt = 2 then -- read from ROM address 2 bus_out.addr <= HBA(ROM) & std_logic_vector(addr_cnt); bus_out.r_w <= '0'; -- store value from ROM address 0 reg_arr(0) <= bus_in.data; elsif addr_cnt = 3 then -- read from ROM address 3 bus_out.addr <= HBA(ROM) & std_logic_vector(addr_cnt); bus_out.r_w <= '0'; -- store value from ROM address 1 reg_arr(1) <= bus_in.data; elsif addr_cnt = 4 then -- store value to RAM address 0 bus_out.addr <= HBA(RAM) & std_logic_vector(addr_cnt-4); bus_out.r_w <= '1'; bus_out.data <= reg_arr(0); elsif addr_cnt = 5 then -- store value to RAM address 1 bus_out.addr <= HBA(RAM) & std_logic_vector(addr_cnt-4); bus_out.r_w <= '1'; bus_out.data <= reg_arr(1); end if; end if; end process; end rtl;
entity func8 is end entity; architecture test of func8 is type real_vector is array (natural range <>) of real; function lookup(index : integer) return real is constant table : real_vector := ( 0.62, 61.62, 71.7, 17.25, 26.15, 651.6, 0.45, 5.761 ); begin return table(index); end function; begin process is variable x : integer; begin x := 0; wait for 0 ns; assert lookup(x) = 0.62; -- Avoid constant folding x := 2; wait for 0 ns; assert lookup(x) = 71.7; wait; end process; end architecture;
entity func8 is end entity; architecture test of func8 is type real_vector is array (natural range <>) of real; function lookup(index : integer) return real is constant table : real_vector := ( 0.62, 61.62, 71.7, 17.25, 26.15, 651.6, 0.45, 5.761 ); begin return table(index); end function; begin process is variable x : integer; begin x := 0; wait for 0 ns; assert lookup(x) = 0.62; -- Avoid constant folding x := 2; wait for 0 ns; assert lookup(x) = 71.7; wait; end process; end architecture;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:20:02 06/05/2016 -- Design Name: -- Module Name: ALU - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU is port (s_muxa, s_muxb : in std_logic_vector(7 downto 0); ctrl_alu : in std_logic_vector(3 downto 0); s_alu : out std_logic_vector(7 downto 0); flags : out std_logic_vector(2 downto 0) ); end ALU; architecture Behavioral of ALU is signal result: signed(15 downto 0); signal A, B : signed(15 downto 0); begin A <= signed("00000000" & s_muxa); B <= signed("00000000" & s_muxb); with ctrl_alu select result <= B when "0000", A + B when "0001", A - B when "0010", signed(s_muxa) * signed(s_muxb) when "0011", A / B when "0100", A and B when "0101", A or B when "0110", not A when "0111", A srl 1 when "1000", A sll 1 when "1001", A + 1 when "1010", A - 1 when "1011", "XXXXXXXXXXXXXXXX" when others; s_alu <= std_logic_vector(result(7 downto 0)); flags <= "001" when ((result(7 downto 0)) = "00000000") else "010" when (result(7) = '1') else "100"; end Behavioral;
-- UART Receiver -- 20/07/2015 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity uart_rx is port( clk, reset: in std_logic; -- Clock and reset rx: in std_logic; -- UART RX (Receive) pin baud16_tick: in std_logic; -- 16x oversampled baud tick data_out: out std_logic_vector(7 downto 0); -- Received data byte rx_done_tick: out std_logic -- Receive done tick ); end uart_rx; architecture uart_rx_arch of uart_rx is type state_type is (idle, start, data, stop); signal state_reg, state_next: state_type; -- State register signal data_reg, data_next: std_logic_vector(7 downto 0); -- Data register signal remaining_reg, remaining_next: unsigned(2 downto 0); -- Remaining bits signal ticks_reg, ticks_next: unsigned(3 downto 0); -- Ticks count (oversampling) begin -- State and data registers process(clk, reset) begin if reset='1' then state_reg <= idle; ticks_reg <= (others => '0'); remaining_reg <= (others => '0'); data_reg <= (others => '0'); elsif rising_edge(clk) then state_reg <= state_next; ticks_reg <= ticks_next; remaining_reg <= remaining_next; data_reg <= data_next; end if; end process; -- Next state logic and data path process(state_reg, ticks_reg, remaining_reg, data_reg, baud16_tick, rx) begin state_next <= state_reg; ticks_next <= ticks_reg; remaining_next <= remaining_reg; data_next <= data_reg; rx_done_tick <= '0'; case state_reg is -- when idle => if rx = '0' then state_next <= start; ticks_next <= (others => '0'); end if; -- when start => if baud16_tick = '1' then if ticks_reg=7 then state_next <= data; ticks_next <= (others => '0'); remaining_next <= (others => '0'); else ticks_next <= ticks_reg + 1; end if; end if; -- when data => if baud16_tick = '1' then if ticks_reg=15 then -- Move to next byte ticks_next <= (others => '0'); data_next <= rx & data_reg(7 downto 1); if remaining_reg = 7 then -- Last byte ? state_next <= stop; else remaining_next <= remaining_reg + 1; end if; else ticks_next <= ticks_reg + 1; end if; end if; -- when stop => if baud16_tick = '1' then if ticks_reg=15 then state_next <= idle; rx_done_tick <= '1'; else ticks_next <= ticks_reg + 1; end if; end if; end case; end process; data_out <= data_reg; end uart_rx_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized2_2 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized2_2; ARCHITECTURE design_1_FIR_resized2_2_arch OF design_1_FIR_resized2_2 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized2_2_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_FIR_resized2_2_arch: ARCHITECTURE IS "fir_compiler_v7_2_6,Vivado 2016.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_FIR_resized2_2_arch : ARCHITECTURE IS "design_1_FIR_resized2_2,fir_compiler_v7_2_6,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_FIR_resized2_2_arch: ARCHITECTURE IS "design_1_FIR_resized2_2,fir_compiler_v7_2_6,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_COMPONENT_NAME=design_1_FIR_resized2_2,C_COEF_FILE=design_1_FIR_resized2_2.mif,C_COEF_FILE_LINES=105,C_FILTER_TYPE=1,C_INTERP_RATE=1,C_DECIM_RATE=5,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=204,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN" & "=fixed,C_ROUND_MODE=1,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=21,C_OPTIMIZATION=0,C_DATA_PATH_WIDTHS=24,C_DATA_IP_PATH_WIDTHS=24,C_DATA_PX_PATH_WIDTHS=24,C_DATA_WIDTH=24,C_COEF_PATH_WIDTHS=16,C_COEF_WIDTH=16,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_PX_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=43,C_OUTPUT_WIDTH=32,C_OUTPUT_PATH_WIDTHS=32,C_ACCUM_OP_PATH_WIDTHS=43,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NU" & "M_MADDS=21,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=1,C_INPUT_RATE=1,C_OUTPUT_RATE=5,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=2,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=28,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=24,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_TUSER_WIDTH=1,C_HAS_" & "CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized2_2", C_COEF_FILE => "design_1_FIR_resized2_2.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized2_2_arch;